From 8962599cdeb6470922f1dc4912661577225c3f23 Mon Sep 17 00:00:00 2001 From: iotcat Date: Tue, 5 May 2020 18:40:14 +0800 Subject: [PATCH] archieved --- Exp28/YL_7448.vwf | 367 +++ Exp28/YL_7448.vwf.temp | 367 +++ Exp28/YL_dec7748.bdf | 403 +++ Exp28/YL_dec7748.qpf | 30 + Exp28/YL_dec7748.qsf | 60 + Exp28/YL_dec7748.qws | Bin 0 -> 2010 bytes Exp28/db/YL_dec7748.(0).cnf.cdb | Bin 0 -> 1001 bytes Exp28/db/YL_dec7748.(0).cnf.hdb | Bin 0 -> 663 bytes Exp28/db/YL_dec7748.(1).cnf.cdb | Bin 0 -> 2091 bytes Exp28/db/YL_dec7748.(1).cnf.hdb | Bin 0 -> 1228 bytes Exp28/db/YL_dec7748.asm.qmsg | 6 + Exp28/db/YL_dec7748.asm.rdb | Bin 0 -> 1528 bytes Exp28/db/YL_dec7748.asm_labs.ddb | Bin 0 -> 7166 bytes Exp28/db/YL_dec7748.cbx.xml | 5 + Exp28/db/YL_dec7748.cmp.bpm | Bin 0 -> 629 bytes Exp28/db/YL_dec7748.cmp.cdb | Bin 0 -> 4212 bytes Exp28/db/YL_dec7748.cmp.hdb | Bin 0 -> 9986 bytes Exp28/db/YL_dec7748.cmp.idb | Bin 0 -> 1463 bytes Exp28/db/YL_dec7748.cmp.kpt | Bin 0 -> 225 bytes Exp28/db/YL_dec7748.cmp.logdb | 1 + Exp28/db/YL_dec7748.cmp.rdb | Bin 0 -> 18447 bytes Exp28/db/YL_dec7748.cmp0.ddb | Bin 0 -> 50938 bytes Exp28/db/YL_dec7748.cmp1.ddb | Bin 0 -> 50267 bytes Exp28/db/YL_dec7748.cmp2.ddb | Bin 0 -> 40962 bytes Exp28/db/YL_dec7748.cmp_merge.kpt | Bin 0 -> 229 bytes Exp28/db/YL_dec7748.db_info | 3 + Exp28/db/YL_dec7748.eds_overflow | 1 + Exp28/db/YL_dec7748.fit.qmsg | 46 + Exp28/db/YL_dec7748.hier_info | 36 + Exp28/db/YL_dec7748.hif | Bin 0 -> 404 bytes Exp28/db/YL_dec7748.ipinfo | Bin 0 -> 177 bytes Exp28/db/YL_dec7748.lpc.html | 18 + Exp28/db/YL_dec7748.lpc.rdb | Bin 0 -> 413 bytes Exp28/db/YL_dec7748.lpc.txt | 5 + Exp28/db/YL_dec7748.map.ammdb | Bin 0 -> 137 bytes Exp28/db/YL_dec7748.map.bpm | Bin 0 -> 605 bytes Exp28/db/YL_dec7748.map.cdb | Bin 0 -> 2592 bytes Exp28/db/YL_dec7748.map.hdb | Bin 0 -> 9524 bytes Exp28/db/YL_dec7748.map.kpt | Bin 0 -> 227 bytes Exp28/db/YL_dec7748.map.logdb | 1 + Exp28/db/YL_dec7748.map.qmsg | 11 + Exp28/db/YL_dec7748.map.rdb | Bin 0 -> 1327 bytes Exp28/db/YL_dec7748.map_bb.cdb | Bin 0 -> 1790 bytes Exp28/db/YL_dec7748.map_bb.hdb | Bin 0 -> 8451 bytes Exp28/db/YL_dec7748.map_bb.logdb | 1 + Exp28/db/YL_dec7748.pre_map.hdb | Bin 0 -> 10096 bytes Exp28/db/YL_dec7748.pti_db_list.ddb | Bin 0 -> 191 bytes .../YL_dec7748.root_partition.map.reg_db.cdb | Bin 0 -> 215 bytes Exp28/db/YL_dec7748.routing.rdb | Bin 0 -> 6386 bytes Exp28/db/YL_dec7748.rtlv.hdb | Bin 0 -> 10058 bytes Exp28/db/YL_dec7748.rtlv_sg.cdb | Bin 0 -> 2657 bytes Exp28/db/YL_dec7748.rtlv_sg_swap.cdb | Bin 0 -> 607 bytes Exp28/db/YL_dec7748.sgdiff.cdb | Bin 0 -> 2800 bytes Exp28/db/YL_dec7748.sgdiff.hdb | Bin 0 -> 9775 bytes Exp28/db/YL_dec7748.sim.hdb | Bin 0 -> 4639 bytes Exp28/db/YL_dec7748.sim.qmsg | 10 + Exp28/db/YL_dec7748.sim.rdb | Bin 0 -> 2597 bytes Exp28/db/YL_dec7748.sim.vwf | 437 +++ Exp28/db/YL_dec7748.sld_design_entry.sci | Bin 0 -> 216 bytes Exp28/db/YL_dec7748.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes Exp28/db/YL_dec7748.smart_action.txt | 1 + Exp28/db/YL_dec7748.sta.qmsg | 34 + Exp28/db/YL_dec7748.sta.rdb | Bin 0 -> 3881 bytes Exp28/db/YL_dec7748.sta_cmp.7_slow.tdb | Bin 0 -> 2666 bytes Exp28/db/YL_dec7748.syn_hier_info | 0 Exp28/db/YL_dec7748.tis_db_list.ddb | Bin 0 -> 191 bytes Exp28/db/YL_dec7748.vpr.ammdb | Bin 0 -> 257 bytes Exp28/db/logic_util_heursitic.dat | Bin 0 -> 968 bytes Exp28/db/prev_cmp_YL_dec7748.qmsg | 5 + Exp28/incremental_db/README | 11 + .../compiled_partitions/YL_dec7748.db_info | 3 + .../YL_dec7748.root_partition.cmp.ammdb | Bin 0 -> 218 bytes .../YL_dec7748.root_partition.cmp.cdb | Bin 0 -> 2900 bytes .../YL_dec7748.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_dec7748.root_partition.cmp.hdb | Bin 0 -> 9681 bytes .../YL_dec7748.root_partition.cmp.kpt | Bin 0 -> 219 bytes .../YL_dec7748.root_partition.cmp.logdb | 1 + .../YL_dec7748.root_partition.cmp.rcfdb | Bin 0 -> 1518 bytes .../YL_dec7748.root_partition.map.cdb | Bin 0 -> 2605 bytes .../YL_dec7748.root_partition.map.dpi | Bin 0 -> 872 bytes .../YL_dec7748.root_partition.map.hbdb.cdb | Bin 0 -> 1299 bytes ...YL_dec7748.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes .../YL_dec7748.root_partition.map.hbdb.hdb | Bin 0 -> 9220 bytes .../YL_dec7748.root_partition.map.hbdb.sig | 1 + .../YL_dec7748.root_partition.map.hdb | Bin 0 -> 9463 bytes .../YL_dec7748.root_partition.map.kpt | Bin 0 -> 230 bytes Exp28/output_files/YL_dec7748.asm.rpt | 130 + Exp28/output_files/YL_dec7748.done | 1 + Exp28/output_files/YL_dec7748.fit.rpt | 1165 ++++++++ Exp28/output_files/YL_dec7748.fit.smsg | 8 + Exp28/output_files/YL_dec7748.fit.summary | 16 + Exp28/output_files/YL_dec7748.flow.rpt | 122 + Exp28/output_files/YL_dec7748.jdi | 8 + Exp28/output_files/YL_dec7748.map.rpt | 258 ++ Exp28/output_files/YL_dec7748.map.summary | 14 + Exp28/output_files/YL_dec7748.pin | 554 ++++ Exp28/output_files/YL_dec7748.pof | Bin 0 -> 2097373 bytes Exp28/output_files/YL_dec7748.sim.rpt | 179 ++ Exp28/output_files/YL_dec7748.sof | Bin 0 -> 476065 bytes Exp28/output_files/YL_dec7748.sta.rpt | 444 +++ Exp28/output_files/YL_dec7748.sta.summary | 5 + Exp28/simulation/qsim/YL_dec7748.sim.vwf | 437 +++ Exp28_Decoder/7segment.bsf | 85 + Exp28_Decoder/YL_7SegmentDecoder.bdf | 1195 ++++++++ Exp28_Decoder/YL_7SegmentDecoder.qpf | 30 + Exp28_Decoder/YL_7SegmentDecoder.qsf | 83 + Exp28_Decoder/YL_7SegmentDecoder.qws | Bin 0 -> 5671 bytes Exp28_Decoder/YL_7SegmentDecoder.tdf | 28 + Exp28_Decoder/YL_7SegmentDecoder.vwf | 394 +++ Exp28_Decoder/YL_7SegmentDecoder.vwf.temp | 371 +++ Exp28_Decoder/YL_7SegmentDecoder2.bdf | 160 ++ Exp28_Decoder/YL_7SegmentDecoder2.vwf | 361 +++ Exp28_Decoder/YL_7SegmentDecoder3.vwf | 336 +++ Exp28_Decoder/YL_7SegmentDecoder3.vwf.temp | 321 +++ Exp28_Decoder/YL_7segment_sign.tdf | 25 + Exp28_Decoder/YL_7segment_sign.tdf.bak | 28 + Exp28_Decoder/YL_Cascade.bdf | 1199 ++++++++ Exp28_Decoder/YL_cascade.vwf | 539 ++++ Exp28_Decoder/YL_dec_counter.tdf | 25 + Exp28_Decoder/YL_dec_counter.tdf.bak | 25 + Exp28_Decoder/YL_sec_cnt.tdf | 17 + Exp28_Decoder/YL_sec_cnt.tdf.bak | 17 + .../db/YL_7SegmentDecoder.(0).cnf.cdb | Bin 0 -> 1608 bytes .../db/YL_7SegmentDecoder.(0).cnf.hdb | Bin 0 -> 998 bytes .../db/YL_7SegmentDecoder.(1).cnf.cdb | Bin 0 -> 2418 bytes .../db/YL_7SegmentDecoder.(1).cnf.hdb | Bin 0 -> 515 bytes .../db/YL_7SegmentDecoder.(2).cnf.cdb | Bin 0 -> 2419 bytes .../db/YL_7SegmentDecoder.(2).cnf.hdb | Bin 0 -> 514 bytes .../db/YL_7SegmentDecoder.(3).cnf.cdb | Bin 0 -> 2056 bytes .../db/YL_7SegmentDecoder.(3).cnf.hdb | Bin 0 -> 593 bytes .../db/YL_7SegmentDecoder.(4).cnf.cdb | Bin 0 -> 2823 bytes .../db/YL_7SegmentDecoder.(4).cnf.hdb | Bin 0 -> 620 bytes .../db/YL_7SegmentDecoder.(5).cnf.cdb | Bin 0 -> 2055 bytes .../db/YL_7SegmentDecoder.(5).cnf.hdb | Bin 0 -> 591 bytes Exp28_Decoder/db/YL_7SegmentDecoder.asm.qmsg | 6 + Exp28_Decoder/db/YL_7SegmentDecoder.asm.rdb | Bin 0 -> 1540 bytes .../db/YL_7SegmentDecoder.asm_labs.ddb | Bin 0 -> 8213 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml | 5 + Exp28_Decoder/db/YL_7SegmentDecoder.cmp.bpm | Bin 0 -> 706 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp.cdb | Bin 0 -> 16235 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp.hdb | Bin 0 -> 13556 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp.idb | Bin 0 -> 6388 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp.kpt | Bin 0 -> 230 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp.logdb | 1 + Exp28_Decoder/db/YL_7SegmentDecoder.cmp.rdb | Bin 0 -> 20718 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp0.ddb | Bin 0 -> 77958 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp1.ddb | Bin 0 -> 76438 bytes Exp28_Decoder/db/YL_7SegmentDecoder.cmp2.ddb | Bin 0 -> 45260 bytes .../db/YL_7SegmentDecoder.cmp_merge.kpt | Bin 0 -> 234 bytes Exp28_Decoder/db/YL_7SegmentDecoder.db_info | 3 + Exp28_Decoder/db/YL_7SegmentDecoder.eda.qmsg | 5 + .../db/YL_7SegmentDecoder.eds_overflow | 1 + Exp28_Decoder/db/YL_7SegmentDecoder.fit.qmsg | 47 + Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.cdb | Bin 0 -> 7587 bytes Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.hdb | Bin 0 -> 15557 bytes .../db/YL_7SegmentDecoder.fnsim.qmsg | 16 + Exp28_Decoder/db/YL_7SegmentDecoder.hier_info | 237 ++ Exp28_Decoder/db/YL_7SegmentDecoder.hif | Bin 0 -> 619 bytes Exp28_Decoder/db/YL_7SegmentDecoder.ipinfo | Bin 0 -> 177 bytes Exp28_Decoder/db/YL_7SegmentDecoder.lpc.html | 98 + Exp28_Decoder/db/YL_7SegmentDecoder.lpc.rdb | Bin 0 -> 527 bytes Exp28_Decoder/db/YL_7SegmentDecoder.lpc.txt | 11 + Exp28_Decoder/db/YL_7SegmentDecoder.map.ammdb | Bin 0 -> 137 bytes Exp28_Decoder/db/YL_7SegmentDecoder.map.bpm | Bin 0 -> 681 bytes Exp28_Decoder/db/YL_7SegmentDecoder.map.cdb | Bin 0 -> 5726 bytes Exp28_Decoder/db/YL_7SegmentDecoder.map.hdb | Bin 0 -> 12971 bytes Exp28_Decoder/db/YL_7SegmentDecoder.map.kpt | Bin 0 -> 1009 bytes Exp28_Decoder/db/YL_7SegmentDecoder.map.logdb | 1 + Exp28_Decoder/db/YL_7SegmentDecoder.map.qmsg | 18 + Exp28_Decoder/db/YL_7SegmentDecoder.map.rdb | Bin 0 -> 1335 bytes .../db/YL_7SegmentDecoder.map_bb.cdb | Bin 0 -> 1842 bytes .../db/YL_7SegmentDecoder.map_bb.hdb | Bin 0 -> 10326 bytes .../db/YL_7SegmentDecoder.map_bb.logdb | 1 + Exp28_Decoder/db/YL_7SegmentDecoder.pplq.rdb | Bin 0 -> 246 bytes .../db/YL_7SegmentDecoder.pre_map.hdb | Bin 0 -> 12897 bytes .../db/YL_7SegmentDecoder.pti_db_list.ddb | Bin 0 -> 191 bytes ...gmentDecoder.root_partition.map.reg_db.cdb | Bin 0 -> 223 bytes .../db/YL_7SegmentDecoder.routing.rdb | Bin 0 -> 6137 bytes Exp28_Decoder/db/YL_7SegmentDecoder.rpp.qmsg | 4 + Exp28_Decoder/db/YL_7SegmentDecoder.rtlv.hdb | Bin 0 -> 12789 bytes .../db/YL_7SegmentDecoder.rtlv_sg.cdb | Bin 0 -> 8061 bytes .../db/YL_7SegmentDecoder.rtlv_sg_swap.cdb | Bin 0 -> 880 bytes .../db/YL_7SegmentDecoder.sgate_sm.rvd | Bin 0 -> 245 bytes .../db/YL_7SegmentDecoder.sgdiff.cdb | Bin 0 -> 5352 bytes .../db/YL_7SegmentDecoder.sgdiff.hdb | Bin 0 -> 12848 bytes Exp28_Decoder/db/YL_7SegmentDecoder.sim.hdb | Bin 0 -> 5315 bytes Exp28_Decoder/db/YL_7SegmentDecoder.sim.qmsg | 10 + Exp28_Decoder/db/YL_7SegmentDecoder.sim.rdb | Bin 0 -> 5290 bytes Exp28_Decoder/db/YL_7SegmentDecoder.sim.vwf | 809 ++++++ Exp28_Decoder/db/YL_7SegmentDecoder.simfam | 2 + .../YL_7SegmentDecoder.sld_design_entry.sci | Bin 0 -> 216 bytes ...L_7SegmentDecoder.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes .../db/YL_7SegmentDecoder.smart_action.txt | 1 + Exp28_Decoder/db/YL_7SegmentDecoder.sta.qmsg | 30 + Exp28_Decoder/db/YL_7SegmentDecoder.sta.rdb | Bin 0 -> 12896 bytes .../db/YL_7SegmentDecoder.syn_hier_info | 0 .../db/YL_7SegmentDecoder.tis_db_list.ddb | Bin 0 -> 191 bytes Exp28_Decoder/db/YL_7SegmentDecoder.vpr.ammdb | Bin 0 -> 364 bytes Exp28_Decoder/db/logic_util_heursitic.dat | Bin 0 -> 5588 bytes .../db/prev_cmp_YL_7SegmentDecoder.qmsg | 114 + Exp28_Decoder/dec_count.bsf | 71 + Exp28_Decoder/incremental_db/README | 11 + .../YL_7SegmentDecoder.db_info | 3 + ...L_7SegmentDecoder.root_partition.cmp.ammdb | Bin 0 -> 341 bytes .../YL_7SegmentDecoder.root_partition.cmp.cdb | Bin 0 -> 7948 bytes .../YL_7SegmentDecoder.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_7SegmentDecoder.root_partition.cmp.hdb | Bin 0 -> 13146 bytes .../YL_7SegmentDecoder.root_partition.cmp.kpt | Bin 0 -> 219 bytes ...L_7SegmentDecoder.root_partition.cmp.logdb | 1 + ...L_7SegmentDecoder.root_partition.cmp.rcfdb | Bin 0 -> 6741 bytes .../YL_7SegmentDecoder.root_partition.map.cdb | Bin 0 -> 5730 bytes .../YL_7SegmentDecoder.root_partition.map.dpi | Bin 0 -> 1038 bytes ...SegmentDecoder.root_partition.map.hbdb.cdb | Bin 0 -> 1299 bytes ...entDecoder.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes ...SegmentDecoder.root_partition.map.hbdb.hdb | Bin 0 -> 12662 bytes ...SegmentDecoder.root_partition.map.hbdb.sig | 1 + .../YL_7SegmentDecoder.root_partition.map.hdb | Bin 0 -> 13098 bytes .../YL_7SegmentDecoder.root_partition.map.kpt | Bin 0 -> 1007 bytes .../output_files/YL_7SegmentDecoder.asm.rpt | 130 + .../output_files/YL_7SegmentDecoder.done | 1 + .../output_files/YL_7SegmentDecoder.eda.rpt | 96 + .../output_files/YL_7SegmentDecoder.fit.rpt | 1365 +++++++++ .../output_files/YL_7SegmentDecoder.fit.smsg | 8 + .../YL_7SegmentDecoder.fit.summary | 16 + .../output_files/YL_7SegmentDecoder.flow.rpt | 128 + .../output_files/YL_7SegmentDecoder.jdi | 8 + .../output_files/YL_7SegmentDecoder.map.rpt | 276 ++ .../YL_7SegmentDecoder.map.summary | 14 + .../output_files/YL_7SegmentDecoder.pin | 554 ++++ .../output_files/YL_7SegmentDecoder.pof | Bin 0 -> 2097373 bytes .../output_files/YL_7SegmentDecoder.sim.rpt | 486 ++++ .../output_files/YL_7SegmentDecoder.sof | Bin 0 -> 476081 bytes .../output_files/YL_7SegmentDecoder.sta.rpt | 1149 ++++++++ .../YL_7SegmentDecoder.sta.summary | 29 + Exp28_Decoder/output_files/YL_adder.done | 1 + Exp28_Decoder/sec_cnt.bsf | 43 + .../modelsim/YL_7SegmentDecoder.sft | 5 + .../simulation/modelsim/YL_7SegmentDecoder.vo | 2504 +++++++++++++++++ .../modelsim/YL_7SegmentDecoder_fast.vo | 2504 +++++++++++++++++ .../modelsim/YL_7SegmentDecoder_modelsim.xrf | 136 + .../modelsim/YL_7SegmentDecoder_v.sdo | 1797 ++++++++++++ .../modelsim/YL_7SegmentDecoder_v_fast.sdo | 1797 ++++++++++++ .../simulation/qsim/YL_7SegmentDecoder.do | 10 + .../qsim/YL_7SegmentDecoder.sim.vwf | 809 ++++++ .../simulation/qsim/YL_7SegmentDecoder.vo | 603 ++++ .../simulation/qsim/YL_7SegmentDecoder.vt | 394 +++ .../simulation/qsim/YL_7SegmentDecoder_v.sdo | 252 ++ YL_adder/YL_adde.vwf | 1005 +++++++ YL_adder/YL_adde.vwf.temp | 862 ++++++ YL_adder/YL_adder.bdf | 1868 ++++++++++++ YL_adder/YL_adder.qpf | 30 + YL_adder/YL_adder.qsf | 95 + YL_adder/YL_adder.qws | Bin 0 -> 6154 bytes YL_adder/YL_encoder.tdf.bak | 36 + YL_adder/db/YL_adder.(0).cnf.cdb | Bin 0 -> 2326 bytes YL_adder/db/YL_adder.(0).cnf.hdb | Bin 0 -> 1754 bytes YL_adder/db/YL_adder.(1).cnf.cdb | Bin 0 -> 1230 bytes YL_adder/db/YL_adder.(1).cnf.hdb | Bin 0 -> 820 bytes YL_adder/db/YL_adder.(2).cnf.cdb | Bin 0 -> 1968 bytes YL_adder/db/YL_adder.(2).cnf.hdb | Bin 0 -> 1247 bytes YL_adder/db/YL_adder.(3).cnf.cdb | Bin 0 -> 2421 bytes YL_adder/db/YL_adder.(3).cnf.hdb | Bin 0 -> 510 bytes YL_adder/db/YL_adder.(4).cnf.cdb | Bin 0 -> 3039 bytes YL_adder/db/YL_adder.(4).cnf.hdb | Bin 0 -> 509 bytes YL_adder/db/YL_adder.(5).cnf.cdb | Bin 0 -> 855 bytes YL_adder/db/YL_adder.(5).cnf.hdb | Bin 0 -> 475 bytes YL_adder/db/YL_adder.(6).cnf.cdb | Bin 0 -> 1097 bytes YL_adder/db/YL_adder.(6).cnf.hdb | Bin 0 -> 498 bytes YL_adder/db/YL_adder.(7).cnf.cdb | Bin 0 -> 939 bytes YL_adder/db/YL_adder.(7).cnf.hdb | Bin 0 -> 445 bytes YL_adder/db/YL_adder.analyze_file.qmsg | 5 + YL_adder/db/YL_adder.asm.qmsg | 6 + YL_adder/db/YL_adder.asm.rdb | Bin 0 -> 1530 bytes YL_adder/db/YL_adder.asm_labs.ddb | Bin 0 -> 7755 bytes YL_adder/db/YL_adder.cbx.xml | 5 + YL_adder/db/YL_adder.cmp.bpm | Bin 0 -> 824 bytes YL_adder/db/YL_adder.cmp.cdb | Bin 0 -> 8467 bytes YL_adder/db/YL_adder.cmp.hdb | Bin 0 -> 13200 bytes YL_adder/db/YL_adder.cmp.idb | Bin 0 -> 2731 bytes YL_adder/db/YL_adder.cmp.kpt | Bin 0 -> 221 bytes YL_adder/db/YL_adder.cmp.logdb | 1 + YL_adder/db/YL_adder.cmp.rdb | Bin 0 -> 21331 bytes YL_adder/db/YL_adder.cmp0.ddb | Bin 0 -> 61636 bytes YL_adder/db/YL_adder.cmp1.ddb | Bin 0 -> 60924 bytes YL_adder/db/YL_adder.cmp2.ddb | Bin 0 -> 43710 bytes YL_adder/db/YL_adder.cmp_merge.kpt | Bin 0 -> 226 bytes YL_adder/db/YL_adder.db_info | 3 + YL_adder/db/YL_adder.eda.qmsg | 5 + YL_adder/db/YL_adder.eds_overflow | 1 + YL_adder/db/YL_adder.fit.qmsg | 46 + YL_adder/db/YL_adder.fnsim.cdb | Bin 0 -> 5936 bytes YL_adder/db/YL_adder.fnsim.hdb | Bin 0 -> 16964 bytes YL_adder/db/YL_adder.fnsim.qmsg | 25 + YL_adder/db/YL_adder.hier_info | 265 ++ YL_adder/db/YL_adder.hif | Bin 0 -> 774 bytes YL_adder/db/YL_adder.ipinfo | Bin 0 -> 177 bytes YL_adder/db/YL_adder.lpc.html | 98 + YL_adder/db/YL_adder.lpc.rdb | Bin 0 -> 532 bytes YL_adder/db/YL_adder.lpc.txt | 11 + YL_adder/db/YL_adder.map.ammdb | Bin 0 -> 137 bytes YL_adder/db/YL_adder.map.bpm | Bin 0 -> 803 bytes YL_adder/db/YL_adder.map.cdb | Bin 0 -> 3981 bytes YL_adder/db/YL_adder.map.hdb | Bin 0 -> 12469 bytes YL_adder/db/YL_adder.map.kpt | Bin 0 -> 396 bytes YL_adder/db/YL_adder.map.logdb | 1 + YL_adder/db/YL_adder.map.qmsg | 29 + YL_adder/db/YL_adder.map.rdb | Bin 0 -> 1328 bytes YL_adder/db/YL_adder.map_bb.cdb | Bin 0 -> 1997 bytes YL_adder/db/YL_adder.map_bb.hdb | Bin 0 -> 10855 bytes YL_adder/db/YL_adder.map_bb.logdb | 1 + YL_adder/db/YL_adder.pplq.rdb | Bin 0 -> 246 bytes YL_adder/db/YL_adder.pre_map.hdb | Bin 0 -> 14432 bytes YL_adder/db/YL_adder.pti_db_list.ddb | Bin 0 -> 191 bytes .../db/YL_adder.root_partition.map.reg_db.cdb | Bin 0 -> 213 bytes YL_adder/db/YL_adder.routing.rdb | Bin 0 -> 5891 bytes YL_adder/db/YL_adder.rtlv.hdb | Bin 0 -> 14362 bytes YL_adder/db/YL_adder.rtlv_sg.cdb | Bin 0 -> 10003 bytes YL_adder/db/YL_adder.rtlv_sg_swap.cdb | Bin 0 -> 1262 bytes YL_adder/db/YL_adder.sgdiff.cdb | Bin 0 -> 5000 bytes YL_adder/db/YL_adder.sgdiff.hdb | Bin 0 -> 13464 bytes YL_adder/db/YL_adder.sim.hdb | Bin 0 -> 5313 bytes YL_adder/db/YL_adder.sim.qmsg | 14 + YL_adder/db/YL_adder.sim.rdb | Bin 0 -> 3946 bytes YL_adder/db/YL_adder.sim.vwf | 1103 ++++++++ YL_adder/db/YL_adder.simfam | 2 + YL_adder/db/YL_adder.sld_design_entry.sci | Bin 0 -> 216 bytes YL_adder/db/YL_adder.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes YL_adder/db/YL_adder.smart_action.txt | 1 + YL_adder/db/YL_adder.sta.qmsg | 31 + YL_adder/db/YL_adder.sta.rdb | Bin 0 -> 6425 bytes YL_adder/db/YL_adder.syn_hier_info | 0 YL_adder/db/YL_adder.tis_db_list.ddb | Bin 0 -> 191 bytes YL_adder/db/YL_adder.vpr.ammdb | Bin 0 -> 337 bytes YL_adder/db/logic_util_heursitic.dat | Bin 0 -> 2772 bytes YL_adder/db/prev_cmp_YL_adder.qmsg | 125 + YL_adder/encoder.bsf | 71 + YL_adder/encoder.tdf | 36 + YL_adder/incremental_db/README | 11 + .../compiled_partitions/YL_adder.db_info | 3 + .../YL_adder.root_partition.cmp.ammdb | Bin 0 -> 330 bytes .../YL_adder.root_partition.cmp.cdb | Bin 0 -> 4980 bytes .../YL_adder.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_adder.root_partition.cmp.hdb | Bin 0 -> 12613 bytes .../YL_adder.root_partition.cmp.kpt | Bin 0 -> 219 bytes .../YL_adder.root_partition.cmp.logdb | 1 + .../YL_adder.root_partition.cmp.rcfdb | Bin 0 -> 3608 bytes .../YL_adder.root_partition.map.cdb | Bin 0 -> 4006 bytes .../YL_adder.root_partition.map.dpi | Bin 0 -> 1402 bytes .../YL_adder.root_partition.map.hbdb.cdb | Bin 0 -> 1299 bytes .../YL_adder.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes .../YL_adder.root_partition.map.hbdb.hdb | Bin 0 -> 12166 bytes .../YL_adder.root_partition.map.hbdb.sig | 1 + .../YL_adder.root_partition.map.hdb | Bin 0 -> 12784 bytes .../YL_adder.root_partition.map.kpt | Bin 0 -> 398 bytes YL_adder/operator.bsf | 92 + YL_adder/operator.tdf | 21 + YL_adder/operator.tdf.bak | 5 + YL_adder/output_files/YL_adder.asm.rpt | 130 + YL_adder/output_files/YL_adder.done | 1 + YL_adder/output_files/YL_adder.eda.rpt | 96 + YL_adder/output_files/YL_adder.fit.rpt | 1325 +++++++++ YL_adder/output_files/YL_adder.fit.smsg | 8 + YL_adder/output_files/YL_adder.fit.summary | 16 + YL_adder/output_files/YL_adder.flow.rpt | 128 + YL_adder/output_files/YL_adder.jdi | 8 + YL_adder/output_files/YL_adder.map.rpt | 299 ++ YL_adder/output_files/YL_adder.map.summary | 14 + YL_adder/output_files/YL_adder.pin | 554 ++++ YL_adder/output_files/YL_adder.pof | Bin 0 -> 2097373 bytes YL_adder/output_files/YL_adder.sim.rpt | 335 +++ YL_adder/output_files/YL_adder.sof | Bin 0 -> 476061 bytes YL_adder/output_files/YL_adder.sta.rpt | 667 +++++ YL_adder/output_files/YL_adder.sta.summary | 13 + YL_adder/overflow.bsf | 57 + YL_adder/overflow.tdf | 12 + YL_adder/segment.bsf | 85 + YL_adder/segment.tdf | 28 + YL_adder/sign.bsf | 85 + YL_adder/sign.tdf | 25 + YL_adder/simulation/modelsim/YL_adder.sft | 5 + YL_adder/simulation/modelsim/YL_adder.vo | 1747 ++++++++++++ YL_adder/simulation/modelsim/YL_adder_fast.vo | 1747 ++++++++++++ .../simulation/modelsim/YL_adder_modelsim.xrf | 75 + YL_adder/simulation/modelsim/YL_adder_v.sdo | 765 +++++ .../simulation/modelsim/YL_adder_v_fast.sdo | 765 +++++ YL_adder/simulation/qsim/YL_adder.do | 10 + YL_adder/simulation/qsim/YL_adder.sim.vwf | 1103 ++++++++ YL_adder/simulation/qsim/YL_adder.vo | 1561 ++++++++++ YL_adder/simulation/qsim/YL_adder.vt | 758 +++++ YL_adder/simulation/qsim/YL_adder_v.sdo | 690 +++++ YL_dec_counter/YL_DecCounter.vwf | 327 +++ YL_dec_counter/YL_DecCounter.vwf.temp | 326 +++ YL_dec_counter/YL_dec_counter.bdf | 101 + YL_dec_counter/YL_dec_counter.qpf | 30 + YL_dec_counter/YL_dec_counter.qsf | 64 + YL_dec_counter/YL_dec_counter.qws | Bin 0 -> 2320 bytes YL_dec_counter/YL_dec_counter.tdf | 25 + YL_dec_counter/YL_dec_counter.tdf.bak | 0 YL_dec_counter/db/YL_dec_counter.(0).cnf.cdb | Bin 0 -> 935 bytes YL_dec_counter/db/YL_dec_counter.(0).cnf.hdb | Bin 0 -> 727 bytes YL_dec_counter/db/YL_dec_counter.(1).cnf.cdb | Bin 0 -> 2104 bytes YL_dec_counter/db/YL_dec_counter.(1).cnf.hdb | Bin 0 -> 590 bytes YL_dec_counter/db/YL_dec_counter.asm.qmsg | 6 + YL_dec_counter/db/YL_dec_counter.asm.rdb | Bin 0 -> 1528 bytes YL_dec_counter/db/YL_dec_counter.asm_labs.ddb | Bin 0 -> 6618 bytes YL_dec_counter/db/YL_dec_counter.cbx.xml | 5 + YL_dec_counter/db/YL_dec_counter.cmp.bpm | Bin 0 -> 619 bytes YL_dec_counter/db/YL_dec_counter.cmp.cdb | Bin 0 -> 5779 bytes YL_dec_counter/db/YL_dec_counter.cmp.hdb | Bin 0 -> 10548 bytes YL_dec_counter/db/YL_dec_counter.cmp.idb | Bin 0 -> 2216 bytes YL_dec_counter/db/YL_dec_counter.cmp.kpt | Bin 0 -> 225 bytes YL_dec_counter/db/YL_dec_counter.cmp.logdb | 1 + YL_dec_counter/db/YL_dec_counter.cmp.rdb | Bin 0 -> 19516 bytes YL_dec_counter/db/YL_dec_counter.cmp0.ddb | Bin 0 -> 51925 bytes YL_dec_counter/db/YL_dec_counter.cmp1.ddb | Bin 0 -> 51628 bytes YL_dec_counter/db/YL_dec_counter.cmp2.ddb | Bin 0 -> 41174 bytes .../db/YL_dec_counter.cmp_merge.kpt | Bin 0 -> 230 bytes YL_dec_counter/db/YL_dec_counter.db_info | 3 + YL_dec_counter/db/YL_dec_counter.eda.qmsg | 5 + YL_dec_counter/db/YL_dec_counter.eds_overflow | 1 + YL_dec_counter/db/YL_dec_counter.fit.qmsg | 45 + YL_dec_counter/db/YL_dec_counter.fnsim.cdb | Bin 0 -> 2998 bytes YL_dec_counter/db/YL_dec_counter.fnsim.hdb | Bin 0 -> 10451 bytes YL_dec_counter/db/YL_dec_counter.fnsim.qmsg | 9 + YL_dec_counter/db/YL_dec_counter.hier_info | 30 + YL_dec_counter/db/YL_dec_counter.hif | Bin 0 -> 451 bytes YL_dec_counter/db/YL_dec_counter.ipinfo | Bin 0 -> 177 bytes YL_dec_counter/db/YL_dec_counter.lpc.html | 34 + YL_dec_counter/db/YL_dec_counter.lpc.rdb | Bin 0 -> 450 bytes YL_dec_counter/db/YL_dec_counter.lpc.txt | 7 + YL_dec_counter/db/YL_dec_counter.map.ammdb | Bin 0 -> 137 bytes YL_dec_counter/db/YL_dec_counter.map.bpm | Bin 0 -> 594 bytes YL_dec_counter/db/YL_dec_counter.map.cdb | Bin 0 -> 3066 bytes YL_dec_counter/db/YL_dec_counter.map.hdb | Bin 0 -> 9719 bytes YL_dec_counter/db/YL_dec_counter.map.kpt | Bin 0 -> 409 bytes YL_dec_counter/db/YL_dec_counter.map.logdb | 1 + YL_dec_counter/db/YL_dec_counter.map.qmsg | 11 + YL_dec_counter/db/YL_dec_counter.map.rdb | Bin 0 -> 1332 bytes YL_dec_counter/db/YL_dec_counter.map_bb.cdb | Bin 0 -> 1796 bytes YL_dec_counter/db/YL_dec_counter.map_bb.hdb | Bin 0 -> 8613 bytes YL_dec_counter/db/YL_dec_counter.map_bb.logdb | 1 + YL_dec_counter/db/YL_dec_counter.pre_map.hdb | Bin 0 -> 9739 bytes .../db/YL_dec_counter.pti_db_list.ddb | Bin 0 -> 191 bytes ..._dec_counter.root_partition.map.reg_db.cdb | Bin 0 -> 219 bytes YL_dec_counter/db/YL_dec_counter.routing.rdb | Bin 0 -> 4746 bytes YL_dec_counter/db/YL_dec_counter.rtlv.hdb | Bin 0 -> 9707 bytes YL_dec_counter/db/YL_dec_counter.rtlv_sg.cdb | Bin 0 -> 2500 bytes .../db/YL_dec_counter.rtlv_sg_swap.cdb | Bin 0 -> 560 bytes YL_dec_counter/db/YL_dec_counter.sgdiff.cdb | Bin 0 -> 2968 bytes YL_dec_counter/db/YL_dec_counter.sgdiff.hdb | Bin 0 -> 9742 bytes YL_dec_counter/db/YL_dec_counter.sim.hdb | Bin 0 -> 4782 bytes YL_dec_counter/db/YL_dec_counter.sim.qmsg | 10 + YL_dec_counter/db/YL_dec_counter.sim.rdb | Bin 0 -> 2869 bytes YL_dec_counter/db/YL_dec_counter.sim.vwf | 348 +++ YL_dec_counter/db/YL_dec_counter.simfam | 2 + .../db/YL_dec_counter.sld_design_entry.sci | Bin 0 -> 216 bytes .../YL_dec_counter.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes .../db/YL_dec_counter.smart_action.txt | 1 + YL_dec_counter/db/YL_dec_counter.sta.qmsg | 30 + YL_dec_counter/db/YL_dec_counter.sta.rdb | Bin 0 -> 7969 bytes .../db/YL_dec_counter.syn_hier_info | 0 .../db/YL_dec_counter.tis_db_list.ddb | Bin 0 -> 191 bytes YL_dec_counter/db/YL_dec_counter.vpr.ammdb | Bin 0 -> 258 bytes YL_dec_counter/db/logic_util_heursitic.dat | Bin 0 -> 1320 bytes .../db/prev_cmp_YL_dec_counter.qmsg | 4 + YL_dec_counter/dec_count.bsf | 71 + YL_dec_counter/incremental_db/README | 11 + .../YL_dec_counter.db_info | 3 + .../YL_dec_counter.root_partition.cmp.ammdb | Bin 0 -> 260 bytes .../YL_dec_counter.root_partition.cmp.cdb | Bin 0 -> 3721 bytes .../YL_dec_counter.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_dec_counter.root_partition.cmp.hdb | Bin 0 -> 9882 bytes .../YL_dec_counter.root_partition.cmp.kpt | Bin 0 -> 219 bytes .../YL_dec_counter.root_partition.cmp.logdb | 1 + .../YL_dec_counter.root_partition.cmp.rcfdb | Bin 0 -> 1856 bytes .../YL_dec_counter.root_partition.map.cdb | Bin 0 -> 2962 bytes .../YL_dec_counter.root_partition.map.dpi | Bin 0 -> 803 bytes ...YL_dec_counter.root_partition.map.hbdb.cdb | Bin 0 -> 1300 bytes ...ec_counter.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes ...YL_dec_counter.root_partition.map.hbdb.hdb | Bin 0 -> 9396 bytes ...YL_dec_counter.root_partition.map.hbdb.sig | 1 + .../YL_dec_counter.root_partition.map.hdb | Bin 0 -> 9604 bytes .../YL_dec_counter.root_partition.map.kpt | Bin 0 -> 412 bytes .../output_files/YL_dec_counter.asm.rpt | 130 + .../output_files/YL_dec_counter.done | 1 + .../output_files/YL_dec_counter.eda.rpt | 92 + .../output_files/YL_dec_counter.fit.rpt | 1191 ++++++++ .../output_files/YL_dec_counter.fit.smsg | 8 + .../output_files/YL_dec_counter.fit.summary | 16 + .../output_files/YL_dec_counter.flow.rpt | 128 + .../output_files/YL_dec_counter.jdi | 8 + .../output_files/YL_dec_counter.map.rpt | 259 ++ .../output_files/YL_dec_counter.map.summary | 14 + .../output_files/YL_dec_counter.pin | 554 ++++ .../output_files/YL_dec_counter.pof | Bin 0 -> 2097373 bytes .../output_files/YL_dec_counter.sim.rpt | 211 ++ .../output_files/YL_dec_counter.sof | Bin 0 -> 476073 bytes .../output_files/YL_dec_counter.sta.rpt | 677 +++++ .../output_files/YL_dec_counter.sta.summary | 29 + .../simulation/modelsim/YL_dec_counter.sft | 1 + .../simulation/modelsim/YL_dec_counter.vo | 681 +++++ .../modelsim/YL_dec_counter_modelsim.xrf | 32 + .../simulation/qsim/YL_dec_counter.do | 10 + .../simulation/qsim/YL_dec_counter.sim.vwf | 348 +++ .../simulation/qsim/YL_dec_counter.vo | 681 +++++ .../simulation/qsim/YL_dec_counter.vt | 306 ++ YL_pulsar/YL_pulsar.bdf | 162 ++ YL_pulsar/YL_pulsar.qpf | 30 + YL_pulsar/YL_pulsar.qsf | 66 + YL_pulsar/YL_pulsar.qws | Bin 0 -> 3046 bytes YL_pulsar/YL_pulsar.tdf | 35 + YL_pulsar/YL_pulsar.tdf.bak | 0 YL_pulsar/YL_pulsar.vwf | 191 ++ YL_pulsar/YL_pulsar.vwf.temp | 224 ++ YL_pulsar/db/YL_pulsar.(0).cnf.cdb | Bin 0 -> 845 bytes YL_pulsar/db/YL_pulsar.(0).cnf.hdb | Bin 0 -> 587 bytes YL_pulsar/db/YL_pulsar.(1).cnf.cdb | Bin 0 -> 1659 bytes YL_pulsar/db/YL_pulsar.(1).cnf.hdb | Bin 0 -> 521 bytes YL_pulsar/db/YL_pulsar.analyze_file.qmsg | 5 + YL_pulsar/db/YL_pulsar.asm.qmsg | 6 + YL_pulsar/db/YL_pulsar.asm.rdb | Bin 0 -> 1524 bytes YL_pulsar/db/YL_pulsar.asm_labs.ddb | Bin 0 -> 6455 bytes YL_pulsar/db/YL_pulsar.cbx.xml | 5 + YL_pulsar/db/YL_pulsar.cmp.bpm | Bin 0 -> 560 bytes YL_pulsar/db/YL_pulsar.cmp.cdb | Bin 0 -> 3782 bytes YL_pulsar/db/YL_pulsar.cmp.hdb | Bin 0 -> 10002 bytes YL_pulsar/db/YL_pulsar.cmp.idb | Bin 0 -> 1393 bytes YL_pulsar/db/YL_pulsar.cmp.kpt | Bin 0 -> 222 bytes YL_pulsar/db/YL_pulsar.cmp.logdb | 1 + YL_pulsar/db/YL_pulsar.cmp.rdb | Bin 0 -> 19345 bytes YL_pulsar/db/YL_pulsar.cmp0.ddb | Bin 0 -> 47094 bytes YL_pulsar/db/YL_pulsar.cmp1.ddb | Bin 0 -> 46670 bytes YL_pulsar/db/YL_pulsar.cmp2.ddb | Bin 0 -> 40309 bytes YL_pulsar/db/YL_pulsar.cmp_merge.kpt | Bin 0 -> 227 bytes YL_pulsar/db/YL_pulsar.db_info | 3 + YL_pulsar/db/YL_pulsar.eda.qmsg | 5 + YL_pulsar/db/YL_pulsar.eds_overflow | 1 + YL_pulsar/db/YL_pulsar.fit.qmsg | 46 + YL_pulsar/db/YL_pulsar.fnsim.cdb | Bin 0 -> 3138 bytes YL_pulsar/db/YL_pulsar.fnsim.hdb | Bin 0 -> 10113 bytes YL_pulsar/db/YL_pulsar.fnsim.qmsg | 9 + YL_pulsar/db/YL_pulsar.hier_info | 21 + YL_pulsar/db/YL_pulsar.hif | Bin 0 -> 428 bytes YL_pulsar/db/YL_pulsar.ipinfo | Bin 0 -> 177 bytes YL_pulsar/db/YL_pulsar.lpc.html | 34 + YL_pulsar/db/YL_pulsar.lpc.rdb | Bin 0 -> 449 bytes YL_pulsar/db/YL_pulsar.lpc.txt | 7 + YL_pulsar/db/YL_pulsar.map.ammdb | Bin 0 -> 137 bytes YL_pulsar/db/YL_pulsar.map.bpm | Bin 0 -> 537 bytes YL_pulsar/db/YL_pulsar.map.cdb | Bin 0 -> 2429 bytes YL_pulsar/db/YL_pulsar.map.hdb | Bin 0 -> 9336 bytes YL_pulsar/db/YL_pulsar.map.kpt | Bin 0 -> 666 bytes YL_pulsar/db/YL_pulsar.map.logdb | 1 + YL_pulsar/db/YL_pulsar.map.qmsg | 13 + YL_pulsar/db/YL_pulsar.map.rdb | Bin 0 -> 1318 bytes YL_pulsar/db/YL_pulsar.map_bb.cdb | Bin 0 -> 1731 bytes YL_pulsar/db/YL_pulsar.map_bb.hdb | Bin 0 -> 8526 bytes YL_pulsar/db/YL_pulsar.map_bb.logdb | 1 + YL_pulsar/db/YL_pulsar.pplq.rdb | Bin 0 -> 246 bytes YL_pulsar/db/YL_pulsar.pre_map.hdb | Bin 0 -> 9518 bytes YL_pulsar/db/YL_pulsar.pti_db_list.ddb | Bin 0 -> 191 bytes .../YL_pulsar.root_partition.map.reg_db.cdb | Bin 0 -> 325 bytes YL_pulsar/db/YL_pulsar.routing.rdb | Bin 0 -> 4475 bytes YL_pulsar/db/YL_pulsar.rpp.qmsg | 4 + YL_pulsar/db/YL_pulsar.rtlv.hdb | Bin 0 -> 9456 bytes YL_pulsar/db/YL_pulsar.rtlv_sg.cdb | Bin 0 -> 2053 bytes YL_pulsar/db/YL_pulsar.rtlv_sg_swap.cdb | Bin 0 -> 505 bytes YL_pulsar/db/YL_pulsar.sgate.rvd | Bin 0 -> 1569 bytes YL_pulsar/db/YL_pulsar.sgate_sm.rvd | Bin 0 -> 1989 bytes YL_pulsar/db/YL_pulsar.sgdiff.cdb | Bin 0 -> 2149 bytes YL_pulsar/db/YL_pulsar.sgdiff.hdb | Bin 0 -> 9593 bytes YL_pulsar/db/YL_pulsar.sim.hdb | Bin 0 -> 4764 bytes YL_pulsar/db/YL_pulsar.sim.qmsg | 11 + YL_pulsar/db/YL_pulsar.sim.rdb | Bin 0 -> 2553 bytes YL_pulsar/db/YL_pulsar.sim.vwf | 207 ++ YL_pulsar/db/YL_pulsar.simfam | 2 + YL_pulsar/db/YL_pulsar.sld_design_entry.sci | Bin 0 -> 216 bytes .../db/YL_pulsar.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes YL_pulsar/db/YL_pulsar.smart_action.txt | 1 + YL_pulsar/db/YL_pulsar.smp_dump.txt | 7 + YL_pulsar/db/YL_pulsar.sta.qmsg | 30 + YL_pulsar/db/YL_pulsar.sta.rdb | Bin 0 -> 6303 bytes YL_pulsar/db/YL_pulsar.syn_hier_info | 0 YL_pulsar/db/YL_pulsar.tis_db_list.ddb | Bin 0 -> 191 bytes YL_pulsar/db/YL_pulsar.vpr.ammdb | Bin 0 -> 258 bytes YL_pulsar/db/logic_util_heursitic.dat | Bin 0 -> 704 bytes YL_pulsar/db/prev_cmp_YL_pulsar.qmsg | 11 + YL_pulsar/incremental_db/README | 11 + .../compiled_partitions/YL_pulsar.db_info | 3 + .../YL_pulsar.root_partition.cmp.ammdb | Bin 0 -> 266 bytes .../YL_pulsar.root_partition.cmp.cdb | Bin 0 -> 2791 bytes .../YL_pulsar.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_pulsar.root_partition.cmp.hdb | Bin 0 -> 9506 bytes .../YL_pulsar.root_partition.cmp.kpt | Bin 0 -> 219 bytes .../YL_pulsar.root_partition.cmp.logdb | 1 + .../YL_pulsar.root_partition.cmp.rcfdb | Bin 0 -> 1074 bytes .../YL_pulsar.root_partition.map.cdb | Bin 0 -> 2299 bytes .../YL_pulsar.root_partition.map.dpi | Bin 0 -> 776 bytes .../YL_pulsar.root_partition.map.hbdb.cdb | Bin 0 -> 1299 bytes .../YL_pulsar.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes .../YL_pulsar.root_partition.map.hbdb.hdb | Bin 0 -> 9017 bytes .../YL_pulsar.root_partition.map.hbdb.sig | 1 + .../YL_pulsar.root_partition.map.hdb | Bin 0 -> 9310 bytes .../YL_pulsar.root_partition.map.kpt | Bin 0 -> 647 bytes YL_pulsar/output_files/YL_pulsar.asm.rpt | 130 + YL_pulsar/output_files/YL_pulsar.done | 1 + YL_pulsar/output_files/YL_pulsar.eda.rpt | 92 + YL_pulsar/output_files/YL_pulsar.fit.rpt | 1138 ++++++++ YL_pulsar/output_files/YL_pulsar.fit.smsg | 8 + YL_pulsar/output_files/YL_pulsar.fit.summary | 16 + YL_pulsar/output_files/YL_pulsar.flow.rpt | 128 + YL_pulsar/output_files/YL_pulsar.jdi | 8 + YL_pulsar/output_files/YL_pulsar.map.rpt | 286 ++ YL_pulsar/output_files/YL_pulsar.map.summary | 14 + YL_pulsar/output_files/YL_pulsar.pin | 554 ++++ YL_pulsar/output_files/YL_pulsar.pof | Bin 0 -> 2097373 bytes YL_pulsar/output_files/YL_pulsar.sim.rpt | 174 ++ YL_pulsar/output_files/YL_pulsar.sof | Bin 0 -> 476063 bytes YL_pulsar/output_files/YL_pulsar.sta.rpt | 511 ++++ YL_pulsar/output_files/YL_pulsar.sta.summary | 29 + YL_pulsar/pulsar.bsf | 57 + YL_pulsar/simulation/modelsim/YL_pulsar.sft | 1 + YL_pulsar/simulation/modelsim/YL_pulsar.vo | 332 +++ .../modelsim/YL_pulsar_modelsim.xrf | 18 + YL_pulsar/simulation/qsim/YL_pulsar.do | 10 + YL_pulsar/simulation/qsim/YL_pulsar.sim.vwf | 207 ++ YL_pulsar/simulation/qsim/YL_pulsar.vo | 332 +++ YL_pulsar/simulation/qsim/YL_pulsar.vt | 270 ++ YL_pushcounter/7segment.bsf | 85 + YL_pushcounter/YL_7segment.tdf | 28 + YL_pushcounter/YL_counter.tdf | 25 + YL_pushcounter/YL_counter.tdf.bak | 25 + YL_pushcounter/YL_pushcounter.bdf | 828 ++++++ YL_pushcounter/YL_pushcounter.qpf | 30 + YL_pushcounter/YL_pushcounter.qsf | 74 + YL_pushcounter/YL_pushcounter.qws | Bin 0 -> 6568 bytes YL_pushcounter/YL_pushcounter.tdf | 35 + YL_pushcounter/YL_pushcounter.vwf | 499 ++++ YL_pushcounter/db/YL_pushcounter.(0).cnf.cdb | Bin 0 -> 1470 bytes YL_pushcounter/db/YL_pushcounter.(0).cnf.hdb | Bin 0 -> 987 bytes YL_pushcounter/db/YL_pushcounter.(1).cnf.cdb | Bin 0 -> 2102 bytes YL_pushcounter/db/YL_pushcounter.(1).cnf.hdb | Bin 0 -> 590 bytes YL_pushcounter/db/YL_pushcounter.(2).cnf.cdb | Bin 0 -> 1659 bytes YL_pushcounter/db/YL_pushcounter.(2).cnf.hdb | Bin 0 -> 519 bytes YL_pushcounter/db/YL_pushcounter.(3).cnf.cdb | Bin 0 -> 2421 bytes YL_pushcounter/db/YL_pushcounter.(3).cnf.hdb | Bin 0 -> 526 bytes YL_pushcounter/db/YL_pushcounter.asm.qmsg | 6 + YL_pushcounter/db/YL_pushcounter.asm.rdb | Bin 0 -> 1529 bytes YL_pushcounter/db/YL_pushcounter.asm_labs.ddb | Bin 0 -> 7111 bytes YL_pushcounter/db/YL_pushcounter.cbx.xml | 5 + YL_pushcounter/db/YL_pushcounter.cmp.bpm | Bin 0 -> 683 bytes YL_pushcounter/db/YL_pushcounter.cmp.cdb | Bin 0 -> 7807 bytes YL_pushcounter/db/YL_pushcounter.cmp.hdb | Bin 0 -> 11076 bytes YL_pushcounter/db/YL_pushcounter.cmp.idb | Bin 0 -> 2995 bytes YL_pushcounter/db/YL_pushcounter.cmp.kpt | Bin 0 -> 226 bytes YL_pushcounter/db/YL_pushcounter.cmp.logdb | 1 + YL_pushcounter/db/YL_pushcounter.cmp.rdb | Bin 0 -> 19391 bytes YL_pushcounter/db/YL_pushcounter.cmp0.ddb | Bin 0 -> 58223 bytes YL_pushcounter/db/YL_pushcounter.cmp1.ddb | Bin 0 -> 57683 bytes YL_pushcounter/db/YL_pushcounter.cmp2.ddb | Bin 0 -> 42645 bytes .../db/YL_pushcounter.cmp_merge.kpt | Bin 0 -> 230 bytes YL_pushcounter/db/YL_pushcounter.db_info | 3 + YL_pushcounter/db/YL_pushcounter.eds_overflow | 1 + YL_pushcounter/db/YL_pushcounter.fit.qmsg | 46 + YL_pushcounter/db/YL_pushcounter.hier_info | 126 + YL_pushcounter/db/YL_pushcounter.hif | Bin 0 -> 595 bytes YL_pushcounter/db/YL_pushcounter.ipinfo | Bin 0 -> 177 bytes YL_pushcounter/db/YL_pushcounter.lpc.html | 66 + YL_pushcounter/db/YL_pushcounter.lpc.rdb | Bin 0 -> 500 bytes YL_pushcounter/db/YL_pushcounter.lpc.txt | 9 + YL_pushcounter/db/YL_pushcounter.map.ammdb | Bin 0 -> 137 bytes YL_pushcounter/db/YL_pushcounter.map.bpm | Bin 0 -> 665 bytes YL_pushcounter/db/YL_pushcounter.map.cdb | Bin 0 -> 3716 bytes YL_pushcounter/db/YL_pushcounter.map.hdb | Bin 0 -> 10462 bytes YL_pushcounter/db/YL_pushcounter.map.kpt | Bin 0 -> 784 bytes YL_pushcounter/db/YL_pushcounter.map.logdb | 1 + YL_pushcounter/db/YL_pushcounter.map.qmsg | 17 + YL_pushcounter/db/YL_pushcounter.map.rdb | Bin 0 -> 1328 bytes YL_pushcounter/db/YL_pushcounter.map_bb.cdb | Bin 0 -> 1872 bytes YL_pushcounter/db/YL_pushcounter.map_bb.hdb | Bin 0 -> 9071 bytes YL_pushcounter/db/YL_pushcounter.map_bb.logdb | 1 + YL_pushcounter/db/YL_pushcounter.pplq.rdb | Bin 0 -> 246 bytes YL_pushcounter/db/YL_pushcounter.pre_map.hdb | Bin 0 -> 10876 bytes .../db/YL_pushcounter.pti_db_list.ddb | Bin 0 -> 191 bytes ..._pushcounter.root_partition.map.reg_db.cdb | Bin 0 -> 330 bytes YL_pushcounter/db/YL_pushcounter.routing.rdb | Bin 0 -> 5171 bytes YL_pushcounter/db/YL_pushcounter.rtlv.hdb | Bin 0 -> 10813 bytes YL_pushcounter/db/YL_pushcounter.rtlv_sg.cdb | Bin 0 -> 6157 bytes .../db/YL_pushcounter.rtlv_sg_swap.cdb | Bin 0 -> 806 bytes YL_pushcounter/db/YL_pushcounter.sgdiff.cdb | Bin 0 -> 3364 bytes YL_pushcounter/db/YL_pushcounter.sgdiff.hdb | Bin 0 -> 10649 bytes YL_pushcounter/db/YL_pushcounter.sim.hdb | Bin 0 -> 4680 bytes YL_pushcounter/db/YL_pushcounter.sim.qmsg | 11 + YL_pushcounter/db/YL_pushcounter.sim.rdb | Bin 0 -> 2984 bytes YL_pushcounter/db/YL_pushcounter.sim.vwf | 521 ++++ .../db/YL_pushcounter.sld_design_entry.sci | Bin 0 -> 216 bytes .../YL_pushcounter.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes .../db/YL_pushcounter.smart_action.txt | 1 + YL_pushcounter/db/YL_pushcounter.smp_dump.txt | 7 + YL_pushcounter/db/YL_pushcounter.sta.qmsg | 30 + YL_pushcounter/db/YL_pushcounter.sta.rdb | Bin 0 -> 8521 bytes .../db/YL_pushcounter.sta_cmp.7_slow.tdb | Bin 0 -> 5679 bytes .../db/YL_pushcounter.syn_hier_info | 0 .../db/YL_pushcounter.tis_db_list.ddb | Bin 0 -> 191 bytes YL_pushcounter/db/YL_pushcounter.vpr.ammdb | Bin 0 -> 282 bytes YL_pushcounter/db/logic_util_heursitic.dat | Bin 0 -> 2112 bytes .../db/prev_cmp_YL_pushcounter.qmsg | 4 + YL_pushcounter/dec_count.bsf | 71 + YL_pushcounter/incremental_db/README | 11 + .../YL_pushcounter.db_info | 3 + .../YL_pushcounter.root_partition.cmp.ammdb | Bin 0 -> 294 bytes .../YL_pushcounter.root_partition.cmp.cdb | Bin 0 -> 4596 bytes .../YL_pushcounter.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../YL_pushcounter.root_partition.cmp.hdb | Bin 0 -> 10684 bytes .../YL_pushcounter.root_partition.cmp.kpt | Bin 0 -> 219 bytes .../YL_pushcounter.root_partition.cmp.logdb | 1 + .../YL_pushcounter.root_partition.cmp.rcfdb | Bin 0 -> 2962 bytes .../YL_pushcounter.root_partition.map.cdb | Bin 0 -> 3624 bytes .../YL_pushcounter.root_partition.map.dpi | Bin 0 -> 990 bytes ...YL_pushcounter.root_partition.map.hbdb.cdb | Bin 0 -> 1299 bytes ...ushcounter.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes ...YL_pushcounter.root_partition.map.hbdb.hdb | Bin 0 -> 10191 bytes ...YL_pushcounter.root_partition.map.hbdb.sig | 1 + .../YL_pushcounter.root_partition.map.hdb | Bin 0 -> 10524 bytes .../YL_pushcounter.root_partition.map.kpt | Bin 0 -> 775 bytes .../output_files/YL_pushcounter.asm.rpt | 130 + .../output_files/YL_pushcounter.done | 1 + .../output_files/YL_pushcounter.fit.rpt | 1237 ++++++++ .../output_files/YL_pushcounter.fit.smsg | 8 + .../output_files/YL_pushcounter.fit.summary | 16 + .../output_files/YL_pushcounter.flow.rpt | 122 + .../output_files/YL_pushcounter.jdi | 8 + .../output_files/YL_pushcounter.map.rpt | 296 ++ .../output_files/YL_pushcounter.map.summary | 14 + .../output_files/YL_pushcounter.pin | 554 ++++ .../output_files/YL_pushcounter.pof | Bin 0 -> 2097373 bytes .../output_files/YL_pushcounter.sim.rpt | 218 ++ .../output_files/YL_pushcounter.sof | Bin 0 -> 476073 bytes .../output_files/YL_pushcounter.sta.rpt | 689 +++++ .../output_files/YL_pushcounter.sta.summary | 29 + YL_pushcounter/pulsar.bsf | 57 + .../simulation/qsim/YL_pushcounter.sim.vwf | 521 ++++ 742 files changed, 64927 insertions(+) create mode 100644 Exp28/YL_7448.vwf create mode 100644 Exp28/YL_7448.vwf.temp create mode 100644 Exp28/YL_dec7748.bdf create mode 100644 Exp28/YL_dec7748.qpf create mode 100644 Exp28/YL_dec7748.qsf create mode 100644 Exp28/YL_dec7748.qws create mode 100644 Exp28/db/YL_dec7748.(0).cnf.cdb create mode 100644 Exp28/db/YL_dec7748.(0).cnf.hdb create mode 100644 Exp28/db/YL_dec7748.(1).cnf.cdb create mode 100644 Exp28/db/YL_dec7748.(1).cnf.hdb create mode 100644 Exp28/db/YL_dec7748.asm.qmsg create mode 100644 Exp28/db/YL_dec7748.asm.rdb create mode 100644 Exp28/db/YL_dec7748.asm_labs.ddb create mode 100644 Exp28/db/YL_dec7748.cbx.xml create mode 100644 Exp28/db/YL_dec7748.cmp.bpm create mode 100644 Exp28/db/YL_dec7748.cmp.cdb create mode 100644 Exp28/db/YL_dec7748.cmp.hdb create mode 100644 Exp28/db/YL_dec7748.cmp.idb create mode 100644 Exp28/db/YL_dec7748.cmp.kpt create mode 100644 Exp28/db/YL_dec7748.cmp.logdb create mode 100644 Exp28/db/YL_dec7748.cmp.rdb create mode 100644 Exp28/db/YL_dec7748.cmp0.ddb create mode 100644 Exp28/db/YL_dec7748.cmp1.ddb create mode 100644 Exp28/db/YL_dec7748.cmp2.ddb create mode 100644 Exp28/db/YL_dec7748.cmp_merge.kpt create mode 100644 Exp28/db/YL_dec7748.db_info create mode 100644 Exp28/db/YL_dec7748.eds_overflow create mode 100644 Exp28/db/YL_dec7748.fit.qmsg create mode 100644 Exp28/db/YL_dec7748.hier_info create mode 100644 Exp28/db/YL_dec7748.hif create mode 100644 Exp28/db/YL_dec7748.ipinfo create mode 100644 Exp28/db/YL_dec7748.lpc.html create mode 100644 Exp28/db/YL_dec7748.lpc.rdb create mode 100644 Exp28/db/YL_dec7748.lpc.txt create mode 100644 Exp28/db/YL_dec7748.map.ammdb create mode 100644 Exp28/db/YL_dec7748.map.bpm create mode 100644 Exp28/db/YL_dec7748.map.cdb create mode 100644 Exp28/db/YL_dec7748.map.hdb create mode 100644 Exp28/db/YL_dec7748.map.kpt create mode 100644 Exp28/db/YL_dec7748.map.logdb create mode 100644 Exp28/db/YL_dec7748.map.qmsg create mode 100644 Exp28/db/YL_dec7748.map.rdb create mode 100644 Exp28/db/YL_dec7748.map_bb.cdb create mode 100644 Exp28/db/YL_dec7748.map_bb.hdb create mode 100644 Exp28/db/YL_dec7748.map_bb.logdb create mode 100644 Exp28/db/YL_dec7748.pre_map.hdb create mode 100644 Exp28/db/YL_dec7748.pti_db_list.ddb create mode 100644 Exp28/db/YL_dec7748.root_partition.map.reg_db.cdb create mode 100644 Exp28/db/YL_dec7748.routing.rdb create mode 100644 Exp28/db/YL_dec7748.rtlv.hdb create mode 100644 Exp28/db/YL_dec7748.rtlv_sg.cdb create mode 100644 Exp28/db/YL_dec7748.rtlv_sg_swap.cdb create mode 100644 Exp28/db/YL_dec7748.sgdiff.cdb create mode 100644 Exp28/db/YL_dec7748.sgdiff.hdb create mode 100644 Exp28/db/YL_dec7748.sim.hdb create mode 100644 Exp28/db/YL_dec7748.sim.qmsg create mode 100644 Exp28/db/YL_dec7748.sim.rdb create mode 100644 Exp28/db/YL_dec7748.sim.vwf create mode 100644 Exp28/db/YL_dec7748.sld_design_entry.sci create mode 100644 Exp28/db/YL_dec7748.sld_design_entry_dsc.sci create mode 100644 Exp28/db/YL_dec7748.smart_action.txt create mode 100644 Exp28/db/YL_dec7748.sta.qmsg create mode 100644 Exp28/db/YL_dec7748.sta.rdb create mode 100644 Exp28/db/YL_dec7748.sta_cmp.7_slow.tdb create mode 100644 Exp28/db/YL_dec7748.syn_hier_info create mode 100644 Exp28/db/YL_dec7748.tis_db_list.ddb create mode 100644 Exp28/db/YL_dec7748.vpr.ammdb create mode 100644 Exp28/db/logic_util_heursitic.dat create mode 100644 Exp28/db/prev_cmp_YL_dec7748.qmsg create mode 100644 Exp28/incremental_db/README create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.db_info create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.ammdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.cdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.dfp create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.hdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.kpt create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.logdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.rcfdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.cdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.dpi create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.cdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.hb_info create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.hdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.sig create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hdb create mode 100644 Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.kpt create mode 100644 Exp28/output_files/YL_dec7748.asm.rpt create mode 100644 Exp28/output_files/YL_dec7748.done create mode 100644 Exp28/output_files/YL_dec7748.fit.rpt create mode 100644 Exp28/output_files/YL_dec7748.fit.smsg create mode 100644 Exp28/output_files/YL_dec7748.fit.summary create mode 100644 Exp28/output_files/YL_dec7748.flow.rpt create mode 100644 Exp28/output_files/YL_dec7748.jdi create mode 100644 Exp28/output_files/YL_dec7748.map.rpt create mode 100644 Exp28/output_files/YL_dec7748.map.summary create mode 100644 Exp28/output_files/YL_dec7748.pin create mode 100644 Exp28/output_files/YL_dec7748.pof create mode 100644 Exp28/output_files/YL_dec7748.sim.rpt create mode 100644 Exp28/output_files/YL_dec7748.sof create mode 100644 Exp28/output_files/YL_dec7748.sta.rpt create mode 100644 Exp28/output_files/YL_dec7748.sta.summary create mode 100644 Exp28/simulation/qsim/YL_dec7748.sim.vwf create mode 100644 Exp28_Decoder/7segment.bsf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.bdf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.qpf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.qsf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.qws create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.tdf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.vwf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder.vwf.temp create mode 100644 Exp28_Decoder/YL_7SegmentDecoder2.bdf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder2.vwf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder3.vwf create mode 100644 Exp28_Decoder/YL_7SegmentDecoder3.vwf.temp create mode 100644 Exp28_Decoder/YL_7segment_sign.tdf create mode 100644 Exp28_Decoder/YL_7segment_sign.tdf.bak create mode 100644 Exp28_Decoder/YL_Cascade.bdf create mode 100644 Exp28_Decoder/YL_cascade.vwf create mode 100644 Exp28_Decoder/YL_dec_counter.tdf create mode 100644 Exp28_Decoder/YL_dec_counter.tdf.bak create mode 100644 Exp28_Decoder/YL_sec_cnt.tdf create mode 100644 Exp28_Decoder/YL_sec_cnt.tdf.bak create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(1).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(1).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(3).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(3).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(4).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(4).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(5).cnf.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.(5).cnf.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.asm.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.asm.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.asm_labs.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.bpm create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.idb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.kpt create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.logdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp0.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp1.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp2.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.cmp_merge.kpt create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.db_info create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.eda.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.eds_overflow create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.fit.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.hier_info create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.hif create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.ipinfo create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.lpc.html create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.lpc.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.lpc.txt create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.ammdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.bpm create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.kpt create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.logdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.logdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.pplq.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.pre_map.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.pti_db_list.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.root_partition.map.reg_db.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.routing.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.rpp.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.rtlv.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.rtlv_sg.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.rtlv_sg_swap.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sgate_sm.rvd create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.cdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sim.hdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sim.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sim.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sim.vwf create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.simfam create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry.sci create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry_dsc.sci create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.smart_action.txt create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sta.qmsg create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.sta.rdb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.syn_hier_info create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.tis_db_list.ddb create mode 100644 Exp28_Decoder/db/YL_7SegmentDecoder.vpr.ammdb create mode 100644 Exp28_Decoder/db/logic_util_heursitic.dat create mode 100644 Exp28_Decoder/db/prev_cmp_YL_7SegmentDecoder.qmsg create mode 100644 Exp28_Decoder/dec_count.bsf create mode 100644 Exp28_Decoder/incremental_db/README create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.db_info create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.ammdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.cdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.dfp create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.hdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.kpt create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.logdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.rcfdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.cdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.dpi create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.cdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hb_info create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.sig create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hdb create mode 100644 Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.kpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.asm.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.done create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.eda.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.fit.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.fit.smsg create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.fit.summary create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.flow.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.jdi create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.map.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.map.summary create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.pin create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.pof create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.sim.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.sof create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.sta.rpt create mode 100644 Exp28_Decoder/output_files/YL_7SegmentDecoder.sta.summary create mode 100644 Exp28_Decoder/output_files/YL_adder.done create mode 100644 Exp28_Decoder/sec_cnt.bsf create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder.sft create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder.vo create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_fast.vo create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_modelsim.xrf create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v.sdo create mode 100644 Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v_fast.sdo create mode 100644 Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.do create mode 100644 Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.sim.vwf create mode 100644 Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vo create mode 100644 Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vt create mode 100644 Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder_v.sdo create mode 100644 YL_adder/YL_adde.vwf create mode 100644 YL_adder/YL_adde.vwf.temp create mode 100644 YL_adder/YL_adder.bdf create mode 100644 YL_adder/YL_adder.qpf create mode 100644 YL_adder/YL_adder.qsf create mode 100644 YL_adder/YL_adder.qws create mode 100644 YL_adder/YL_encoder.tdf.bak create mode 100644 YL_adder/db/YL_adder.(0).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(0).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(1).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(1).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(2).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(2).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(3).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(3).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(4).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(4).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(5).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(5).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(6).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(6).cnf.hdb create mode 100644 YL_adder/db/YL_adder.(7).cnf.cdb create mode 100644 YL_adder/db/YL_adder.(7).cnf.hdb create mode 100644 YL_adder/db/YL_adder.analyze_file.qmsg create mode 100644 YL_adder/db/YL_adder.asm.qmsg create mode 100644 YL_adder/db/YL_adder.asm.rdb create mode 100644 YL_adder/db/YL_adder.asm_labs.ddb create mode 100644 YL_adder/db/YL_adder.cbx.xml create mode 100644 YL_adder/db/YL_adder.cmp.bpm create mode 100644 YL_adder/db/YL_adder.cmp.cdb create mode 100644 YL_adder/db/YL_adder.cmp.hdb create mode 100644 YL_adder/db/YL_adder.cmp.idb create mode 100644 YL_adder/db/YL_adder.cmp.kpt create mode 100644 YL_adder/db/YL_adder.cmp.logdb create mode 100644 YL_adder/db/YL_adder.cmp.rdb create mode 100644 YL_adder/db/YL_adder.cmp0.ddb create mode 100644 YL_adder/db/YL_adder.cmp1.ddb create mode 100644 YL_adder/db/YL_adder.cmp2.ddb create mode 100644 YL_adder/db/YL_adder.cmp_merge.kpt create mode 100644 YL_adder/db/YL_adder.db_info create mode 100644 YL_adder/db/YL_adder.eda.qmsg create mode 100644 YL_adder/db/YL_adder.eds_overflow create mode 100644 YL_adder/db/YL_adder.fit.qmsg create mode 100644 YL_adder/db/YL_adder.fnsim.cdb create mode 100644 YL_adder/db/YL_adder.fnsim.hdb create mode 100644 YL_adder/db/YL_adder.fnsim.qmsg create mode 100644 YL_adder/db/YL_adder.hier_info create mode 100644 YL_adder/db/YL_adder.hif create mode 100644 YL_adder/db/YL_adder.ipinfo create mode 100644 YL_adder/db/YL_adder.lpc.html create mode 100644 YL_adder/db/YL_adder.lpc.rdb create mode 100644 YL_adder/db/YL_adder.lpc.txt create mode 100644 YL_adder/db/YL_adder.map.ammdb create mode 100644 YL_adder/db/YL_adder.map.bpm create mode 100644 YL_adder/db/YL_adder.map.cdb create mode 100644 YL_adder/db/YL_adder.map.hdb create mode 100644 YL_adder/db/YL_adder.map.kpt create mode 100644 YL_adder/db/YL_adder.map.logdb create mode 100644 YL_adder/db/YL_adder.map.qmsg create mode 100644 YL_adder/db/YL_adder.map.rdb create mode 100644 YL_adder/db/YL_adder.map_bb.cdb create mode 100644 YL_adder/db/YL_adder.map_bb.hdb create mode 100644 YL_adder/db/YL_adder.map_bb.logdb create mode 100644 YL_adder/db/YL_adder.pplq.rdb create mode 100644 YL_adder/db/YL_adder.pre_map.hdb create mode 100644 YL_adder/db/YL_adder.pti_db_list.ddb create mode 100644 YL_adder/db/YL_adder.root_partition.map.reg_db.cdb create mode 100644 YL_adder/db/YL_adder.routing.rdb create mode 100644 YL_adder/db/YL_adder.rtlv.hdb create mode 100644 YL_adder/db/YL_adder.rtlv_sg.cdb create mode 100644 YL_adder/db/YL_adder.rtlv_sg_swap.cdb create mode 100644 YL_adder/db/YL_adder.sgdiff.cdb create mode 100644 YL_adder/db/YL_adder.sgdiff.hdb create mode 100644 YL_adder/db/YL_adder.sim.hdb create mode 100644 YL_adder/db/YL_adder.sim.qmsg create mode 100644 YL_adder/db/YL_adder.sim.rdb create mode 100644 YL_adder/db/YL_adder.sim.vwf create mode 100644 YL_adder/db/YL_adder.simfam create mode 100644 YL_adder/db/YL_adder.sld_design_entry.sci create mode 100644 YL_adder/db/YL_adder.sld_design_entry_dsc.sci create mode 100644 YL_adder/db/YL_adder.smart_action.txt create mode 100644 YL_adder/db/YL_adder.sta.qmsg create mode 100644 YL_adder/db/YL_adder.sta.rdb create mode 100644 YL_adder/db/YL_adder.syn_hier_info create mode 100644 YL_adder/db/YL_adder.tis_db_list.ddb create mode 100644 YL_adder/db/YL_adder.vpr.ammdb create mode 100644 YL_adder/db/logic_util_heursitic.dat create mode 100644 YL_adder/db/prev_cmp_YL_adder.qmsg create mode 100644 YL_adder/encoder.bsf create mode 100644 YL_adder/encoder.tdf create mode 100644 YL_adder/incremental_db/README create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.db_info create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.ammdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.cdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.dfp create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.hdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.kpt create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.logdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.rcfdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.cdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.dpi create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.cdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.hb_info create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.hdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.sig create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hdb create mode 100644 YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.kpt create mode 100644 YL_adder/operator.bsf create mode 100644 YL_adder/operator.tdf create mode 100644 YL_adder/operator.tdf.bak create mode 100644 YL_adder/output_files/YL_adder.asm.rpt create mode 100644 YL_adder/output_files/YL_adder.done create mode 100644 YL_adder/output_files/YL_adder.eda.rpt create mode 100644 YL_adder/output_files/YL_adder.fit.rpt create mode 100644 YL_adder/output_files/YL_adder.fit.smsg create mode 100644 YL_adder/output_files/YL_adder.fit.summary create mode 100644 YL_adder/output_files/YL_adder.flow.rpt create mode 100644 YL_adder/output_files/YL_adder.jdi create mode 100644 YL_adder/output_files/YL_adder.map.rpt create mode 100644 YL_adder/output_files/YL_adder.map.summary create mode 100644 YL_adder/output_files/YL_adder.pin create mode 100644 YL_adder/output_files/YL_adder.pof create mode 100644 YL_adder/output_files/YL_adder.sim.rpt create mode 100644 YL_adder/output_files/YL_adder.sof create mode 100644 YL_adder/output_files/YL_adder.sta.rpt create mode 100644 YL_adder/output_files/YL_adder.sta.summary create mode 100644 YL_adder/overflow.bsf create mode 100644 YL_adder/overflow.tdf create mode 100644 YL_adder/segment.bsf create mode 100644 YL_adder/segment.tdf create mode 100644 YL_adder/sign.bsf create mode 100644 YL_adder/sign.tdf create mode 100644 YL_adder/simulation/modelsim/YL_adder.sft create mode 100644 YL_adder/simulation/modelsim/YL_adder.vo create mode 100644 YL_adder/simulation/modelsim/YL_adder_fast.vo create mode 100644 YL_adder/simulation/modelsim/YL_adder_modelsim.xrf create mode 100644 YL_adder/simulation/modelsim/YL_adder_v.sdo create mode 100644 YL_adder/simulation/modelsim/YL_adder_v_fast.sdo create mode 100644 YL_adder/simulation/qsim/YL_adder.do create mode 100644 YL_adder/simulation/qsim/YL_adder.sim.vwf create mode 100644 YL_adder/simulation/qsim/YL_adder.vo create mode 100644 YL_adder/simulation/qsim/YL_adder.vt create mode 100644 YL_adder/simulation/qsim/YL_adder_v.sdo create mode 100644 YL_dec_counter/YL_DecCounter.vwf create mode 100644 YL_dec_counter/YL_DecCounter.vwf.temp create mode 100644 YL_dec_counter/YL_dec_counter.bdf create mode 100644 YL_dec_counter/YL_dec_counter.qpf create mode 100644 YL_dec_counter/YL_dec_counter.qsf create mode 100644 YL_dec_counter/YL_dec_counter.qws create mode 100644 YL_dec_counter/YL_dec_counter.tdf create mode 100644 YL_dec_counter/YL_dec_counter.tdf.bak create mode 100644 YL_dec_counter/db/YL_dec_counter.(0).cnf.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.(0).cnf.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.(1).cnf.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.(1).cnf.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.asm.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.asm.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.asm_labs.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.cbx.xml create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.bpm create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.idb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.kpt create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.logdb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp0.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp1.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp2.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.cmp_merge.kpt create mode 100644 YL_dec_counter/db/YL_dec_counter.db_info create mode 100644 YL_dec_counter/db/YL_dec_counter.eda.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.eds_overflow create mode 100644 YL_dec_counter/db/YL_dec_counter.fit.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.fnsim.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.fnsim.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.fnsim.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.hier_info create mode 100644 YL_dec_counter/db/YL_dec_counter.hif create mode 100644 YL_dec_counter/db/YL_dec_counter.ipinfo create mode 100644 YL_dec_counter/db/YL_dec_counter.lpc.html create mode 100644 YL_dec_counter/db/YL_dec_counter.lpc.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.lpc.txt create mode 100644 YL_dec_counter/db/YL_dec_counter.map.ammdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map.bpm create mode 100644 YL_dec_counter/db/YL_dec_counter.map.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map.kpt create mode 100644 YL_dec_counter/db/YL_dec_counter.map.logdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.map.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map_bb.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map_bb.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.map_bb.logdb create mode 100644 YL_dec_counter/db/YL_dec_counter.pre_map.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.pti_db_list.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.root_partition.map.reg_db.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.routing.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.rtlv.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.rtlv_sg.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.rtlv_sg_swap.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.sgdiff.cdb create mode 100644 YL_dec_counter/db/YL_dec_counter.sgdiff.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.sim.hdb create mode 100644 YL_dec_counter/db/YL_dec_counter.sim.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.sim.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.sim.vwf create mode 100644 YL_dec_counter/db/YL_dec_counter.simfam create mode 100644 YL_dec_counter/db/YL_dec_counter.sld_design_entry.sci create mode 100644 YL_dec_counter/db/YL_dec_counter.sld_design_entry_dsc.sci create mode 100644 YL_dec_counter/db/YL_dec_counter.smart_action.txt create mode 100644 YL_dec_counter/db/YL_dec_counter.sta.qmsg create mode 100644 YL_dec_counter/db/YL_dec_counter.sta.rdb create mode 100644 YL_dec_counter/db/YL_dec_counter.syn_hier_info create mode 100644 YL_dec_counter/db/YL_dec_counter.tis_db_list.ddb create mode 100644 YL_dec_counter/db/YL_dec_counter.vpr.ammdb create mode 100644 YL_dec_counter/db/logic_util_heursitic.dat create mode 100644 YL_dec_counter/db/prev_cmp_YL_dec_counter.qmsg create mode 100644 YL_dec_counter/dec_count.bsf create mode 100644 YL_dec_counter/incremental_db/README create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.db_info create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.ammdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.cdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.dfp create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.hdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.kpt create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.logdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.rcfdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.cdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.dpi create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.cdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hb_info create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.sig create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hdb create mode 100644 YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.kpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.asm.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.done create mode 100644 YL_dec_counter/output_files/YL_dec_counter.eda.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.fit.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.fit.smsg create mode 100644 YL_dec_counter/output_files/YL_dec_counter.fit.summary create mode 100644 YL_dec_counter/output_files/YL_dec_counter.flow.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.jdi create mode 100644 YL_dec_counter/output_files/YL_dec_counter.map.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.map.summary create mode 100644 YL_dec_counter/output_files/YL_dec_counter.pin create mode 100644 YL_dec_counter/output_files/YL_dec_counter.pof create mode 100644 YL_dec_counter/output_files/YL_dec_counter.sim.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.sof create mode 100644 YL_dec_counter/output_files/YL_dec_counter.sta.rpt create mode 100644 YL_dec_counter/output_files/YL_dec_counter.sta.summary create mode 100644 YL_dec_counter/simulation/modelsim/YL_dec_counter.sft create mode 100644 YL_dec_counter/simulation/modelsim/YL_dec_counter.vo create mode 100644 YL_dec_counter/simulation/modelsim/YL_dec_counter_modelsim.xrf create mode 100644 YL_dec_counter/simulation/qsim/YL_dec_counter.do create mode 100644 YL_dec_counter/simulation/qsim/YL_dec_counter.sim.vwf create mode 100644 YL_dec_counter/simulation/qsim/YL_dec_counter.vo create mode 100644 YL_dec_counter/simulation/qsim/YL_dec_counter.vt create mode 100644 YL_pulsar/YL_pulsar.bdf create mode 100644 YL_pulsar/YL_pulsar.qpf create mode 100644 YL_pulsar/YL_pulsar.qsf create mode 100644 YL_pulsar/YL_pulsar.qws create mode 100644 YL_pulsar/YL_pulsar.tdf create mode 100644 YL_pulsar/YL_pulsar.tdf.bak create mode 100644 YL_pulsar/YL_pulsar.vwf create mode 100644 YL_pulsar/YL_pulsar.vwf.temp create mode 100644 YL_pulsar/db/YL_pulsar.(0).cnf.cdb create mode 100644 YL_pulsar/db/YL_pulsar.(0).cnf.hdb create mode 100644 YL_pulsar/db/YL_pulsar.(1).cnf.cdb create mode 100644 YL_pulsar/db/YL_pulsar.(1).cnf.hdb create mode 100644 YL_pulsar/db/YL_pulsar.analyze_file.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.asm.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.asm.rdb create mode 100644 YL_pulsar/db/YL_pulsar.asm_labs.ddb create mode 100644 YL_pulsar/db/YL_pulsar.cbx.xml create mode 100644 YL_pulsar/db/YL_pulsar.cmp.bpm create mode 100644 YL_pulsar/db/YL_pulsar.cmp.cdb create mode 100644 YL_pulsar/db/YL_pulsar.cmp.hdb create mode 100644 YL_pulsar/db/YL_pulsar.cmp.idb create mode 100644 YL_pulsar/db/YL_pulsar.cmp.kpt create mode 100644 YL_pulsar/db/YL_pulsar.cmp.logdb create mode 100644 YL_pulsar/db/YL_pulsar.cmp.rdb create mode 100644 YL_pulsar/db/YL_pulsar.cmp0.ddb create mode 100644 YL_pulsar/db/YL_pulsar.cmp1.ddb create mode 100644 YL_pulsar/db/YL_pulsar.cmp2.ddb create mode 100644 YL_pulsar/db/YL_pulsar.cmp_merge.kpt create mode 100644 YL_pulsar/db/YL_pulsar.db_info create mode 100644 YL_pulsar/db/YL_pulsar.eda.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.eds_overflow create mode 100644 YL_pulsar/db/YL_pulsar.fit.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.fnsim.cdb create mode 100644 YL_pulsar/db/YL_pulsar.fnsim.hdb create mode 100644 YL_pulsar/db/YL_pulsar.fnsim.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.hier_info create mode 100644 YL_pulsar/db/YL_pulsar.hif create mode 100644 YL_pulsar/db/YL_pulsar.ipinfo create mode 100644 YL_pulsar/db/YL_pulsar.lpc.html create mode 100644 YL_pulsar/db/YL_pulsar.lpc.rdb create mode 100644 YL_pulsar/db/YL_pulsar.lpc.txt create mode 100644 YL_pulsar/db/YL_pulsar.map.ammdb create mode 100644 YL_pulsar/db/YL_pulsar.map.bpm create mode 100644 YL_pulsar/db/YL_pulsar.map.cdb create mode 100644 YL_pulsar/db/YL_pulsar.map.hdb create mode 100644 YL_pulsar/db/YL_pulsar.map.kpt create mode 100644 YL_pulsar/db/YL_pulsar.map.logdb create mode 100644 YL_pulsar/db/YL_pulsar.map.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.map.rdb create mode 100644 YL_pulsar/db/YL_pulsar.map_bb.cdb create mode 100644 YL_pulsar/db/YL_pulsar.map_bb.hdb create mode 100644 YL_pulsar/db/YL_pulsar.map_bb.logdb create mode 100644 YL_pulsar/db/YL_pulsar.pplq.rdb create mode 100644 YL_pulsar/db/YL_pulsar.pre_map.hdb create mode 100644 YL_pulsar/db/YL_pulsar.pti_db_list.ddb create mode 100644 YL_pulsar/db/YL_pulsar.root_partition.map.reg_db.cdb create mode 100644 YL_pulsar/db/YL_pulsar.routing.rdb create mode 100644 YL_pulsar/db/YL_pulsar.rpp.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.rtlv.hdb create mode 100644 YL_pulsar/db/YL_pulsar.rtlv_sg.cdb create mode 100644 YL_pulsar/db/YL_pulsar.rtlv_sg_swap.cdb create mode 100644 YL_pulsar/db/YL_pulsar.sgate.rvd create mode 100644 YL_pulsar/db/YL_pulsar.sgate_sm.rvd create mode 100644 YL_pulsar/db/YL_pulsar.sgdiff.cdb create mode 100644 YL_pulsar/db/YL_pulsar.sgdiff.hdb create mode 100644 YL_pulsar/db/YL_pulsar.sim.hdb create mode 100644 YL_pulsar/db/YL_pulsar.sim.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.sim.rdb create mode 100644 YL_pulsar/db/YL_pulsar.sim.vwf create mode 100644 YL_pulsar/db/YL_pulsar.simfam create mode 100644 YL_pulsar/db/YL_pulsar.sld_design_entry.sci create mode 100644 YL_pulsar/db/YL_pulsar.sld_design_entry_dsc.sci create mode 100644 YL_pulsar/db/YL_pulsar.smart_action.txt create mode 100644 YL_pulsar/db/YL_pulsar.smp_dump.txt create mode 100644 YL_pulsar/db/YL_pulsar.sta.qmsg create mode 100644 YL_pulsar/db/YL_pulsar.sta.rdb create mode 100644 YL_pulsar/db/YL_pulsar.syn_hier_info create mode 100644 YL_pulsar/db/YL_pulsar.tis_db_list.ddb create mode 100644 YL_pulsar/db/YL_pulsar.vpr.ammdb create mode 100644 YL_pulsar/db/logic_util_heursitic.dat create mode 100644 YL_pulsar/db/prev_cmp_YL_pulsar.qmsg create mode 100644 YL_pulsar/incremental_db/README create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.db_info create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.ammdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.cdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.dfp create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.hdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.kpt create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.logdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.rcfdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.cdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.dpi create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.cdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hb_info create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.sig create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hdb create mode 100644 YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.kpt create mode 100644 YL_pulsar/output_files/YL_pulsar.asm.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.done create mode 100644 YL_pulsar/output_files/YL_pulsar.eda.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.fit.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.fit.smsg create mode 100644 YL_pulsar/output_files/YL_pulsar.fit.summary create mode 100644 YL_pulsar/output_files/YL_pulsar.flow.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.jdi create mode 100644 YL_pulsar/output_files/YL_pulsar.map.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.map.summary create mode 100644 YL_pulsar/output_files/YL_pulsar.pin create mode 100644 YL_pulsar/output_files/YL_pulsar.pof create mode 100644 YL_pulsar/output_files/YL_pulsar.sim.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.sof create mode 100644 YL_pulsar/output_files/YL_pulsar.sta.rpt create mode 100644 YL_pulsar/output_files/YL_pulsar.sta.summary create mode 100644 YL_pulsar/pulsar.bsf create mode 100644 YL_pulsar/simulation/modelsim/YL_pulsar.sft create mode 100644 YL_pulsar/simulation/modelsim/YL_pulsar.vo create mode 100644 YL_pulsar/simulation/modelsim/YL_pulsar_modelsim.xrf create mode 100644 YL_pulsar/simulation/qsim/YL_pulsar.do create mode 100644 YL_pulsar/simulation/qsim/YL_pulsar.sim.vwf create mode 100644 YL_pulsar/simulation/qsim/YL_pulsar.vo create mode 100644 YL_pulsar/simulation/qsim/YL_pulsar.vt create mode 100644 YL_pushcounter/7segment.bsf create mode 100644 YL_pushcounter/YL_7segment.tdf create mode 100644 YL_pushcounter/YL_counter.tdf create mode 100644 YL_pushcounter/YL_counter.tdf.bak create mode 100644 YL_pushcounter/YL_pushcounter.bdf create mode 100644 YL_pushcounter/YL_pushcounter.qpf create mode 100644 YL_pushcounter/YL_pushcounter.qsf create mode 100644 YL_pushcounter/YL_pushcounter.qws create mode 100644 YL_pushcounter/YL_pushcounter.tdf create mode 100644 YL_pushcounter/YL_pushcounter.vwf create mode 100644 YL_pushcounter/db/YL_pushcounter.(0).cnf.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(0).cnf.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(1).cnf.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(1).cnf.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(2).cnf.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(2).cnf.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(3).cnf.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.(3).cnf.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.asm.qmsg create mode 100644 YL_pushcounter/db/YL_pushcounter.asm.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.asm_labs.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.cbx.xml create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.bpm create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.idb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.kpt create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.logdb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp0.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp1.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp2.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.cmp_merge.kpt create mode 100644 YL_pushcounter/db/YL_pushcounter.db_info create mode 100644 YL_pushcounter/db/YL_pushcounter.eds_overflow create mode 100644 YL_pushcounter/db/YL_pushcounter.fit.qmsg create mode 100644 YL_pushcounter/db/YL_pushcounter.hier_info create mode 100644 YL_pushcounter/db/YL_pushcounter.hif create mode 100644 YL_pushcounter/db/YL_pushcounter.ipinfo create mode 100644 YL_pushcounter/db/YL_pushcounter.lpc.html create mode 100644 YL_pushcounter/db/YL_pushcounter.lpc.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.lpc.txt create mode 100644 YL_pushcounter/db/YL_pushcounter.map.ammdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map.bpm create mode 100644 YL_pushcounter/db/YL_pushcounter.map.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map.kpt create mode 100644 YL_pushcounter/db/YL_pushcounter.map.logdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map.qmsg create mode 100644 YL_pushcounter/db/YL_pushcounter.map.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map_bb.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map_bb.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.map_bb.logdb create mode 100644 YL_pushcounter/db/YL_pushcounter.pplq.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.pre_map.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.pti_db_list.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.root_partition.map.reg_db.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.routing.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.rtlv.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.rtlv_sg.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.rtlv_sg_swap.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sgdiff.cdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sgdiff.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sim.hdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sim.qmsg create mode 100644 YL_pushcounter/db/YL_pushcounter.sim.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sim.vwf create mode 100644 YL_pushcounter/db/YL_pushcounter.sld_design_entry.sci create mode 100644 YL_pushcounter/db/YL_pushcounter.sld_design_entry_dsc.sci create mode 100644 YL_pushcounter/db/YL_pushcounter.smart_action.txt create mode 100644 YL_pushcounter/db/YL_pushcounter.smp_dump.txt create mode 100644 YL_pushcounter/db/YL_pushcounter.sta.qmsg create mode 100644 YL_pushcounter/db/YL_pushcounter.sta.rdb create mode 100644 YL_pushcounter/db/YL_pushcounter.sta_cmp.7_slow.tdb create mode 100644 YL_pushcounter/db/YL_pushcounter.syn_hier_info create mode 100644 YL_pushcounter/db/YL_pushcounter.tis_db_list.ddb create mode 100644 YL_pushcounter/db/YL_pushcounter.vpr.ammdb create mode 100644 YL_pushcounter/db/logic_util_heursitic.dat create mode 100644 YL_pushcounter/db/prev_cmp_YL_pushcounter.qmsg create mode 100644 YL_pushcounter/dec_count.bsf create mode 100644 YL_pushcounter/incremental_db/README create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.db_info create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.ammdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.cdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.dfp create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.hdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.kpt create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.logdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.rcfdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.cdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.dpi create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.cdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.hb_info create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.hdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.sig create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hdb create mode 100644 YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.kpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.asm.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.done create mode 100644 YL_pushcounter/output_files/YL_pushcounter.fit.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.fit.smsg create mode 100644 YL_pushcounter/output_files/YL_pushcounter.fit.summary create mode 100644 YL_pushcounter/output_files/YL_pushcounter.flow.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.jdi create mode 100644 YL_pushcounter/output_files/YL_pushcounter.map.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.map.summary create mode 100644 YL_pushcounter/output_files/YL_pushcounter.pin create mode 100644 YL_pushcounter/output_files/YL_pushcounter.pof create mode 100644 YL_pushcounter/output_files/YL_pushcounter.sim.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.sof create mode 100644 YL_pushcounter/output_files/YL_pushcounter.sta.rpt create mode 100644 YL_pushcounter/output_files/YL_pushcounter.sta.summary create mode 100644 YL_pushcounter/pulsar.bsf create mode 100644 YL_pushcounter/simulation/qsim/YL_pushcounter.sim.vwf diff --git a/Exp28/YL_7448.vwf b/Exp28/YL_7448.vwf new file mode 100644 index 0000000..cbbfa5c --- /dev/null +++ b/Exp28/YL_7448.vwf @@ -0,0 +1,367 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("INPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("INPUT_A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_C") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28/YL_7448.vwf.temp b/Exp28/YL_7448.vwf.temp new file mode 100644 index 0000000..cbbfa5c --- /dev/null +++ b/Exp28/YL_7448.vwf.temp @@ -0,0 +1,367 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("INPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("INPUT_A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_C") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28/YL_dec7748.bdf b/Exp28/YL_dec7748.bdf new file mode 100644 index 0000000..598cdec --- /dev/null +++ b/Exp28/YL_dec7748.bdf @@ -0,0 +1,403 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 320 240 488 256) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_A" (rect 5 0 52 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 320 256 488 272) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_B" (rect 5 0 51 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 320 272 488 288) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_C" (rect 5 0 52 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 320 288 488 304) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_D" (rect 5 0 52 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 776 240 952 256) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 256 952 272) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 272 952 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 288 952 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 304 952 320) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 320 952 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 776 336 952 352) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 584 224 704 384) + (text "7448" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst" (rect 3 149 18 159)(font "Arial" (font_size 6))) + (port + (pt 0 24) + (input) + (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) + (text "A" (rect 21 17 30 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 21 49 29 63)(font "Arial" (font_size 8))) + (text "C" (rect 21 49 29 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)) + ) + (port + (pt 0 72) + (input) + (text "D" (rect 21 65 29 79)(font "Arial" (font_size 8))) + (text "D" (rect 21 65 29 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) + (text "B" (rect 21 33 29 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 21 97 48 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 21 97 48 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 21 113 39 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 21 113 39 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 21 81 43 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 21 81 43 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 48 100 62)(font "Arial" (font_size 8))) + (text "OC" (rect 85 48 102 62)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 80 99 94)(font "Arial" (font_size 8))) + (text "OE" (rect 86 80 102 94)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 64 100 78)(font "Arial" (font_size 8))) + (text "OD" (rect 85 64 102 78)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 83 96 99 110)(font "Arial" (font_size 8))) + (text "OF" (rect 86 96 102 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 112 101 126)(font "Arial" (font_size 8))) + (text "OG" (rect 84 112 102 126)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 32 100 46)(font "Arial" (font_size 8))) + (text "OB" (rect 85 32 102 46)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 16 101 30)(font "Arial" (font_size 8))) + (text "OA" (rect 84 16 102 30)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 128 101 142)(font "Arial" (font_size 8))) + (text "RBON" (rect 79 128 113 142)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)) + ) + (drawing + (text "BCD TO 7SEG" (rect 29 145 109 159)(font "Arial" (font_size 8))) + (line (pt 16 16)(pt 104 16)) + (line (pt 16 144)(pt 104 144)) + (line (pt 104 144)(pt 104 16)) + (line (pt 16 144)(pt 16 16)) + (circle (rect 104 132 112 140)) + (circle (rect 8 116 16 124)) + (circle (rect 8 100 16 108)) + (circle (rect 8 84 16 92)) + ) +) +(symbol + (rect 520 176 552 192) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(connector + (pt 488 264) + (pt 584 264) +) +(connector + (pt 584 280) + (pt 488 280) +) +(connector + (pt 584 248) + (pt 488 248) +) +(connector + (pt 704 264) + (pt 776 264) +) +(connector + (pt 704 280) + (pt 776 280) +) +(connector + (pt 776 296) + (pt 704 296) +) +(connector + (pt 704 312) + (pt 776 312) +) +(connector + (pt 776 328) + (pt 704 328) +) +(connector + (pt 704 344) + (pt 776 344) +) +(connector + (pt 584 296) + (pt 488 296) +) +(connector + (pt 704 248) + (pt 776 248) +) +(connector + (pt 536 344) + (pt 584 344) +) +(connector + (pt 584 312) + (pt 536 312) +) +(connector + (pt 536 192) + (pt 536 312) +) +(connector + (pt 584 328) + (pt 536 328) +) +(connector + (pt 536 312) + (pt 536 328) +) +(connector + (pt 536 328) + (pt 536 344) +) +(junction (pt 536 312)) +(junction (pt 536 328)) diff --git a/Exp28/YL_dec7748.qpf b/Exp28/YL_dec7748.qpf new file mode 100644 index 0000000..a328293 --- /dev/null +++ b/Exp28/YL_dec7748.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 15:51:11 May 03, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "15:51:11 May 03, 2020" + +# Revisions + +PROJECT_REVISION = "YL_dec7748" diff --git a/Exp28/YL_dec7748.qsf b/Exp28/YL_dec7748.qsf new file mode 100644 index 0000000..da4e843 --- /dev/null +++ b/Exp28/YL_dec7748.qsf @@ -0,0 +1,60 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 15:51:11 May 03, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_dec7748_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_dec7748 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:51:11 MAY 03, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name BDF_FILE YL_dec7748.bdf +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_7448.vwf +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_7448.vwf" \ No newline at end of file diff --git a/Exp28/YL_dec7748.qws b/Exp28/YL_dec7748.qws new file mode 100644 index 0000000000000000000000000000000000000000..4e7223ce5277e5a5f9f4da8235404e2414863298 GIT binary patch literal 2010 zcmds%&1w@-7>3`Ox`-QbDd-}INEOm##+1~GMT~V-5JVS(P|{?gA+;$@%@NXe$p_{?6y)8BLJZN_CQPi?lfT~g@e5Rk_ zC)EP@17xeJsLZ#ZIjn4}iRYL9$a90J>-cvB_P<8#_CEux=mqgss7RS;>mlL-cmkHn zSO#1Lt8p*megUeCg-r7*aiavU1~1Xh@l`X5@cjYU1N6fi`Q_>GDUcHb$Z_U&2Ly-W zJ(h<+MtpirWx}_Q=rEzJ1aq8|=6v;l4@j3kn6qqLI2V2X^f7ppz92qJ7ANuW2K*ba z2#wMBHTeE=CoA+Qp%?B`GxRToX?LRQTs^JZT+JwfRqAR_ zQwpJ>Jgd9B2#abOk!42a^Nq(H$RH zKdA}ByfaKHIxsY$7`Sc|$kMKh9(`v!Pu2aLt>Y(8(oOa%=LYF#VebuYMoXwabUjJu gy{$n1ALHi?k34>VZ)j#NQRVBz78Zs7X)qD%7Y!>_J^%m! literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.(0).cnf.cdb b/Exp28/db/YL_dec7748.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..97d1077542c8424eed9d73ce548afc3420d89175 GIT binary patch literal 1001 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Eo#00000004gi00000 z003M900000008L&00000004La)K*JN!$1&Dd|=gse?q)?EhwJ0sSgU$inM3XA}FZf zF+W)^zP0Q3O){HlY-`$z(vj)ze);CHvy+Y9d*6qyM^W^Nv8sX|B*rYpUoA(t{(vuz z?-&U$@&~dC?ew}Qz3y2<0FoADR{V@}z#y%5Vees0zLjeB&0sQ}{r-&hNwA+}|E7SM zgE;C>Q~={(x(XNv)0MzDI0!YE(%6$85*!(Z}HZE&m*X23$DP!Z-^Tw}jW=F_A&}%H;pVVd4etrRm3+W$MiYwvi z|3C0I^uxoDcLGVd5qqwLKeEvvFHml91&Ys5N}sBHuT=R3zzyJVYdXLw$Gj#f*IJux zI)ki1ck1=sz4ObP+X&1k+JL@-JFU`($}%Q*SJxLzn_sz3xK)uz8WR#YTy>IffWsBa z)C+dF*9O_)wvxgGCkcXx42End9DFm`)1=eZ2T0n<9AP4%iwKi9b1E{Yi)B~v!|#cYR@H!<{(%>2Nx$N7ZE9ngS(3kE^Z?IEBr2q&ngDKyS%&ayLXq1 zP8#hK(b?_MHXP-E(DtM!qN*E4Qg~6Y#R*CPgH%cqo#ZWzBh$coRF&q2XE~xGJ-;GW ztcoc^+lWMboSiEc3TsTJ6fi_3*v0u=nj^pUeBu9kRwSqa`*7f_asy+4y3TP$U<8(< zx*ebvXwAFMJBaaQiv2YoC*sL6l*ilk!eoadQ-I=Heywgqu2~f_5H^|=LCCE5Nzys| z@#fxg23`l0(DiX78Ugw#i(VS-=f}UDbA8{#m)6oW18(=Nd%?O1Kow(_toy;wos5Gq zOfA@}7pDyu-=#FX5iLbKNZg!0eT>3q0Y&gJOAQesF4ae6*u(PDJ^u#1Ot*)r$BQh! XUhaZOT$gKpuRxkcD6~%i009606Vu83 literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.(0).cnf.hdb b/Exp28/db/YL_dec7748.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..aa8772b0618cdde68165f8b2e0ee2dea35b2b6da GIT binary patch literal 663 zcmV;I0%-ja000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I5)00000005W)00000 z0046V00000005T)00000004LalvB-012GtkAlOR5K0=?s)4~={-QOaV>Y+V&sEw7inPt1+X_E90E-tTcS`de0JMU@XY3XS$MU)pZUka~;*TRQV@)c+FvJ7Pr z%8XL$M7oZ26=_>t@@;w1IsHL%q5}2+E10&>G=s+gcmle>&QBwAt|GWi8(cT; ziui&ivlRoN1zh1k+W>Bac{M}V3=;AAvgo-NWe>F>{%=br!o0RR7Z z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9-`O$5(;vw23=RQFFfaizGXn>ZJR`Ry03;#< z#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^W!5Hm3d07>PWLOVbrFdKYbgM%I2UD4FC zFem`k{1rK~7Nk}Rh@BjRT_fT>JY9nvgPc7g;{*JILgGFB-26cbz^-Ct&;YXR?+5(l z0n!pc>>KPJ@9ye?@I|n*hpVq6PzK^6c7_BXD`-YD$T18eK#ZcpHQqDC)fXfM1{`4b xpEjIX0ph|`!$SySHX|E2Y{XLDDS=dh{0%bG(*+n7o^C+bgG9m31poj5|Np>Q7SR9z literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.(1).cnf.cdb b/Exp28/db/YL_dec7748.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..66889d5395da4f071d6168d4e3d80c68fe2d9345 GIT binary patch literal 2091 zcmV+`2-Nox000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H+500000007(u00000 z001Kb00000001ip00000004La?1?Jd=Zo2L0k?Xgwx zt~{jPLjNul%0lhml1=-UTF7_sQK7wY&ALqAWxf702R#wnbz^N!U5}zShrCnsZ@v3= z0W2CM#j*=~{Y}UAjyQe}i=I~sV|$QRkgvLmgOS&cLb4X`^sX+NyE`$tGv}(UYhIm2 zbL*gWHK>QFX;`dSc53b8bjWVX-rndJ7Co`-)cab;jR&#pgudQ!A7Ziv>WvN>J33|U zk8Qh&H2UoeHKiZR%EU1hB|)tN04)~hFPN=`ioEolU){vmarDPZ%|FHyX}2SPw2w83 zh={@+G)nUeQ?Wxu(BoyXAYb91bm63ednQ~UF) zk(?oh;b z_EpwiUqcv9kmjJv%ccz0SkMG?O5^DzbGwEy-ev6aswt|WJ2hT5jek_(;f>DoD* z1UnIKuj^&Z{C$;P}4u$MVw*M=jN zNa6SWirScF#9uOf+I?H`2F(pN7w@cFduD(}?i|uQ&WeRR7`n>0g--RLAeBraiL*9m zG=Fl4a7W`UIb$kbd7IQ8d$d8bU{mOPMY>+juMivZ1W2a~ojCWpIf)=M~c%-)hK#-{+hk8aazdkf)p;^*W6fIx^_j z{Kz3E)&4@)+h~i<{r8jT%p+<;? z{K$v@G1a(U&?S+xn&O>>Ui{&X@HiFK7H9m8>+5oeS?w=+iLvGeaqxH%k0*+7Z-i;k zeWE$4?AyxwqBo}osLuv7c)$%bhD7=*L;bO9a`a&e$1oZZV{pXh>^Y^FFpMEh@idf( z%tCVp(}D~N_C)9>5(5JkP|&(Qwc$rH%xL9PL*1bWUF8JiFf{QjDL)^ajQFHMl1SSk zJ^@`~yQPnGKk^0ZQ`WMj-pjZIA)A!H#!!9WFGo35Q=>!pi{5kL|4g=Xr2IHcTb|A1 zXhwTv-e9R}C(hgck7YmU$kV(YLbCz@(=Nb&Q#yEH!Ot$8EAV5Jrw!go zzAMIX@N<%#U#*Opw+H^mReQ;jGEtDv8%@&*mV9)k<)4U8M;~75&Vu|H&s~6H_<0VH zW|5uOci!J<&pzub{%*bGzskIPt};C<6P)#19$GB5zkAxl0;MQts*n6nWsm2mbBfPF z_Qd#4+3oZ?K2D!%|8M--k9(ajo+q9IW9*bpy4)4%>U$m^kU0zJ91u0&r9rLfg8;352n%HNk?e1l|K?gzV1iI;3_xDW7`J_Vk$t2TVn2>pjCo(DWd zSPCHS<^X=h;C1#<#5?#DbbZmF`C=Ms#%U4>zaOUHXKRDs+as-q;oOnrX~Y1>evK4{L1R-UCVi6(6u{u;osg$AGg<3G`VR4waI-)VKr695@nbbCV3=W2Ss zVA(^|FAGgiF&p#bgNI*7tTs!=UqjIUv?_hp;aT-*;X}65cq;m@-G2c90RR7Z0pej` zWN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXkCFf%aBP;h1hiKqc_ zV2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr+(d{SB!aFV zWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPc7_h1vc!FRo&gnteTGmC^&dzA z>@zL~1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|YknZj z3&aSGp8h~C1A{dXvoTBnk}3@^w3UH0NGU=Y(0nIGZiWt^;Kpkn(}5J40#Lv*vNB`< zC3eid@WKd43ji^~1b?8De1RemyI2?;fUJH8%l+v<8daqyTxBOvjt3kchPPJd067f8 VKnyYy9+L4+j=`>s00000|NnFM0`C9- literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.(1).cnf.hdb b/Exp28/db/YL_dec7748.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..156af12148e6cf78703361363d2a2f40d763bb09 GIT binary patch literal 1228 zcmV;-1T*^)000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Fo600000007Mc00000 z0046V00000007Jc00000004La+?K0v6j2n$mzTgHk;p32nY%l)b?x>Q62deDQAr3P zl}O}DWMyR~5{X1sR#sM4RuW$2`+alI>|D~npf^2tzkAMio_BVqH#avo6RleESNJXn z{jliik9xWb@;l!XeLawLTkOpSdurJ0esAv!FCJ=p`R0|aEr-PmAF5>P4AM5RngVyM z-2ggnHG3rZIy=>NO3qc!9dl-fDSNkIZ+g9>xdfj(=V;gMlL+r3JLhZ6b161W`<$H% z?RN2LD|^=L-5z`60I4FD)ycuTebZ~yy-#1y{Fmm|n*ybEko^Z$6{u+fr8-b+D-Kgs zfD~XBq^1qkDuP)~KBRO#L@*s&a!^n+6k89vG$g18YubpU)ylfk4ZiitI#f~(C=C_V zE3~TJC_hD{%g<0W>5;@U63Ru?)wmkk+zH!ufGt4WbU1X@GK9_^Mtg&Ge?UWu&H>Ha z#rjinPySC;=%F&7NZtxlM4D@3ZNj(~^H872xiE~Dp9+>4AEo`01?(8>J)vi;yI-hyjMt7FiCZ#UBAv=yaSU+Cm40 zkT__07^m{;kCO=)!YnIHxV4dX%=o_%LKK}|jBfK%Q%u>bP6WP z+~!OOHaakbqD;IovcRsQ7#3F@Re)hMMUqQt&h!pNz%c3}#;0t-3U-!apeM3CQ+@>O z7)6$2S@1``Mx`4%usn@3Ih`u{vE)>k7*&yFskb6@rukXeS&A4RnUL1CG8_pzM$row z3&SyT9d(iARMuq{u(K35dw^BG$Z=*=1>;-JBID#!&XS6oyHuB0Szu==7~Iw}OWa&t zJcHlmcPRz}CPpe4ym!BAQfsna$;^+B-m%(Q9{c8~R4^31L`}$)r6##4?+bmNNc1-; zzOp>Lk>)^HKVp6pkAwWkmxm5akj0qrM2`Ffu1nU0^`U*HM1H>S;0j@#8 zKvk}OA@RW>L7sl@@jzx!BuEK^H4rl~2mndtn?gH4A}||#U4w%i-CfbtvM?wB)%+DX zvlgUQ3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#*nWzYb!?C%HsH3HHSKO000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GYX00000001Bb00000 z0027y00000008&}00000004La>{e}W8$}Sl0OkEfP=N$OVvrCd5{b`lghRxKI%lU% z8k013Bf*zyeO@Qm-iy1tm&X0V{|1VA=Jp)lId-E&5$Xq4+S{GE-DhTZo}JzCcs%|G z)p4A=sLs-o^B$Up)-vjaQn7BfEWHiQOHBHErv3%_&++&VfP9J}=OENcqe!UHED+1L zP?z4o2NQYn_&Ne07|Xc}%b%ewSeC{F@v(jj+J}u!n@1JPmk^fT#AjkYbK!r*Yq=jb za2#X~+ce1cWGt01vQOJVC^biKPN-RpsW#LXJNSXZ;1cZ=yeUruU(){21WBwn$=j?v z(o*S4s!xKXvLDN)3QlE3o3uZwq)Aw5?X|pGwOVPN4Qm^fBsIg-JRY!TmEZOr_oZL2 zuWzjBWU#u(Oz_ z`-8z8;Q@55Sl|Mop->_WvF7QC5^zG+FF3nLOC#X-tDC z>0fG-Y37BA|CDr+DkPUdqF_571ji}z7{m0ltYe+$3wb9tQelfOYSJWhQ@xZ!Z!f{fR6CwKw`Kg8~p;{b2fIQ3J}~Q><;K>gF6YTJ_UiW`m3?B1TSc})ogjx={64b zX5gQQ=o;`PehOp*n^uvHcSV%j*%}#%EkjDGt+|ucJn8wbi@9Q$i+#?e{5s7peVQrw zhr-Lh!PjMmd843s@L5p4C|>!X;1@>zaJDn%KTP4V#m($$~s?dHh=b-wcPpJ z7+riN9P%ws7J^xFhyDcs0RR7Z0peg_WDsCrV2CobOa@X6+&~=U;uIg`8sHxk0uo_h z0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V@%~O;uFeoGoInMvU@bz1Ys^4e zM4(z+eVtrgTwGnCsu|fB6o4|ZJ08Bu0@5&pAqEDA1bO9W#?HWQ$BPmeNK@wvs(H_N~ypgDjWH*hXTx;r0v`Sg1ubbq^(_Ck4kGu z+YzMqxE+$-cGxQjd{SjCKNyCKt9l*h!L@7d!S!wa|C8K^0lJv7%?2 zpxAyP@oe=~7_kHo!|i%JirpzKsDb?fiHR!Qp;Ow+n z%aGD^b>rlTTHSX+$1l%(20xRT0^u_rsFyp@Nq+gO_6qcK=|+j<^Zw&U@ssE$c3boA zMCym%7}YxQvNAR@gNTo~{w?xOS87{P-o*W}nE{s&FDKukkz4PlF2;}NjeW$;+`Juj zO?<|3mc72QZg6UQlp;^D*Fk3<1Ve8<;aHz~3E9O^QL*?|{kp8WUD=}K1hXS?8-z{K zn1wZ8a=e3_4Us8|gmp#NLUhhGVLd1n zE&EvLY!9o;VKj|%)k3js<-9@NW;diYF7HO?%iND+n(!fW@wj0B{`#owKUl;7tdxdy z^mYyH+n<`sD;!R9U%zp0wdlD-_i~94B?%RFdOELKp68_sE=1M<|8VxCX=FhI!=KF# z3LLiTpuFp*c*^Bic$8yFsBQ2eMMVJz17?YrDBZpE&uD6;xyax`(xag8#=E(f*s%uvQcwg!n74?!!hYS@ zJut12U@+@z7QH%~fwyv7%}cP*>HC!UyRHx>y2PiBaqBttXkhPpEvKm+N~M`DhP^r7 zQtHiAw}{8hHMezoscx;{fP8(TwwwbW@){m}N%#M?Il*j*oDX(!?){DsR576rOI$jr z^VW*ct!cN?=sG@kK4tE**T|nckYBRZm#_ReGl48ZV@_>D4_j$E7UJ42xTA`%o#DQ4e!bt6scQ~jmKGe$ zh!o|oOue@Y-rh1lBlohiqHB&+E3DQH?nyLzw}wpL(k&sMLyE}hHdupCYdw#9M!0er ze@48=&Xzy(R+>5TttFnn2;;|hZ*>Z)K!DdLDf@EV=8WCFda`e$n&nX+RO)IhvU+pw zMPc$YWQqt;?nQH}5%#R_^Lr?Ni_mYWYqzrDI;m}2N&D4KE4*&4=e_4mRod@c*dJOZ zs`Dv9ayi}hG2s_%(%W-WvGm>?y}Jq?G!4wD=p}m%lf6`O={0K(Cwo1(1M=%#vIx_E1mtc-=;arW-=*yOs2suVL{&gs$;wC)mGMW0|c~U-@P0l3pO+nuEXL0Z$Wg)^MBG>#xK1nMKKLn<# z-haPDJfAYR<6>$;o$Mf z)cn9kXee9860k3)*VBIILKAX(Z1mp>2s9;K;NLutA0KaObH8P7zo(QEe;jui5W>>T zt1Zv6U4@c35|TWfc7M6R3h}2`<)B~mt+aH$xbtb-VD|%rZh)Ll8uh(9j%>H@ew3}P z2tAB;wUr0FVI3g71K15WbiChE7Z1jty-YWmzsVy6CD%!s7P5af1IYd{!z1sq7Ot*5 ziq2z;9&@e(BAs!i=-Qd=;_tdrw@a&!rLQR$AOZi}eTmR04n1X{Oc| zqOoC{S8#a7p<8QdA3g?2<>M8Pj@o4nPGN(Hubkisb+n>T$N*jzOlskg1XHQ%>tGPd zDyJ?0_nM4ahGD%8oot7M_N#p6tumFDmxA5N8vi;mX(F+J;>kL)y7;?z{UOxRGVxB< z12BHs>#q2~WuhhlmObaUH0HyYC1=81CVnRPfhL%7W4YMhl5)x0+PqmL_EP_t;4s16!b3vawuLqC#o9^V#$xt&`t>|LY)S4L(uzIg#TB={ULP5Y^OSj#>+6p?J3pX&4b6yshLxX zd(~ET9mJnsFQj}I5@_72zNayE|H1sO-@(0XJK8oJc)_WH?pszDNM^-NREZBNZw{l` z07L(DxqQ7#I*y6Vx@*`v2;XZQ&!HA!FCaa`?mr3$!`r?g}3v`*8Q}cVnjDdqi1|`6x&d>!QU>hMIC5)HFd#q)Z~4K1Fnq=6zE?W z$TvN@GK;$;AC|RAG-U+=AP~ryU8ysyA&f`zp**VieIk{T8gOr2O*Gu{IvhA)Z?~wf zaj58~SaIhwhK5QCz|-MFXs>ZB%?2m+?Qw>oXskFMy1L6wxC5Dp9$q71Lc1mBmFp|a zFfH=!1z|M*n>$XdEx3JKR#?F=Gv9QGyM?0?VuH|qU~)`P8AKn;YnVyMb^?n4X$4kv zGy1^o-htiVz6Aq_)-)-i=c=Wf7w>@&?dL-yS%`jX1Ew~a7B`We4iq|kE#`m`+ox=moc6Q0SkblVTy`)XXfz_cLe)RFU$93_p#VqhrVKeC zt=of*pk#85sS$9h?X65^T8F5bd^L3!bPSht73vxOkdAaIA?q=DhVdZg&bfQi$yhCr zku^7ZOu$qMT)Pwm&n{VR+{D>R0*DY6CV=5k$T|(34j5;voz}a=q$lU0%K2yeiLEjo1d|68N1ckRXw)lK z{H@I5Gta=4$&g4E`YW`E7OLJ_oe0iJ%sbp)2Jr7j=^gZA-TfXnJ$s{u*EPQ1a%w8g z{_FI(RY=EWQF4FFXls}c)|rQ0y*4QpukUy|`^IFP`!!IWsjwMat|S!!)GH-?Tc_0; z;|`q`>*JP8t!Q(&q|XM3c#1q67S_GlDvE^f{bvW}E5iD@MD>LM_&MI_FOW#>MqIg< zqDo&C{~-Q}zmytjVB4^j+4-oF({K#6D9$ay_yr(YW*WN7CT=2IXlN()0fnx!%BM*$ zGn13a9^DP@ECgC`9e&Gf5LGf~M?WaSLcJ~f>6(pD{HQ&@7#w=T<~>)f5SKmm(X?^W z*D!Bq8G%_VR0 z%EAXfj3;~3T*_vXlO4FjpAT%U$3}i;kKCaK&qnCouC29t8JQI^P#YOC`DJ*|i4*Z7 z5nINeHH_76-6=ciWK}zTn2qAYz|2#JWMsu zGtBtJXrS+O{*$p|jx`}!2Yb4*-)GkrJ1XQlbtJ!8;aqi)-nCBs_Lm)J>LZD>`^vdk zJT$3>fFz68;WYm*XSslDC1{;=;%@2cd{&cp$2^2k8xEBZ)K7PKvYJCj8m~}Y-=MkO zdxE(+M8S?!BFMjPLpaEkWHmP}5x(ie7^Y=`6{!qEx6fK=iF275ib%rg`7Qq z8*20t6zom<)Ql1%rde;_?DH(T$HS=6VR$<>AtWK*8q~Cmmxh$MX(wMA8oq##QqlQV z^eq)Id2%Rx=j_8T1!CF;omWdJWn-Ur6Jy^M)=$G^Jyx(Ozhk-Fubhq*7t=S-8fts= zq)ndWr#uxJthTmDn5SO;+8bFNcJN zC5>cIB{&}8V#WF>s|TRB@us$_Z^ib~zHnraK=8NSkf9*RaZswC%MGJ>U(2AfwER!j z$=WWF$ zhqcVIvBkJmBS?d02Ux#lIU`wVX8K&nPnl_oB_5TX`QP(6T{E)rB5$&|Zh$*vU`2wk z^D*K;QsPB51C!6WLU*@VctL)+(gX<2ML+{swY&l|S-w&L`oN<7#RZqehzYadK?>m3 z&6v%CLyRU84b8DOBm9bGK1DXe*1OS3KXeMGuD6FncOb2yEAHW4?#(pjcDcR)EJJ_4 z7kkHC?*K^G$CH+Afg6p@TZMr!@>njID5yqCc4J~(45CGV{dbN9t<|Z}(IE!GhQ-r= z(@!v4=K9dcbYU%D$0TmP7-Tx9L6YcxWc4r3S;TykDbqhb+@yN@!g*Kp$|~4|a^au~ zdfv98E%2x&n1fYOwfS7xJl{OZ?e9wzfy_mE5+?g)G;U%E(qVE1Gha+XaZXWW zkXy39Y_2%2Q=tFX{&^tRTLe@#3tnRt+9px)U+@}V5jo&8$Hm^{=9?=2qDo)B#&DT3 zak7sZYI9Q^gZBiSfRP5hhPzRfi#cY%28!2}ba_YO2V-Uhwo3OgEi~x6=CcY0M4_ zo!2XYeuJjcOiM0VuK?}AzY)6x#q9>E_KCpPy#&FW=gs=-MfV=Jj)7vTj!*d_AnY3C zQJ@6{9q5boP`>=I>4CmcqCN6}-!fzvf8D-c7WLc#e&E1#vpk>mIAYvOb2eO;}bFC(~6KhmLsqL zJkrd$?weFq`u8Zwv|Pe3AC;?onTwJ1D@A`D2?9R|3X9+`;9OmTS%)YzCn&x*f~*Sz z1vtn{OCt=YJmVZ_jZPLQ-=1ts35^ji!&LQgBH2Q~n@dbLt34md@M^6B9H@`tV4-74 z@G^e|`dsEUb3I+Z6W88VQU3uzr@uU(zW<2jD8De0p*#}c zN?ky2c?sI|2e7?0js#Hl`;ibpGU%Gi6Zd~#$^2eYzj9hlM#FHz*dOqrKOu2Ee!|^A zk14jWdDi$*_YVQap?}Sm8+zUAtrppmGm)$6{{L+Gzrq%(yl#)~s^#W!z%N>wUv|FV zyQCU?zW0!j8YiXkBC?JkCUBvepW>3JYvUd)q1K$xiILB5oNu3+I6pRmgLVv1&gvz! zSefSu8kObW!1XoY1zLk73s#k-zwc`87AWq1Y!GJmt+_t`+-VI2eUOO*fo5(!Se z3xdxATVD+yZab#)4*)tferqZcd|Nj=dy@OmA?L#>&d$zzM+0IcQVxm24mCQzJSk;f vd{VwG + + + + diff --git a/Exp28/db/YL_dec7748.cmp.bpm b/Exp28/db/YL_dec7748.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..b4511ed87fc29c3cd9d4f04b7d8dce1ae56afab6 GIT binary patch literal 629 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRIgkmUVf-ou=?*#jL zA9fH}dw)@f_}*7XH{Z@#{db4w?dE_L?6wtAmr`!)ExS1(XUV_J`i4b?YG;>ZhOS6( zjFCute)ja6+cSM9shkX48B)dmfA%&$)B6k5ZW+G$ez0Jz@7+sv-`oGBzLrmk68ky3 z;eBJM)}v>uYVQiChD=bJBd{ZAl9G5!j=*v+j-oQ7DdrruDseLfY~-^)S}9tzZrSC^ z5&C?3%bqludD;g)U$QcN^nm3>S;WIIcDWs4lkd73^+d?@PD!(k-{$;PBQhoFd*{(x z$#e6TAJy$HRbyOl{M34(jQ9N;^9p{Sx%k+j@{(wytW@fhZ^~u&Mc0*NZO!^?bLG~V zAPp|n1tL)r`bjga8KrM7)c!TEOm0?L&K3!;__l40m#4@}vi4kEb=pBTBr6(8%x%~u2!~g#kj7ez;DKicnIIv)n zl`fBmp$7BD;D-D?lj~Q^?@kU#scEPW@Yu6{@%+WRvjg^YCwDBKoV-EXLzP)rz}UEk zVbcF*@%3z-9~cciiW`yxI?_9q@0a(O&?wq5Q$nWU3EMmNJq}%tLLH8;Y;0m!C(ls8vw4$2E+gW literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.cmp.cdb b/Exp28/db/YL_dec7748.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a61afb4c0a31c640bb6c9593cdbce71646bb90eb GIT binary patch literal 4212 zcmeHL`8N~{_oqUUWC_jFFiAb4>|!uui z0E6=N0jX&yt17F3jDt{5AA!_0)Iq8@RMgZ})K%3qKz7K0V3Y?Ebl1%T4N?Qy-2piw z-9e_0P=QCvqPYLJ&y`LnOQLRE356?|9YN`CQ6?t}hP2p9VMZ&8Cc3Z@Csk276S!{$}vZg+Mbk`qY5UNk8pGil@KpF9=tOh^d0m~Lp5X||2G}a)z{$8;v+&hP1VG7war$2 z+KY2UKR1Utxz}L(emTNTXlx`DfZh8c-Rr82Y__S=(k@v#2|%poqZpoqx9kQBZz1U7 zF3wpt@)WC{nS28atY)d}XDyCOrclN$nv~5seHhz-RAXHcsSSu@(oQkOc|T8pMpCz0r&sziGWLWI z4@=A%Kh0vUVa0b{CTr2#Laes_vV%atH%~_$xA~mSt?PV?EX{g&okw@h1T1QCTgjLz zQoJ3}(?Y!e#_^O<=Ii*tL8yY1K&l=8%FEWJt8bv*8emA-&+1#uf=B~KW*{OLN2EXtHE&u$(p@yMxe%jv`4xp zU+-^*+cvi69Rxu>1l4UJFnS!%%%S|C8*XGlsWETuq�=F1apP*89L$dI(y<4XC4@ zi6;Nv$>=VO_eGKI;P&^un9H9FU;6ODtakn+Ojx=FIZ=lz)!7f?1-NtLmGq{8v3FZ< z$KN?Chm^_|P>_pj>0y&KoacJhj6&7;r1~&}O^DxhhaA`ge= z3@x$|xmk!@s%PNT*1rm*980YzTM@7bYYLp|zY1m|_Dj$NyibH2^H-&^bvl(0&;)Fm zpeYd<#ms65ks%@*aMUH!I#Oq2O_9BJo1%i;W~l5(7XV4K)y;I;GlKV?H$w?~rg3|< z6++xAi}KmWuj%bHZR6K$4ttJNJKt|Mbw`YePtM5$iqJxPTjS|#IL$zU(f1p0T=eUL znB2o&njW!l-x0pI5IEI*GaZs>+k`&yY?wueC3gb`W#$F- zY;0<~txzr+Y=hbC1&K*lf^YvH02%$YbD>K@10doDyBLh1X8C4#XpET0^unz2743Mf z{PX%>y!_hfbHDom2ObtXfW$(K<_NclRvm*8R*5_2G_y<;cj*YDJ}W@~(yRGka7$sG zQ6$*!DbeurHqj6x0!yFrz1bQZ7x95_5Q2#Pw5l$Z{4sh$kexFdTF9q`DyuCue*ec_ z^mMea(sr~$QcXY(da+vFZr1Feu66B+a}#vd^dQ-v9#yYZLH%QE;>)4pLJUhc5_h0h zJ(T5fi4cEm$gXQaZRzRg!@XX_q{v5|J@1exTEWvWvAVUr#Rxwes`SQ}2hIMpMn8eM zF{Be$y1HY(!6fbgF{<*b{@A6CEVal8sY>ljz9bLb*{{dJyTOlkMT<;(b* zA!+D==k*}gV6@1x)Ls&$RxOet$k~yTCDGk4oHqg1PkBjecw6Z6elr@SitoVeoQ)Qr zpE<-q@8()0v2G_GPRhnM{kQZp&PGVOM>@lR{smxJzV4I4Rt3u* zE<`zvz43Axh~Jp|=)X-bk#Ri7Z-|I>*PoT#fnTUex7t@f;|Ai$_k2z zW(gGDrHayYe@j9hD}57(!(*FxKlu^6=o zbZu%BfUTpOaJX^`#_(^KrbUcTY-vKlTe(9>?Ov(hp$%yk7~UUWMAj9v9Bl8(ubgZq zd^s458pqvP(YAh>eR?2bpum@8#1C_5YqmZgXM4@$4ae?~`{`dDF!fQb*<|_~<_G3v zuJFh8oKQf%N>|h)*IyzdD=X`ffPdU3Ct2=8u8@^(x8unimE(|8jkwdP?+){1&b*Kg zw7+J`U&I46QGQZ#nl>7IPkK`&J#7NF`%cxj_d}L@ng2as__q<#fiMrk(aVhFTHu>dUwvbJg(jCht>s&edRWn zdj<`o%(F9pTnTa=7{_#&yu1Yv>DMXk9ZdciHK$U$kUOuH%K~*`*%UKrhaS(e>e=+S z=MgMC+ctUUEAKUaueJmv12v#queEBuGOx4N0?#05<-=(0?9c%XA*W1LLq60nqx0Z_zVgwn_{5 zdt{|<%@h&hTCU?Vw%!~r%kGfz`~)e)w9O+#-4gfpCA?R} z$QqV$QA|vBhsF!d48?I@0eAwAA41Mi&P~O3#!-v-G{(U~A;^C5wVIdH$E=?E_qCqQ z_>^PfP72i@ci3M?b>^K7{>l!>0G~4G2y`-ww(j2AZc0NpZKYo?RcpjZ5*1rl4LnCV zQ(a2EW9biB7BV)r%e7B`h4DN6;8VDRA3DALXN97}Ep+G3DTm)TEeyDdqt`XNw19u< zU%fPPrtjl-&{27OH{)9jP~;=fLrlBv^kqZ?|4`NYP8WG$3|_}QlF*Fs(UUoq8T=ea zTrMvU1*j(fs8zp@Xv62H$0wcHi23Q-6x#Dwz1oZyjV&aZjQ^p zIo1ss$lGb-nMei7vSb#o$p$5EsmRozLP#9VfEJc8ciP3rj$56w&-#|ZQ}gxCW}|={ zw}FTW&b@gUG{wiQtr9To0#yppr5iLyzh~~?R!Q&?s#C6Vvj-w~eOeW_glXieBAaF4 zn<)eaQfld$o4_cTB9r@@JzR~J?c8hZQ=Xy2gJ}mVe>F!?U4<)LCt$ev>bB(xBqbK8 zc81MB-r^n#@$hiDp}Yz9R*%JP&lauXsy_eZQUP^2idu;k5@de0sTTPfpWqRu730Ff zMe?6pgIVt-jLy~>m;Y3VOO6|qk>Iq&L(Fjx72`njwjyySMNcTz>u7wskt+Plb%x%* zv&4>g$2eZ4%EL3k%AGy86n#D#23#0DA?8d**2dDP$XAy{}#vR>q-j z-#doVhtA)dyy%Q0zE?v1^CMCHad+Wn`1}b}N*BEDc`Qjd^XBl(bnA~6Nv$e{1mQ*p z<8LTtt>wkPUp$!UL0{?=HJ}bgQv_`eheJ)Il8OVBM8~%=jy~7lJHMuERFD(Z6Vc|~ zrpREjk+4r|3hlaX#BGe6nBJA*NAg0QjY;Jkf^$B)O`K$MyL2Qk-ES>@`a>gdU~by> zy_T_JTBgYh51N4gs7_xN&CCDtF~NOvIA=}=SG21Wh?yA>dFxzuHPDf>aQcS!0YUVx zbO%|^m@^N35XR+*JrD{Iw$N5gd|p!_gS|9=IFU4^41@63Dk?b}M57)8N5Jb96jHNv z7Ar+nKxck*e$hL@&_MA1Iq@>VKI!Pb@`N-%u~R0wU&V927OJX~$GyA~PYKns)590% zqI(*@2qpSDrY1>Ze}$st&A)%y$cxhZURt_T?h19}Mh<>LiuYQd(OazqCP+PQn9|nB zupnt%A?FvSGrtyGeA$AXceRvY#v!t=@DI?f4T|kVGpFP(;7v9Dg}d^BO9C)7ysl?u$k5Z;Qm-B7b)SEnTZe%@)TVS3 s2_w``Yg%ug2Z&!}%aw=z^s^xBH$>)OWm5I_%l&#pKf4@#0Jz-$1Lhq@e*gdg literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.cmp.hdb b/Exp28/db/YL_dec7748.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7911c82c6b6baa0ffefc459b333f7b2d7f8f14b6 GIT binary patch literal 9986 zcmZ{KWl$Vk%q}fnWO0|p-Cc@X(c;b)cNTYPVT-%FJ7w|3p-9mJ#oa0H6t~O!eLwE~ zbI+McW-^l}nK>sX$(&%r!NFCdy?di>Z(Hh(>sY$F*@B&bTs-WY>|8)84_gNdAU6*; zkdvQ-i<^U+lZywaY3b@|YiWd-0c z#Nxd-U3eR%h5j#l!1Iqh4}k2c5|*r_jMj?r;;b=;k)%3%D_(2T;(858*XvsS`b+>! z{~H3G_;++z34VTkebDvr@aPQui2JrgYK2g0dSUhE&KB<4N=oKOYl2I$*>?PjLPUn- zFhcbksbf&Ng4b2&-rp5~Pte9<>TO8$^M;S}if7n#ZlB3|{Lb&5fsWLHfjR30O7dUn zg}8z%1azD_t$wD*Md&SR$=nEd}m_z&_}89>%+J?$Apr)Bp2OW&V|N#U~u6fub&%lIyVLUlDVa&2%YIX zm7`^6j|}Br$$CO&!27v0BLt9)We-MUnv~bVJ3BLO3c{jG0yJ`_0SI(xi!dcWuc6`e zhL1A-T}3CoMs~I#PHZ0g)kTdh-?L2+f$ZCXOvt2!#=f0ga2zA{TN-0QlzAqfB1We4 z$geElsCqA;#dO>VwhsS!!%gr*@Mwo4z#*tZgI~(q^;UF9=#Pt@xs&Ai;yp#}KPg^ic7zDqaK_XNc9|YLj=x5|GV?4~gb>d?@8V>p*50=e}<+Ymx+g z>*C*86}brK0!NOX2>x<0*=zR_2fr@;Tnuj!%}+s3=@h<9T;Vis>dLOU)fd|^yzTGz z9lS8h{rnmNNEgCwR(0?q8%PYW_eu)^Udwuf${+ZoP^A3!ySaz}QA*^X__L0=c;g|Y zanWTdd+%^1JdNlT?OOyI+$(+4p8B}-bEp&!x?zC>g4R3l4k_eFX@j_PlM(d=J_P(^S=w1y>*0zzrmbja6o5!cZ&+mIjN zw?EEqhE)}gki<+_bPiZ^gSM-hy0t6=(sYJ7Mtd7H1vti)w<>0bP$j(DpT2w+EBj3# zij^7T;54iV zSV*j*U=Ky6moGd?vnF{h<@ZN*{GP=LO5iE8_4~@L7^_O(4o#M8RtH19?#8;NIt||o9G?=y$?k0ow{Svq?9Q_nbd7jl+QRSCb&znBYrg*slG`mjw2 zUjGXjt(etXcF*jgOq2GqWEz-0&1~aH+u8C2eQyj%nT?-lzcn~HM*qBF0vU3sGIRoqB}g}WTm^FSJodmXh0dQpx?pU_{Oepu z-8OR}glC2$>a47NR7rap^g9!n=w+?>UeNXS+!1H1u*T#p}TM$m&}soHZ==W5jAJH9_M< zn6Rq_&ya;5Mw&Tq_#K1J_)wOGGTIMvI}S(Yt#W=#(j$lpZS(C>aBkwGooaMW`5)@R zN6UTzXfa=DfUl}FIY0I$E#-Tu>$|hCGn|<20Yv+8Q+r%!-6L#MZ0e6>=-!naKW!$= zX2V}q`3zutw3_(h@xvxWkAotfT^3${uV1Afi1*}%I@2XQ-re{Xt8;M9E&LkT@XbpB z;OqL8R<z8TRycf5+lCkb+32JUaZ~|q>9_>nGWsVWBTF~!H6?T~OZ%;VJ>mZS8mKwBx5bGwq`|)Y zssN725y)FG+Wq`K?&z~GDSr)`i=A`S#olja`K0SY!r^GG zF&eh0LwQ{R{u+}Gn`9%_mgE=vnHiTG>rT;{$LLh~5tnCo4vN&Qd!N_6*)x3-yYfC0 zk>5T%MS^WYx_CuKZ`6;s$ss;7<}? z2(;tj`VqH8KdK;Blw(_8;tG2aSQMR`wTP+)FI|=v1=5Q&+yGK_4GjVsM%14NcfcbF zM6iYm(JO~8zLe2I0W_LLt0|C@kmm9n_4EvK1FYeYg@0N3gjZX*R1x#prfSgQ$|2yJ zfQ{{5Mzu=AzX{gg?z8i|3|Slwe=kL0I_{_3i#MaLUCKKAqZvzrRVJD~Sq@p9ng#Q` zsv2h&S5_K%{4tY1^4KPHkPStaMlvqz8_fx<>Yr`emX_v%l)LiTyf>|9=P^?oh8zE? zc=%1FDy|E=6=rk879Vonw8DlTmgwE;VG9;ZmkuXiMjM8Iu$?h@*eDT>RrInqP-td> z7W&+}?{cWk^X|&XMXER!$5TW(I?u)lx);U`4apt;mePQQ9qNXEUzNf@YVvvMm>Dq7 zJj{UhVCAd{2RAXpHTSz;rC|EMD)Ot2+}0YaUWkScUAdop_(99#wuWoUl^`dj2fmeE zLc`@3|KKCWN4ir}mRmM|VOn2+?x#FW%LnBo&FW{hK6%?f@80z*)s_{&+~@P zjpkoU&CWX`#mtWrq{jloTqWyC@qH!+2T9H6#EfZ3cU0S*&ezW?o|p@l%;vin{*go4 za%N|Xwxnt-d*kM+213h1)}sxJLW`o)ZJ-k9T1_|3HFV#XwaM7=X8DrdJm}Xz>k6I2 zk9}WmQ}dGFFBO)|{InB>H~dL?=>o*d)}U4q&53Wra{MXOgLb`nC}QPM@fUUHSw%zL>UWEXBU0T?)}>4Ophu@V)+B>uP#XW9GOQ z5EW0FFWJ98>ckwAO{&_k5(=WbPYjsO;kZ{D$#Z(NYI`khe`y*CYJF6z49cz9Ww^Wx z`!=B+Lb-i$;ljT=?;H4OdwcX_x6bSM&1F+8M?>ce&uZYoQ})4)(#@ymH>K(~JdG}k zS0&>vok!ZotEZ*YSGw0vCj!e?B@gqN9QS29_tVBNB{0ww$o^&gh7z=UBfiYieWnY> z*d$C?iwW_H>S>1@qxxUH4`~g*U2RaM#qrMT#Trt;^4CO^mY`+**!~^@d9X&_rS|7Q zeiIy0la`{$`=Fmy{tJ#$b4u8di`7cVXCI2eiGUnNA*5uT9bw{NUgEx=hOc3mp~tCg zruoAivBb>Kjw*tK%15;OQF9ZmxKzQJMqUvu2TJJ&9 z{aAQHKU~S^X(N@Dq&^E-E>zHq*x4@sbe~($#X2rT9f{4*p|vUhSqmw-faGk~eIl}A z$H|6Nvaj)o+c3Lf|BcIsot+z|o{X^JtPCYg6+w+Uz?lDMY^^%=K=S9LuKkcKhG03MwCXQk}Ilky7i07E67dX^<<2HUleLU9Yr+ zv?1VSGw0`+3t)>RI(EaK{vBp-^^_@`Xv*XwG)vLMFLRgX-9{3>BNz}UH0@@WeM6|x zwq<8TGT^Dt!xCMzI)+d$Adsa`w2a6?ijF||B_oh|Z4|v0h_>9(ER_0@my@+XhmN*% z4t$FNn4z~QKg^1dNhp#jFu{JIAiG{K| zFaM5Do=pA*Mwd7X4p{O9_5eC=(q1QqEuYw)B+?)BF}0bS)K0@y5IRD)UX?Zajq!PU zsVTVFLe&|`wl!#OOi);>WgVq#s3m7%1ZzMW959$iDCg(_bxAhfg?Q zradu*yXbX}5D0`^giEsdU+P`-%e8xksz0wPEy;3?q26+oo);t^_GImbE-7Vp!7=%H zP26efq-FYSIG0Q<^A#IKn?3s;awut~lp_8cVf{}{a2556?j~S%zSArzxj;4Fz@nT& zD+;~!TDz=Dc2rBR(n_dlfok6K2CLaNXSGDL1MV>-cV!pEn!f4V`XVnebTU<*qLjwv zC(7@~nzC$pGh?Y+&kH653!)6f8CN)7waF~&bgk`LOV5~d)KC;ZiyK(OCeW=E)p8@- zaqq{avIM(|*~;eTeF#c!^DA1W6DJfGDeFt>Q*)*VXX>LC%j!$Gsr-~vsc`EDckGjY z$U>uzHCyvWJoLxuuR{Lwo@?1RPG@{}Yj!C9nd;G<8D-I2vw$vb(<6!bVd3~fugnwa z?TWq>Wl1DwRNa6EMMqWcwG2@HU0LADzNTKxf1|9gZH5vdX!j0z7+fH zNV$O!V(Iy}lRsjl=>ImpU*`NaW`r2VTs-#-_J+=b3&yM+%H951qBg-?Mlk2J*l1qx z2>qOtw_U3ZKC55QB*3g}riV7p+v>Efb3_|0{TpKk^ZN9N=)YO3fxA0 zCs2@U3*P*`>ZW4;XT8pXpaEBqGqD&VMA zEvc|IsPmmVb?rJUjVPK1b1|xr8o}i|^&!Oi__zqEJD`20X8ey{v~ZN67eUk$zQC9u zdc*Nv+^^p7y%O?aQzs{@=Qi(%*mGf>UbAg=7)9T?134=ZPu?vdg-GS@1=?JGuRbOs zBQ~C$nko;hEdKgs-3+VLBL_enFA=(J1f-!vGV|JLs*k4}8VU;cebJ;1q{Vqf41Uq+ z-p2I5=zbx3U5C30d^kEKdKvid#O?Deuss;;W@kNzpM7p6PGgWnQM1@;AW zyWFG!wPe~*4Lb{i-UesT(n6_?qMBmwYkcOJQJyW!O)Bqm!oJU!J5oGV4B^SwW$M^ZJ?R0TNT4MLQjoGNBFQ+8x{eKXvVGrvN%^?p2aD<#UVlG<)z|+2on|Xcm%$ z?>5~uBuVi^g#VqP@!7^+&CmWMgdfQBVfBG)?bW-?j~1n+0gMjOa9<)5`)JAKzBfB7 zdpa`>He{5PFhR87=r%@E8huXioWV4~h~0AyFooaqt}q32x>_#}rX{EE+jHNJ{X9mN zD_=1pUIfWuasrnWycn@!KX;ax$+u2$OOac}m&`sp6AMYn#U>{NN0ZUf$d3+jabF@4 z3+c$kCM9fJTI5D&!yyD+v?~iZ43r>I8I>Ie`W#fPszCvRe{@aj-ByD{%~YBBC%J)~bD1lps0+$ZTdd zhDA!Asgg!in2ZR$+2>qOecq)$e%o?K2H4mVWU1C#P1GrliQ%b=GnR3Ni^pvDSKL{ zND*c=8xqgKAK#yTm28~JlZ+~Z&P~EP1rh_Jv87fRil}9`8Avi$8~PF&5ACJaISM}n z$|oTXSl@qq#UCs{7(eg^uw`o7I+u6Zrx%Ulbi5e6b;fqW<;mhX}mi;;I`pyz4#flZv-`& zB(b|Rp9qmk+qHLQ}f@0uGEBr2$kD(81*u9BH z&wPis@5mvW-U(B%+|!_tgwL!wI?)q3Onmuylf~b%&QD!n1{Nk6uG64P^1Ylx^aepP zh#{4XkI641{SU#OHgS3<59g!Bmz%d>O#7B$Z^p}T2B24>^o@y`-XZ_8&6`JQv(4Mx zO+fa7^YN4Bz3@)M@_=t5J%j_Mh+9a7JUrCH?HV3U_5lK~?*6QJ_1hFqkNiq@PXX&n zg4Vj|7qnMG7o=8E-O*@d$MG*EO&@7IxJQq$@Yy*T9JSaqND8sR7w-AWNVo9%J=%Zz zYfC{om^eP(*(oJq*q)^wS#e&G`^&)K_?a{k}MH>+l95i1_Q zRDBMqnun6c1WtG<>K#9aU&5l%bVh~S(l!>8yEKBtPa%&|C|oD+8lzl>Sk4p8KuoTTK!r8QMJ6_KLzf{3>P`7+|&|0+v4~H)Z zQ@8{dsQsMMU?jR{l$Q~q<>=LAV%e&1Sn^n=mIQP1-^=}v^KKIEaH&WxqoYL` zXeLI7Jb^bzJDTGMkW7iIjJ?JiX;-XhKnxR=2avJ2=eSwu#my3RkeRr>QcL4_f>`IgQA>U3d}o z}S%wv3gbkcG7}eYekDimMi3+Uv5thJW0_G@kbuKoyh)WOX;;u8glpn6&uhF6W=ni?g(67!~{SMHDSu2w-l;cCyBSSG3J90d(RXncNU;7}_ zJu&sI_4eE!4AI6#^v~h+?38FOexv`^CnkE*`6PJ2AKAYsW*S%;l%&S0D4GL3Y}Th8 zkYzLUFQjwn(KQ&yj&PH7*0JIJCkm30ZonZ~@17@|B%1gyZqcSFAAO7jDG4szBtGDEqr!anEMCwIHjve>fh#jp}PR=Plq2|p| zZr7wZJ^G#XcV^wa>vTu=1<_CK#Ol*G?PKA>DrX4^pmzzrr!@fs{K#Db@NRiv)s=2& z{k#hWT;dk!gndU6q%eXJgL4%RR@yqkK>YGr&bnKNZbpHeWL8tU{|PJ9T9ogw0=@0U zVWy<5-%U=cA`^}Zozib(%d}=H7l=Uh5vgB0RB+rDiIz6o9wU5?9LfXCEF}F_tfheK zn#8(ekMtI{R`7*P4@g*0_&gJ*Gmw}~$I!Z2d@<7_ef-RoPSstrax^iNN~F|FL5B?=AP`*YZ8d1aAde3W5lEnJobIMY)0~Jx*LyKQ8q}vBHx& z?F)jG&1#tpRS-c$nd}zvV!X91)kIW38udk?g2P+kaSZx;{&=WjZoVBt8pa<}Lk_V3+A1mR$#0MH#JJ~qdI{g6KsZVuw>dcPH`a$7(2C;mVOk^*z zN}(m>mQR8GM#C)Xko8-@zs7ByZw2#Lx1&$tN@n4Kl2U8%&tIg=J;~x+i8j>C?r3L-L!xwQ zOvoCmJdu1$7P9X0KBsNVgF=*q+m=;TZWyxV6V$1Mocb{~xCSxCkJ}ZWpYYqShcmvk zoDWp;+De8HMSPLa?-W*nn-(-UH_VicA|)gNQ)rONCo%G=a?TL3t+qF|cIz=_jSYf2luIjUeoK1>t<*&{_hj_%p!sqc4P zJN=Pyn72Q=V0DU3z>{5eKC$d$Y)WRl__06EJb1$u#Yz||%Whr8JYI#kyZ(oeshJ`Pw+5TvMzS90s#)t|e0-I9pM z)(C_=@t&rpzu+E`rHR+xp$s@5rk9Sj0xo@2^bLzBUfTrzdO8I=PHWvVHS;x3uc-+k zo2{!|;ZB8k?rzOVBB^p5P2_0&Jung-KbzjNEj3?d4+Ma>v>vdl!-X%M#@xMzYwJ*?!2Esf%S7(SJqIB_7cYPT zg;9zt9}^C3DuS$3@)rdN3bq;V-51;7u5xW0b6&}B5Tc9A{LOF37$)a9xRmH${=L4e zE>1h5v6&`joMgOafnV;yJWao(xTH|(PSSEff8=M>C#?Nr%^>g z*HIK|bEo?YT|VZ64>vQrv1Nv}(T0w}w!~xC*1gJdAafgEY4~#RKr>^{ytlO21bbU1 zg+)v+mcp4*Jd`9_t3hQD<~~YkwE7EmH=W#A{+ z?O)cS`!E*DCXL#>e;*7<{FwD@xc?)2HF*1$qPpP>{GS`xp&|6Wm^S(x_tOH zZNT-`nfU=tF+pWoL!!Y(HXu@)_l5tE+B#*X5OVD=a6VmvI~Q&X>2^KIVSfm(m|0}! z5;!NG)uqC>oC%A-i(w$-qyE$HeW>?Ebh#hoi`Pqv9cW213ttUP{WA zSGkc7n^?d{(1j(OX^;cf0f=)7dI&>|wM#au`lcQSUA-pIiH_2-L45nWu<;zu@(M?z zU4H1*%$s6>Dc1;pxAin{{d!??WbnXKD3?n+^Nt9KufA~1kimE`n%7-Uo*XqYb(3X# zak$~tXFJJNYRLI&2`fWgswZefZ(xyhiKGly6)D8B-HLKU5b0%A+mno->r*bbW0Ia~ z`6eahl1yG=kfV~D2c^)GVHnqY;aKtR1B9R4F*xr~-=#(2nTrPn%uyI=Ol@TUR`4G% zC8EnMyLcYOIQi^_>CwW??QPVzSp;;nUQ(cdDJB$(m)7hHg?QAW&Q)Mmk(Gv(wYNYO z@G5yT$j`6Y?M1AL6^D<@=|453t8FjKvx5*-DR^un2~?XRQ{k04Rug`nBcdZY;kLFI zKib?{|M5cd*w1o1F)Qaz8?SX|N|MRliOLf(6iDm3bl{X5rowio^D`t{8Sqt(wK4xl zt%WFJu6pgIcEdj>Ax9rv8-s_7Vz{V%kMqO;4(n1%z9$pMe}vANXRLI)t0(p*G^hb^ zi&@_9PsOs)d`p$v55c^QJ?w)sW!c7c>iE~=_s5u^X^KSdoBun*yNk&)n3)}pM=T1S zna^;j7qZ~#=7$yyA5T<0OS1>0RV7opQhln*gX7zQA@0ls1{t8+4#eg!lxS9(ad_~o z7KMvLj+F)y&D=(g&O&%np*2WkL&rtjo?a@#xz#E%z>6!l)R#{vgOE-NA5Wr@(x^g= zN2MiaB&0q1jvcp0-I$rT>y+76^=oVu$YUDQ#WspS&6Lv9P{ed|>cw5?QEo1r0{ydn zi^61i_QQ+xL%*-gL{iKCdm$CFk~)*8TB6%4qC+G2-mvIGmjkiRTaE>g7JZ3{GQy@- zAa-(|_l4S1;;%+LmTzha`HxtxKI5Do6M-l1t%^JQLge&h_pV^NVw0%R|HQkIMU6e0 z{A&$3Qc5O&+x)1y$Dv4;-)uIf$9V6g&p+6e>5B(SD0qoiTbq1eBY{|jS{65|Q61u2 zzSk>XsCwIZc%+h!9+QkVRaw?ttXOkTW>{dQwue+pla&5(RS^k;HzilTxm{YUK>V^g z@1-+37RULhj1#AzCbXT8 z0y)EW!4Le%gc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I3E00000003VE00000 z001BY00000006fI00000004La?3qbu6hRb*dyHG$*BBRMMAUc?)PNBY97K_bL=h2B zp@VV5Oo%!V#DGB|dJ#d0c=e=+LIm*=WCRaxA&8=&2p-J}&oPP*k9oC!WY8c@^UWAW8+`2t{RswttfJvhH29?kf098@HfYn<)E{T)j5p{R z20hcD%M5y!K~FL0sRljGpry%B{F;q>EIW{4_K}cd&aXB5*K6g z0kSTTHG!-LB!?h51IZCcPC)VvQUj2>fb1u5k;26amnbA&<|ba|CSK+yUgjoV<|ba| zCSK-dUTi^ZL2N;6L2N;6L2QW=I}ke%I}kk(J=TF9h#rU@h<_0OAa)>jtQ$KJI}ke% zI}ke%I}p1n=@Aag9O>0tqel{TjrDbh>yJd64m3ua8tQiMiSKDlMD*Fg7Ipec>G1Vf z$P{CT;8jV!7P^A@?sK&TI?g(GWZ5pKmaM`J1o)KU zwuG8G7PbmikKN(&VT%7lNo%aE=XmmG^QBnn>0Qb4ao1z-zt+<1sXg*tS4^6*t+T>pLWO|>v zGiR1CmWpVgAjOquR)L*X8GfRzd*h1DnpU%=Cp$IOG*3s|SueX=wShW6?w56ISy0iIz;drK+WBf>#d6E6 zEo!+}ET8XBzlt6Ga?*3g%(LD3ZI`^K7mYv5K+LO;J^XNV&*9T)uyK+)2qLQuVE?8k6e5?Li#PzyUubOz1 zzd;1L*($n%6~^y3CA_!w@0GAH{kaGfRq(=WK09GWTiY7XmrloqxN||iyUNbr%9@-L zFK=YrsuGDrR{iGt{B?5hRvCR5*jt#6*j7ZrUS(lnbn5*vER27CiY5&UQ?%6kPdsR0 z^v8|A>HkUnZe`(@zGdm$dwyFq^Z!!rZxt5AKR-J61uKYOI4v!cv;H^d*!Ij@Rr|YT z88|_;9mc?d`~v_0|NnRa;$q+cLI#Go)vaNSKw1)r zJzbpQog9Nbo#TT;{DU0bU2W2G@)Jw!fKm($oIuQuqD2BIf~h4bKR?Hgk&VFsD0|(p z?mx(I6{t!de}C`LfcOwcCm+}N5Le#-AIA_^8+TW~_#jv35IaUzu&#?c3b;YKO7QB6 zcgf7lF3pWEE=jSnN=huwOa?NGGV{`Hk~0#EbWo&0BJm|fiJ2wEU|BmIUsqqCCw<}_ zeSG|#9f7`uh%4AB*fFx8_);9|3eJSDp zGBQj63JSL5w}BK$At?Yl)HOaJ$TJKWwqPSc3V;?fFmN$|+_^pavoT0S2#7(Jd-{dA R2KhPqAk>0n0RR91|NkP1mz4kj literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.cmp.kpt b/Exp28/db/YL_dec7748.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..db5562ec78ab8eaa65b0b59eacd129935e245ec8 GIT binary patch literal 225 zcmV<703QFW4*>uG0001ZoTZU5Yr`-Qg?ImohxXc*9g+;Ohd_sv4lRL(PDYU~RJfK^ zNe%@1%IHFcW{f=hDL2+L`M~Se{K}X*=#aTWoH?V;hvn=y{Q;aIm6c= zTp0Y{^feB!T46eQSn7bc7=f2*o^mV){i#rt1@dXei>%0bi8Z;smV_4}TX3wOu%Rs; zl@24x>I7J{bZBg^P4JDUfN-$mfsS_M$Vg)N(B#I%R_r?h**S4r5}YtTHvQMK>s?3c bPR)rB$L{F51g~t>$>{t2Q=R+(d*hN=|0i$w literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.cmp.logdb b/Exp28/db/YL_dec7748.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Exp28/db/YL_dec7748.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/Exp28/db/YL_dec7748.cmp.rdb b/Exp28/db/YL_dec7748.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d1376d3c8d71b1a457d93d2c1e511f6669840b78 GIT binary patch literal 18447 zcmeEte`DLWb@zGSulFywaib&pR8~}XRApzM z$deI?3i({)g&jPR>^Lc0|mq3``8nM8d9CzfFl)SXqdeI2f5(7+IK@ zS&3B4oZPHT%!m|?Ol*jliBzPCG|Y^N#7wPR{yo`A2J-JUKtLAa{{uiH|7!vvO8&3y z0etDJ<4h{$rr%l}z0`4OEir#?&SPQ0$k#bd0es6n9|j&|$k{1`B9phaz&HuiPtwT- z1QRXe?rdUm1SRp>`a0)7aO1ZaO!!H(0VA+>uXZne@L-l(#T!b42E|dy#1kE5M?zam z*~{xWGoGxa_SgUW?c_)B=O_1jr|{zY%iEyvuQcH5BWHOG(d&HZ0y21xQRxz~;;(w5 zl>Uo<^0l9M@ekqGPiN$hzs$?epPwJX_hJ90M*)GKPsY;|#aP@9LSS`0hZvra8Jv2;`o40mRdv%JsF-mF;!yo$pZ>PQ2#Ro4-ocy+Xb0Hx)}t z68&TL-Y&SE*FVz&!V(`Vpg-qNl6+M@RWLj}p{e`Y`9%{siJX?@(oA_XHh2VGFe9Ms z1YR_4vXb93ih3R*KNY3oLI7-j<(%CK`^rhjT6nbZbD>K2{Gd4-`@FFJqf=X(U20KB zH?Xfc#Z<%#*Cl&+alQ%5a}Ol-d&xhRPc>>x1W%)+u4nFD`5ky*deZr;GGg#$lZLx` z;6V5NBE2iuF^ot(x7$c46#`H-5Y;s!TtwQR*XfYj8qf7M2SPT#;MVl6o8cFVJ=sSWJkZ6?Fm>2+Xo~g2FaoGlu-C zTk7^cYu522pab`x>(8LU^3A7ZkTKwO^>TLV9BL|oV zcCa>%;0D^FU_*FaaIg;dg`20?9#frGUwZzjcC;wUbqR7-wu((j`+?T}HhVXrtor=o zlF8EtfV25!`LUla$yW-HB|=3DmCAp`{b+C@cvdu*c=~`;w4I9$P zInuYW)X(+4M4KjjS;~q}dU!0`J}pg5^x5cT!)GYXHksY|HjrzmZzFsrV#>64sm`RR z(Aqk_^r`!t#h0kb4)2s6FV|%$O`9f0)yTTY21CWY;=@=ayGt$)x2hdrjC}gK zqKRd#?ypcmJahcM_+h@=8R=jCt{oW}sak!JFpW6Fc|pvq$1%dl6W77D7J_f#7}A0L z7Rb~Vk@ApqGq8y|*A-h}V);!0Zc>jKgN7Y!n)qnR^1-*HEb!|$@7(dF2@}5_(DBQe z#vi+wkM*vi%@&dztNmGeZBYA#(B%MY&tH!nrc^jZ6R{#WG~cpXUP!ROG!;kjO0dg} zsKFT=D@I|P;s$g*aL7GuC1Bok!JNXowXsnBhmFfqsz%}1karITve;0QUN(Spmo9|r zSrGy7^{*9{>Z|$14Gj9(FXFTS%y=~V;<`MQS{-{{vB{mpol$8!q$a7PITD%XZGbj( z24SVOLM^V9n4NY?^Zb7Fva^Y{s)X@4hbNr%CHTq^yhQ~yh|!}h3`I1ucBFFjhu4w6 zu(cbGL&sVtD>%N@PL}w3Bq!TYcL0AKh&_(4L8-MJ)FeyR-3&ILT4wCe*v+c|#|-v8 zhpIQJzYG1SQ9K2@=SY{rB7Zh^=J0qw%k6j;7-0lXH@vF-Cf|!Chs)ScfEDQ9@L19u zIhCNOgnVH$AE(QvaV4_*K5Q`p;%Ow2i;Rx@9gjoe&TH@6BCB3VYy9W#7JsR0mWl)_ zwQ6}lVfzXiJPyh33(h;{IwWWFml9Kf+I;l}#a}o%S4B7hIL}6PHno5-FL)<|k3(F% zCIf@;1>IS8D&h9cO!Q^D2(1j$_f7B!q7EW?{*oP0M<-eSmYO5<%sw*s(v2LXVJ7GA zM&x0C$yO(^lJmnvk~LWqNd^V!7(EAaxxZ5sJY!#_XtHE0+WtuyX0(KWSRE z5RIW&JQ+Q4(K+q?yU?M*DV(WrgFIKL%E@4T@4T12u?`eiMifY|XjZ8_OKL-3>DMn> z6D~vlmg^VwI4kn7RJb-ip#cnz-=VFTCrqII}!eM zj%wI1RAvy=Rr3L;u1AS{y0F`E1JrdXM@y&eytqQGy! zdE-Q53SG3X%@7rV!Tc^@MLE|m0m~M>qog$a(^wVBJL9-r%ss6s$?S?aN}*6EQ3NSt z!qiS8TzO{ZM8j5mW0Ny;uP*_nIxMCl9Gf)EQdBM|(} zou zUxOH!&I#ujS*=f#&>Q6I${7aydlY&(>k5RiL#&I~+Jx3L6YCn7kS3j?BmN;%XA;ji zw*+}3b<`1*4I*egU26msEi7mwEp%g8;O~hcYxoV=f3x)wOg2~%W?Hf#8Sd$Inmh!L z4i#CvqziapUZkL-dxu4xwy)m}B(@NxdnY51J=pge(^y2dEB#l=>SwkK*Nn6+0Q!6= zElyS{yc?5+N5d!0s}`@n)V9~c+7xZu4q?~)n`&;^mp8LAWQp>ahs?S|X53JU_RRu= zj%m>4pHWHE$S~4*gJPfu;Dj_@hhe5eq#qFoTDK?s#^2L?_HUP-!Oi* zwf7DJ)Q4K%KR;K$wWbn3Gk)R%v-&~er2Lq(dM3SRTy&@L#C~f7v74uZDzyi;HyX43 z0<*tT>7wfZ{;rg_3e4un4i0$i0wTvNN3k541Wju6$9MF01WpH|7Y}=)Zt{M zVjcIb(5AoJ`%&M2u>0fD7id~0%ENsZ6}RU}qIT)jHqYzen#=b!&GR1l7gtPSE%;-k zfp=!--CiAR?-q`g-3c#ETM=V4eDGbKTB|XK!nlt(V*SKv=OR;>=QETsEZq ziX~{T+zc8el3OLvuSk{(d+U!vLs0{$9@h=FS?%<`KNF?nym)_WEh~WFpKuO)p3g{| zf}bxg9NvM~KVA)kQxjXizV=o3vEU47Hy^mGZPYxsNT6OTgMxBo^&c<|XnTHWA1!de zCPjS`ZkM63Q<(35u)!~iiZg+I5wcvu@e04x<4Yz|h~H1JNQ%aZwZ%V44))q$rX0~8 z)&I-?Pzn{kDp#V~r2J{Rg*fCZS+6z>y^cruzanaFzTF*ol`*^y-d`cns=zh;bad1x z*;GEaQ@`RqQ>2^;|MCw?X#Q*r{q(y1O{w+N0K=wM@4d=cF7Utkfe%!KeqR9MICxKu49I)x)FkGq~f zYFk;}@z6jtWQ8cIhp@)djoTjR6GgL-K%%Mf(X188it|dDTf8HxI!t7kJJ;K|f!2FB zb+4Ed0u-Y~u}6I+fdSNj&Qv|gq<7& z3HbO;z71pyr#!`1%y8Dp$rEpU*2Oko z1mNtc&G0V5DAWq`&yfcZaSk4gymw!Kb7&0UdB5^kBrsk?aJ1>oUa<8wluapU;J2&& zdPOjh*G^6OGcdGkW{~nF&Vi@sjRhx`pX3aTteVBo*AaRY90sL>^U)MTznC2(jG`b> zv{_vs9`esyybdPSz1aw`c%naIg-W%X&Yl7e0_g9AY-Cg5J_$j+--+(}1PJ4Ufa$eV zyAS5v3&)B&$PkQT2qPH6^cF(&;~+Cn7`l`uZ{P?8+Wg~J320k zK1T2C(MOA7mP}jU%&m&xlwQ##F6*x&(2*8;8fhs#XPT53fSzq0b!czqE6|0j*XO!; z^X;j*XU|IBoU-%NU%MR$+68I zkJjO&TBHhii23}58|^Xph(i`S@#5xrsr=trgH1uki6_F`(knl5cB{Xsp3<=oHqh3v zTF1?pyYSo>Vd&kw&lA#mY{=DC-%V|=lK0bSYS33?@%<$Q1hA6N*a#|uL7Urq8e|Uq zr1eo7NMtb%=~4)4`54N!>J_3|HEAlEr~l3{g|d?VEqJvOua5cFLud9jL1d-sspMHVe+!mtJ?jY8oU`QsD=TG_m^ zQSiHl6MF%BG7p7?A?KQO{=QsGI@<^SVL?T7agIb*s9c3U(kC+cwxVeN{T%ukF_LQ= zLi=01kwoFe+;Jc4=z2s6kX~Il&NdV*op0?hO@>c!Vn@K>?S}QC&hqlts-_<8+!R&K zoeyo@?obGS$cNP;j-PqO09*~&R*$_pDuq*=Rd79Bc$_!0QD0}N!$c>|RZl>K_=DO( zU5xx}*c)0UcN^0YGav0}_*1a<(_xk4?P1xbkE1dl&noNq?be@V&Sj3M4I7=%>73Ef z*sH6joav_luY5%s+e}@6CN1rm(JEZ{k-{v^sOncErbOy-|J|HcqnD=pQ46Q;tN0T> zo?Nv;-HF^7==RgS)PrEM8dnYeUo36Ib~KJwj+GS-%`(|agul<^QWjCZ$$q-NkLhYNtM}^&u$p@Iz!G)9*Z{qME1}nQt&00K` zY_plQ=V~g@>AjAh`$YpUoE2y@5%1%7jn>7U;%G|-Y_rb@@~79YU^}nHuugx{A|BOt zSi23E6JJWy7!LXi$Wlg|tX=3ThCND`!a;MvI9cCrRF+w6wa*mb5kV{kwLj-Z)A){! zr)D}<-eq$dxLnGrX+)+Z9!-i=iobJza?`-`b?j&$96Om7P2R@q;sEbi4rBE7*)!I`ojX5JW zgoA~y6hD0usauT?4bg6`N>-(Gf^Pud{scUKiwD5rs2f(hqk4ik9n zK@tO`&X8cmX@p>Ul3$?b80h3PT4B<7@TeLma1yev?nb(AWLacY$x(P7B2>s4Ch?R# zLFhU`R(~@0C$o{CG{ufLy@}Pw_UDW5lCL!?#!Q9n+I*6n+_I!++hpKj ztG>tR>NI$8K-a?!miV9dtXrRIcCZnnE9cS*RI_if!4^Os^W6?Ib$+|4jmwBd^kL1< z6ktEBEnN$zS3gYONT?3{vhgIA-Qcb3Iu#7zx(m39T92l1M9%Q#EvgY(jX|QJrEU72 z*P_52*wt%!6g!@OoT{Q?c5gct`0{h~a!ccmBf|_z#XH+T+B#3N4?sC};v%+ht0!o2 z<0ir6?ju1%cWPM zhBXSyOL0b)l=6|;gN~}A>Lh!B!j;*-5a7T^Y9(CPj-6mbYt zUz{`^YkfISoOJewI{(%qt1+;7$SJ2K?F|e5DqVZ~73Zj2%@S4h)g%3*{&#*+GS$R7 z4g0m8Q+H&Q_n~GZF0pD7g9~9j&yCw-HwO-~(yE_^p#lz7jgCg0zQ@{9T=cN8rN1mK z?pz??a!D1(i2k>M;u|U@v)n_1n9lj$`slUW^cKu>26jp|DGOyw6hRL>#HDZ`4Kc-Qe2Am#Px>;AIX(YJc z@qyE-XZGNo&U+}cosl9vey`H#v0PI`qh5~cD2(UXD>)L=9ZFYOqX&G$RqnPW&!hLc z_{u0#?wSdfTp&MAM z&MsWAg?JDvI+&K_)y2Hqsz|@5#JRr=D5aC8r1hOxEyx+Wsn2Ky+YP_t8Q=`hV-)7p z&Aqs6cF)&gaMQH@J{3}-Kd+UQxH`!mDkymx9JATiVJ>{kP`SmB(^`E&Y`cEezpQiA zq~sw*X}g-95eMv%inz?H4ulk0C*!gTou6l_Kt(@qlv|p( z10-D{@v6@%GTcyNwQ_^VQOR=kYyDECaK3FU6NJ z?W+6utX$3kyrKxMp}3!+7U@s~J8O!!t^LL05+m6$tDMQQtP9*V`_2mX*|-~n&>&g5 zl2k=!IlN!7CN)X1w`hKIL)@A#bps__Pu+?R9766J!Hm@Md& zZT5guR4p}z|NH77y1xZ3p~|}J`86vP%7gZ~&Ib=0p0Iyn)YsoF7m0I@L(X$RLvEtZ zh41CZ*b6xuj*G5%3L5TjJ68PopiZH~h@I*99+qFYO@G!@z!sE(P5YUWpqSs&ug?aA z6(7ip&muyw#Gs+!2CaP&l1Z|`cwuQB`ZLwZ4<>J0sk9bG#e_vsOKa$;2gxhU1o9|r z*eFrK*anU`0~HXg<7YS)q#WkE^CvvkaDe7AVBjY+!CyD?`-!fv0;!;O#*|QGkZq)vz zInBxX%2IU#oD4EaWhCMBd}AfkTzZ3!?B%@A8||O{bHG<`goJ8uF?_`U^nBD30>^=3 ze|C;ph%#clx30sv^%R7!NHM#%}Ako1kBCHn%#l>tRI?m@f!Y3MdhLDl61V{0Oy*qRqFsF)(%NKywy#ICp z)lf!&WBfnrdgZs^e6y`E#w-O$$y@avled_ZNr>Ec>&-8RjmG1qW00N>|AMUHbWDOg zB>*RDl~g)i+Oq;+C-Q8og7eeymVio?9uqVzpKk6xl2h&Ks{EuSh6%4^{`LX}GL%E9&a;5*cK6aZbKUuS=A%tsC#FG(Azi1d zScf@ZYY`&D*4)qLaAa?wPnEKaGKI$GnC<8%<398i6ymtA+RIm}`$PTm#s(KI=qZay zQ5l_r#c*=IZ>@sqyPM5=b(JJ)M8WvdsmjCd5g!Z2tm%LB8AQc%&w?B zkHOe91F9r(V-XcQT%}0V)dNc?Lad4wyu$CcEP-mHu6&Auuu1cBSX+4C`4o)ytm!6 zBpwc5i!*EMPA#gHtGC*e91vADer?-}Tcrw4FbyT%y{8b%BAmWXpr4Ucp`O-*wFcv0 z50Am`rpjY2J+9Y!f5%@MSn>SO{KW%sOEstf&_3 z#+<>KK4rpG*qGS|EF8=P5*Z~LVP(2yeBP@a>>gE8R`3Uu%6=5^YUj+W1RhT2`?!ep zMQ(;n|HUIjS$MhXm`q8Qo2a8z%PmYPkapF;t^Jx*`Zc^iU1IesPk#iMid`w%b*gne z*zgzJOZOR7+?kAa@olTs#3PC3UDC;jL%k$C@-H`>Yr=61xe9+9U2sJSaKrca2 z>=%|e5{WQ0^&IJgCahE<(IO-qOz?2Dg4-NSy9(x(if_g2#Hs|#{A6qGiI;B{G-s!> z$E_BzHBXmDyWYm-cEa463767d=s;(=vgd|K9_0(ODx zPJ*8G?y65F4$?Y=s5Cq%pfnN%KUJgQOeF^}v02oygVP4f3nrq^&Lm(fE26P0^ca)Q zo%~T#YIYgVovc&Z=};SJD(!@(^f_u?`Ti~5NT}2uleguub+RHkv>u;_)*i^zl00*L zrS(B9FjKs2u)HnIOp98#eKVnm`5a-DGbzepK2sjQb{9gXP6Yip0t2?>i zjuU-TSACHCAi4DzAOG=Fj;eg&k-Wslq*mBFSUqA7IumL<5gVnw-w2hnV*V#*`S3-_ zx?)QZu^)$Bn?QcfPc@qXIv37AdVcJy&bSpz5-r?^QcF)G$ynZ36dUEQ(r$S^1>R%$ zW5P+BjrH(Q^2;hry&wFfDckVWUGP196`m$cejK&UXoS9`SJQ0aQ`H{0a(5UJbOM}z zXwnwY%zE5VUdO^As=S;--oul?(73S^emyNt%z%W30&m0C+1UzgQ~~V1tblCPsKXgi zv0)iXsFVz-lZ@nW-BJNsgNrE7qN=;K#?# zfLJ}zwtp+++gu{kG0ZX!72B{%d_vx^5*-=Z`+jH~hQi6HTQtaAG*^rGdXO~sHX2zj zIJLzMp2hZdq`_;n->;+a;?L>NoV8YXb1w%Uq-sffooq<>%_SZ#)!!ybgxobycub35 zl-BpaqF67qMg@_*u-1aX?FAx71g0T6Kct2Jvf?pY5@dU^wGYA+(|DaJj*v0LpXt@$ zmRcP^Sd3~GBEBlJO4N^Zdd^FTE%hXle|jJTi3r>R2(rH;K$S}cf)IIPO8s1JbbIL= zFhjz3s0sCmd}P8O3w?B1QksNjb3u{$LUPl$MQQWF!?y9~or$458b{vW{CYqNok$;b zSM;FvsXzvT2!~z+PMP6;)iLzl(1<0v5W}%WJ`n-S;%r*?Sf z2$m*Y-}$#?b?Dyxq1)%_KI1XjU+Eij;bM8WC4{u*O)+!QxFsTDYi9%M+1F-G@#&#a z-wWhH0U-*chTW2k-zsCs$TD?;2rGXPT|XCJBu+zX{lY{qP_=2~y5b>E18RmiHTzmv zEG48r1lPKW;`G}FRg2UiXzxoD$c1kLD#llg@)oXRDu!M5#jqmGAJ-KNP?6+%NuUBStqkD;_CYIs{iv??piEld zU7k|pIBzf3TcNPOMOs~alfN%8!8Utf>aw3OM03edV8G>}f0rJVdtPGeWnikwPa=@P zzlb^s#_rs{k$=d;Tv8doz}GfgtEAUNm^r9`Bhos|_I5pPJPuGfl>ppmgb+ZnVU*wZ zPE!Ky#9L9fe{rSM%rPUzh%0!MB23}mx*2Vd!9==y>oGR9C$yYd8>F;1gA<)Q>~2ng z^&aNS%319%(*0b!f!a)|y?2j&Y6RLpX9uM7uDqP8dz{C~%d)?%w z2OU>A(1n-DfaSNOCs!4btQ_d7^;wLn;bn<9bN<$ms8sKQ^ zlleiGh&W3smsp0%O*b7r{%x?OS8DqDVBl_}Y&UZkj<3A$O3f;DR2SJugL0z=W7K~I zYZ9D0H}B+_aVkyzq%b|O#v)eFe0ccZEg_MkNrSw5;u6($s?2kB4zGK}{1A>tC;%C+ zMG1ic3uD0K?H=({!Hw}Ac%B$k&Jd1+)nkJcBkzg3ab}ewcoU{w*OUug-11G);!Ld^ z4PNQ77?K(4oy_AylOh{ux+&dilenP@$eyvT7qL4pMus==SH8_QQv@_&h}eyEybNt= zh1>e?WyPJL4BVI~&s~wyvlU*^Z6{y^+CNt|Q-U`w=cIWg{?1DdBcvHN!l$l#cxsTBdYngx2efW28%KJ40@n z(zp_=b~81+`ke-Wd5RHN+qp9-A)C+#PmX0DKcKl+xl@_(kBV8#T*zdzvAaT{h8%Wr z?ZcQNWFVrM6FX2j^w^%DbC}KZWBBiEctV-Bnro}U-C>NhO-q*Gd|Hzg?knJCk97={ zbZ=npMeca0brW6Xo?gzv8C>kd!c7&48f;LMqR1S^&5mkpu#!%g6|B~hJ7E}65~KQo z$htB?B&8ylh*x`x0xq!y)5Szrij$79*@(}3iy~CPlf zip^lBab$|Q6<4$BMAeNY^0imqk|2BTYZh^VCr~RNPL7ahBDOCPr^W9Nfy!cQhb-tQ zk|4TRznn|C3gART5ABb3aGfnpBz7A*5Ydav|Jx~3l5cQFx-XS(QBJCIB#eDW?BV0U zRGHBv6`jxES*R9ofkULW{%C*sRaVQCdV_5{Tm4(^_B{o=6ei3?JnrrR=>7)8S*Yi` zUn-2fW&Sj@UtN3Xh!OZKVu{sDeV9hAJkiQK&SHHN$}h_ zpZ{&T-zkXmFy@)2ql5=Q{RSZy7$W-uWwenxjKQ=!(i`Yg(;T5EKIq+v7^(_T9+pM> z@vC+6Ksc#0*~AQCi(?Yw4{l=+Hf~r^Zj?`a#`@?-!08_b4Rh|vHr{0V4Xv{BT?jzG zvJxFI7r_%M%<5A93 z7&#-GraTr)$XyaE4xJ+#wvFrp2*7a8Y)li+{&oP?6wN)o#Ai-$A>U#K(2rLS#jWXP z&E1lrh9Ly0pdu397t9rUa`^(q(~-?94Ktl)sO+|0hmB#kmOzYQuEf%SRuqO{r?5~@ zo(=A~KrCwIXmeg?8XuleJX{SP7mZXhESGQjK!8as7mW)$BoejoG<;Y7#gUWCcp)5f z#LFN?07IEZB$E*Vz&reqof4wwk7BM|L=J(|(i-ZxYvV$I!%9a2?U_Xgz87XTRD!Pb z(9z7}wRNr&oK|EiwPFcrn#h8)(@rK15#2VeLjlI-5f(p`3j+{`vgfNjlmu^pmZG8f z)U-2~1Bk)fLsJL|PAfL(R{}I+LDq&t+EBqd(WEd#{>0m-p7MOvY5m^VhTbM?L~AC@ znBSckN@YhK1zAAC#H4meUu8VYfFZU9^<|meq|BvhW4%u&5g`B#+h%S&>648~uG@tr zuRk{z29xKFdfOjD(K;{{aBfG+4ly&JqIc>Gu`RS__G$0#P8sGE9+b>L~3?paC5=}LKMIJLyN!6K{h^G9$^IUiG` zwL>5?_c}?0;4X$#!9Hd45G#Y()6E2JH{09KMf`?|Oy(p}Qt=BELFGIOHH3zj3Zq07 znU~FMQ@WAWiBVUVfqBi^Pvd1WF5a&4?EZZ zy(&$VRw665aB|8e7_iKtY#4x)oVFc9FbqIa%$~pNEG}}IkhIA-mH}rpjCu4dZT zaI}UC$Lnbu-J(=|UCaUc6UTav2v#UPD7chV$EplgyNqB`4~Xz(<{b-je2pPvIVP~l zI8Q8)?IhH90C3J?DUu$-WO>%CWEm6}ImVNxa$g7jE9|fIpm^%%(pRbtg|on@Wa~mN zC+#gB*7(6*UQ2#HZ!LNOfy&;pCH+NeTD^z%NaMD^m=Ha0L-JmBy}j|EOJQQ^iaWk` zMx3Q7KpdAY)g*N(S1fs~4f5*UZa8b)O=Fg$Fm-B`vm6r3Y_<&dj)n`zx)L{h&n z1zGS7YX*K89E1Vl1cnSOP*S8VldobMm)uB6LTyGu;y@v6(jRlWBK+QdK7&3@s7Ni{ z*-Ucf5#h|cdnko%UgARwC4w4b%i}pB=8Hi&|FW1fL($)_Nlcqg=KqJZS-;=?)X%PQXm%yb5&5sAVEk;j>b;;f`W_@ zS(NQ&B0W;YH+!4^H#R+rsOoj7PK;XudgvoJi9`(R+EVL%xr zDr+W^pwOU-6Dh%`T;&Bj0H6g?+arzc;(zOn%9L|VLq~^IXoN8#mfrJqeOcUCbdP`? z+VvvNs7{0>hGsByk=e}~Hd7(lmLhVdId_w?$B`-un<$Fh{7eNy)ehWR`bbm{IQQlb zF&nw`vkqk@hr{5?1zIp!Xu=T6gIlqGmE6m7pO)n_lvr}#&HhjF*j~ZGi6hfpb$+p770QD3U~pz$*E?BNc)X# zGu^{@4fa5yfZ{=6i85qm+3F4OO4cLNM3hQ@`KB^;}3KQ7fhl)}lp?k1|TYo?q&4YoH9t7%1n&MDY@zYUWXO zfq=;+sL{g|4x@WHdoF}%L#n{bmKfb!{^_yTtMYP_tYp+68A8I07OpxG1yj93Ri}>w z)`dEgpc~iwtqjFgO@A_Pc4_(w{jzoBMtnG3rKgT+SxCf&m7L7}kiSOBJ6|)%kaBdn zV9RQAcJ2JvS>KA+XptRy%J*MfRRCH3fq|`Od@>ZRvNe|#O#G`=Iv!yKDkNgJeMq^y z>XzIe+K`RWaXq$|h~J<31|OO)QV~MmpYf(`t5AhG03QfzCV<5Z3>|hjmO%W*zhvn1 z_bQeW8M4LaNNn4r9|W}x^N2_AzC=WFy5Lh;S^hXGgGksMLq<6GNLsXD$P`8x;^^ZN zi_KaJ_RH}_Q}Y1feIV?~LloYM_}5KtSovsI?kXhx6I?xK0`|XfP|bDPK~8J&i3>YY zv6D&e(}RTZOzP7ulR`SbxR$EZjYu_p&&H^N#}(&OI0U zot6Ogg1kjS3FkPrFWpPQ?;Ei@6(Z=X}`>v+4`&-~f|8k$BJ@i+xAIo80;~chxq< zlI|1g>whb%)g4!pWuY|dj6GcZ-YgN7}yCF^$CL~rHdLPJHQih$5=H$Qf`ldJ37~yqlmf3Tl-0L6RA6?031}^nu0NZVmi01XC zn7H9hfb!Wy7|31%1GE)V{%&0&IXE-wy3rplM7l8tzg**AI@8(hU+=urQ8Or>i(i|$ zmkz;K!fTu}msgoC`Ioo`2>{l*#zp5Z*L*y#_@mr^P9uVCbc*4N-x#XdyM*Kyn>X9I z0FU4rw54`paBeG1V{q2xc+P3=WQyXb0*=7yxEE99(%zV@=EOonuLjIQM3irIvs;}; zg|^qRj;($WC`tawN|wsUzF>^*#e)sGGU>fyPXN zCmWr>%LKD}f*B{O``Obk9+4r`uuzs;T_FIU7ny=^Ag&g5RaAh_-l%UlOK(gPvGIA*+Er9Jx+6f#=_RLP9@XIPeYte{I zgHJtr!C}D%3K6ud<}(Ey@jMm&b}0NqHKmy(r-x3!AjoC;h`Eb2cjbpXwKFJ|*&80s z=8uSJ^-ZvDGAIw}cSuoRTD>!7j7dYf9!@TQzGLkhoi~~V? z9Iju-AF?qBv0{sG7!?9hV(Jii`GNF;tONs)e-Pmf=Sh!2LXQ4~IyLdf72!|u&8|W4 z?B7Ac;2P^6MuH&rQUoH8!4NF`lHm&m+JW+BYKqcAq=@v!^EiV4`C(S{K{~`N?H^M7q7NyaCBsV|V#h+U$N@W14N>*-|g# zi>YfO2|qL<1r+0wy1L=&`15q=2~*#i(Vz@Mqc>}#xZF`a5OQT`?gKjo{Hl9Tj8bku z-{YR)QeHXI#lvS=fPPu&+L)Ut%L~r(Ld+3fxgqqKIN(2m3oZH|qB8~#nr9-DkuYgy z!WeMKw(#0EUzkAZZ^XN>QZflX~B0K|A#6?hU)Nz+o;z}1NUm2TM2pZwB06xC$UZ)D{(rNS9>r*GWtXw;k*>2{&&sn^B!alEvjp*` zP1mU`1dK`u#J!iXtp9XBvB7mtA-p$9JS}ERXvl0T6t%$-CeH)e0M3%vFSpgx33I*V zLnzTkN~M*i^on+neqT<(y0U+SCb|b*zJ6%lyw$%6jN+vFE@$L!AC*6r494Le5cCPkyh-JO860&uF0f&2#jecQr z$x;f=iUh%*sx{`i4KY5OaO46snYZC$VNUo(pbS$UpfphLSd5j-KkA7DeW$_kC;`259p z*Ph%++!M~21w@Q@Ps51xF(JxqkF*FvX5F(%8tJTdayf#!yuq3aha8>6{^GX5V}qVQ z#GtL?l|rI;NvpHF&J8IN%Yz6kMi|)0P-b#{dJ0+!%?e{68zMCULFf^=D`Ph7ai8u$ z@+Vh^wJaf0W7~Sx>&%Qp<0I}>&*H+T`y-g_BIoeP>->-wmMbpOVRfi7^_B>%?`;%o zw~2PRaP?0UQwR$Av2#d|zC_4&;6YM`nj^|2bul?e`p14QtIqtBb&G{0I~N(Xwl4z& zEz2%djYQ)+%f3dKrkM!s?%A9Kjb>I_Se+ST%7dkiq2)~-tYjgSVFcLaht3Txa5&28 zcF-o23M5rWwh2hiN7s?*G(80nuLS`KEG{~iEIWKiD%CYA>XeGjS;jh1g(ph)ty&Rz zYbs$v$waHf3Mg2gWaQ8s(-Vayo&Opsu36b6a<>ec&U_~ZdyqaYETM3`t1CQs`w-l_ zZhx6A0of(QPfN&^af4?Pj_V@3BoZd?^36gLw!I zei)0~&{V_;E0fCUCAW$|!f7DNiD)Mw9zJRT82{r!{O_bJG0p1+2bX2Md?_T3X5Et` zDUil|aU*8h=syEZ+bAlm3TSO7IN%uQTskj|?I-dqFsu}+TUt1(nWS2BM09`skKIl( z&|29_+0Zxfz|@8OKzJ-zism&@lSu_w0{SM~s;|<)up1V)7z;5t8p92z@iYelh}6P9 z0~{99CRYdiuv_aM@L-LI(GRn<3$aXXHF{%SYmaQA{$;#oC26SlMCRKr4&HI-81BP{Zo5%-9J^L@?g1L z<4)v_)de!!#ziO@N%b%3a|eR@JjpC$U?ADVf6dtz4O<*yji&+3Vw9If13EP3_c12_ z;=y9vF=KTR$x`V@GcvBXrgY?J2#nE@M~k z*}v(VJ|@-=!=U=pJyDRGr-vgBrNOe;aU!e5h>5o_b>2wtvW8F}Cm5Hon!PZq`@y&7 z!Ov7-wS8V(J8ZmyYj$j6Kl4iEyYdB!K5Y>_Z?~{*Le69>{W?U-uxtJaUH-iQbY+Q6U z6-R43&yBj-EnT_wPfU^*@})vG_;Xr+r8UQjH?}CNrpnv=Qk4}%Ta2e#8Ce(oh)*Fe z--G{5BR{RI$0=2}nhe*=R5LUBs44rjl_{>g?;igQIH%*?&eM?RB^O8 zR)X&ERo+?$@;LVnUe5b^*Tx6OBp{y$7q;n7-BCxcUBEtX^G*l$-VWWdi|v9nOZwOQ z*+9?*YytelBuG)9w3Yu~ABgn|p`*T{Z`*hF4Uz6Mj;q?0hhCB>9;^bDvHNyCbLjsG zumw;0;X_1W`<-=!qn^6o6ZM?>gVC5oSv0oxqPcS1>s+f^h4Vr}TS_OApn#~rs!eu< zFcSOYPDcscT3yBsy{P%;geRdH#-jT_c~v{R@Y-8j>uj6ChS*XM*O)JHyEgR}YfbSe z*iD02OS^g|b{6s6Qpw$|6TKcSHr{X|FK<94>P?4szF2+q|q zG?5q{*A3H)XkT&XM)ud}j<`%Uulvjam;v?Hg&GB_5)3@WLp2%As}(fOxet2%@hF@) z6i*ixGtCOW!WV7vOSQ^KG%k?i@rcTqdklBdx_Mf>sBzcS0H?|w)mXXn8u#wJ#_FBd zxPRw0KDnD3AxRI8@J2QsYD^K$bEbYw^M{)s)1slUuKFw>6C&nKqB~ah}D;Ye_8yAfe^vvn2-=i2I21KNz~wkgdvTw zLPnYqM1S@9=bxJpY&Ub=w*m2s;hVguD3|?*Fa$%@Tt5rrV1`a!e;1~@6p%W3{cS=% zDAmb}vN0_#1&cj$Wshiu>9@(QAVHgbW*COj``b;&IC)jN^-8>x#z_ zw!^Nb#P5+ep&j&kUfVhWFw+VJGL<&gAFZvetB2}ORY84A@v;&|Q>Cz}5=x@lbt+hV z1eef6IV|xj>q*6QgPT;Yv`G!d3Sx>p=>+G*b#2hh#xhpHYgC9xd8pPLeITM1v?+H2 zg&){L+mE(3iqV4T*hw*wLL}+79_?uQM04oYNn)YjrIc+VaDDU9PBGT2D4QGaj&;T; z^|1VgyhK-?`o7wFyk?yi7-}~)YUSM_Md>1J+>c%uRAc7U_TFLS!itLpO+A zPm1KdaF>Lfo9@2= z=(ukZ0n(6ng+i1^zF;Us`Ct#avezCdy|l-4bQ5>|7895GAPWRA9sfT700960cmY(B zJx>Bb5QgUf5#&Q4qKJi7MiUE&goq}_ga9r8BpBEBK-)6nI8WIYs=c%evRr*Fawcn1vdyp6r zRAHHzR!^}?7$)%W{^Q{_gjp8g^iwv7PJ0Tt8V4BUipk9NZVDB{7_4Neuq3YxaIXFM z`8_}OKQV`ESZ3KMb?GY`$2L2*xnu4)9ox2T+qP|WY^P(}=E?os&;Oi{=fgYRZ_k)x z?HX&>+O_7WT{Y{PzZ&%e1O%iK9`x(e{>ucvN)3=cOGcx>QB2YB6b28O8B#_h9Hz)W-pa>uU8tM^<7??VI{ZarK?yDIPkoW%o z6d>QB{w-hr{9C$!o@qg6poz>@tBJ_}GM=iTf|7G~;^FCcg(FH~$Tvn;Qj)UqIaah@ zqS;BEv~klL==XV+%7En_=Lo<4mLfAyQSw)3;m)But!!oeuq}Ms%&YzOKp6-Gezuo! zN=dmD}#i+;MA!Civl zkNf+(scL;a%puZSg7OrHP-nY7ArUY;6m3Y#P@XVat~PQbgj%u(MvznG*@yA5!p2=F zC$E?P=iu9idMzY2MIBX>>4L;-9%mD2SmFMrQm)qMN=S4+pfOWj+XnO0Z$UD%-c2P8 zF-=4HxZ`9Q?m2H6EYZxIdRoZOD;T%mPh5me%uGd+1*i5}d!Ac~&nA5ZKD65vrWasXnjtP4P^sqf__|tAl#FjbFKB zpBtn8L}O^#>nhZU*Lt(p7N5mid9lhB{HS@oZ?pv2?$cbW+da^798GcGhx?)FywyCk zROxjp$n)5%Zi-5DYHiKgYBds@e`@5q+lu#iv9s1pah>ibFScm)im$WuHW2C<4}32Y zyOhmL=SjfkBR-8S$5CjWn+RU=7ssVy@L=r>7Usd#ogZq!k=2=j~{j?>J;Hk}j_H z=qM##tQH_bSYBMocmX^fC(91unb8wH8p!QfjKjrn0O3Vma6akmuIG)2#vzSW&y>P* zZV#!pae1*~jIK|!XxvGY$pW|xq2F#sYZu3e;2l-&-myK0s!wLAQQN3!?3STCvyT_6 z9OWw5xbN`TIti>6_D*g~vDptTIb!w1PuY|z;RCYl<{K}rPNxd8OWq$Ht=EbM&-Te` zI=Po6zrk2i`RrMVo_?_W0?jcG`ssS?b-Q4_sNW=|PHn-)Orz>OQB#G99r+hSUmzbH zgd9mNHzQIr5F^aUuL;AK5oPh(-pcqbHj{yb_omobWrIgccVDX>>a4McMq0QVrEB_f$xby9_Ut^oOI()J*as^9=I^eWICH^n!(? z@Wg9zVbw*@T{nKlT)gNosckOpkEwevn$F`8=h-Dh+|^ri)Ld$mUS7{)=(+GAsbRsf z8SLi^QDOHAunflM(>An+>*;a%joAUGtqA(lqVC-l^%MvQf0vuP+T+7hyyU=y+~6hV zST2TwVFK};ZR<(-&tje;qd!;2mYZw}%jPGuq)fHr&mHM9Sl{H#z+Dk8zQ(n~i#0==VR;#$beb=N9)w|8#vmYNVLhMr070|S?mm9tM z%l{g1wU(N{zgRbqIITe5&R?=0)Jw6M{awNp*dabkN1TRaT4UFsX0hCG$a;}ZzMECo zO~*f|{=DKk&f4p;eDNrel z9Yu|fTPH8tMY^>@XeN`!C#J|Z8XxXEFGu7x+J0!jYcJ+1=4Iq-0DxuZa5OULiSuTp zXnPLP9)B#+&EIwydoHOXTV+3eoVJk`JzME63@SG>z+a*_;icoXT?VS5E}w*@kzx7Q zQ1D+fDw#GNw-?Hu?})+I<3RAEL{+2?57qgzZ@>TAoL{)y7n&Br_goSWs*%r?RYz}q z%fsASp5?%a#^?$@Mh$(1n@jG5No&jdt53d<^?p1z=q!s}Oq(^U`CLO=Z^ty9269Lv zZL=XTwS>!kdA|~2PGB@1MVWxT%KgMIOkxid#{=oBjoqb!sj3gFUu;#|EOA=QU>@9L zp4a&F=FHd!$%s|MEhLI)A!Ayi0D~U%VujRs!A&E zHb=x0ssvjeh4TcitO^a&&+z)z<3A`jbr{96CkE@>mv3~L`qMJ0W;zIC@j5+Z{uYgg z8M-{PuZSyz)UUodt6WMnEY3Gup!uh5Q>t{5+B_vqWQp&Lp)lvtZI4N;&ZAS1F#hRh|e`!lwb_{0|yRZni`?I(?~{ zIDOsr@Q{}7xeiV&%syuJU2h!9ZsH3*YIm0a!DRV$up1m~ha+lZ75qBdt40c(76ZW4 zgXWAF@@`Q8iY()NWxUr@dROWJivNMCHM0Vh?$)3DQ<;w*{Vtj53^4vh61o)d%4-V; zHM%!fqY4V&=ZaS(n`9NWmSsL)p5cgVqw%rk;7Ao3#vPa-O2eFZG%iz3?>z ztK10oYqNllzdSl@`dO#-hS6vjTV;kz&e|QKZZof924yVKN+ZGF)Ckuv*QM*pTuDk) zwmChn6;HK2bf0Nlk8{*XIhbK-W7hGx8{*!Q;j=5boLk5043j(suS!UeT&|jrnZ(Xw zN?G4~GNQtZq#aIkV2#@Kvm8cZH87MDFYK_l*&wl2s6{z)*fvElJqM5*_1{l@YmmDiG-7tvEZl%~$ZIiejz!n$sfk4#abL6f4fH zqVY`z%stYi`XN^c7Xx|ux7%OVex(vACSh+y&Ky^?hwfSI+scowzdz3$_i(o!6s8qf zo4#E!>`(4s4ZUpx?Z<5=Dt>9)h=Uz8@HSb(ER!q(&)Q#QW$D#UA}y2+;qnfOHxfK( z!)&O%+)O#A0Q4C0`AXgmv2R9~W3#&tcdez>7(;}>tazE${VpOiG`y@1hDEM)8S6mH z<8=D@^d!?wHKL)6IGl|#e)A2J*1H)spoD2HJ$xa_6O_bmZULFL*LUW z@u{D;*+gckiz}srdwbdn=e4_2;>8(f_F@`iR6)gL%kOKp$1#x`Gw0x;!NC_e$wltZYw!dIn=zH|{(BqJMF zBV0z&2{$&&`R&P~(lYQB^`QCu!Ln^u1%6>}bhr&tQUn)dF6n5VtW%f<#T2d53`Gv94y`i8xM zd%U&U*_y+&E$<^K61$lB@3S1k%&V#4ZAOwA$Lqn6*6uI7F0~7jE3qTpTeNRCuZoGn741IO6!vah!kb zJLoA%WxPSu?}PuC{ESiDIQ+j=78NFnWEYU=XtY#z?lq%x)%R&BMy;RCFj zln^N87H^=dkPk0`$z-USU_dKMuCTjmd|}yhvgIq0y(Q+S4ApY~@E7YP+f@DI z0#}nHGqNh1?Q?)@Wf&wk{%le^u_q+rk8Nlr&TDR&ksDfZ<>LbhTR66f%zK(His}a zgL8=7R$#8KiK+g&2xiwoox&KtcBd$SxLlEj0MVx^e$0b5_(KY#rg^rVlkisQQ z@znywKb3yq&7ea4(>1X|4WRbHFp%0mv4VmigH6B>5P!hIBjUjQQ@Pb++4J>Qi@opv zbLoG*^uMw6zZv)cN?dvyU(;O)&nY#3EWy=cmi2UHdEv7d8<+z^nE0t3-3=#_FJ<1{ znL2`dg7u&tVr{!~BDkOVI^4M$7p4akKvMMMxB!CwPdrXB$1;3^lfC#qT@;{zG0MV~ zDYJRpd$;oog-T*mq00Iw;f(heuJ=#Lv`EHrX+u(c8L8^7-K4wWc6*)}xEh#`cH{p_ zTgNoe`x5xE6~JTWzjy1i#ZD`vo=5qYSch(q@yR5<=F`Xjs#*)QTKk$NFM6(0Zt0BN zfZ_!V+wz&ue2qi?N;;GiF%?b9)(Yu2Wp}FdyeF=K@ z!{1byldg8n4#DKk68JD?9YTX1!4eYZPxPl|U8&SsJSDYciT8~AaOW&$9&}gWqcseP z_kYu6sx<8Oj@q7w_9ZU$<6iyjw3;S4jti)prN!3c$sLQQ46pe({;QWu^R3a#x+TrK ze05N2qO&Ye)phCaBS&}A7r$8Qqs=PNv#rokpdX+xebpOorw&fp%?Y|-`MG!xqR`w{^ORceD$Y`BmbF<1%$BSV6?(g0<~Y3g za#+fgA!D)T)ehhtyE~t2%I9O(-sQ~gwRV+pl>?g_9&zejf<>H7NSGO42@q8ew~aOv zR+_09)_X@^7ZA7$o!7r4n#v4P!(xB*v=ltIgOa-ungjw<)7c#SOzq{TyawI$`Z+G> z!>cX{L+PBkgwrX>OU~yKhgs82HmBSe1u;wpPS)Q46T^#K@p69dfuzrp#*U%uyaGZ2!y~uqizZV*JC(b%1iB2L>&zM%-w=aIWdM640 zAQ*$JMmSUN-d>y3T^-5pwL z^4A(G1kcWGE1iagUE8Idw?r`kRy{J-iY+Z6-*$!T>ETMHq^CfetTpNJ?E69aX2p=j zy_UWF+3pvooK|BlHuC1lOTbIO>vwkLyPeCN*J5Y(T~8u&1Rc#GUgXW8Xhc<~Gko~9 z(J=qmL{Kt}SdO%LyOr>4-X$wKq)j`7(*ud(@-BUuz?V6xhHJWprXhQ#O;o=9FfA=_ zC-nR_lae`2Fs)_Om#oDg`yQpwTfQs`CFw*Z4Y(j>Nn^___L?K*D_@2(v~e->d)EDU zk>z_T)5A_k_}z%5`+r)#Ufij4DudH=wUcD zrC6u@R!xrZAySNi=)$MfeevS(rNjg!e^YDwNHp(~TB21|Qu$-wi7#o*xs!RvF<{eV zBCj_4@4moCIb0BC<3vF;AK-Q4(Gap9P_;4Sk@3;U1zr}{@b6y0hfw_D1rJXq@A2tf zH~k{>1phZCn9gbPlS%U&Z>+iyViH3ybt!lWW)+GeesNCARp&^Z?uh<&z{`{cjk-LI zc52WMxQ>6&G94iHAMRAO*^>JYFhW~_|AVQ-{{_eYJOuw=?nK97NBs}O`u_F#!qnyA ze_0lc{y+TrFLwq)LL>gmuv@IZzc7{6?q8Pef&UMG{>z=Zy}m;KGHeUNKW~lvFUwy2 zi^Tt;@c&%;UoZV{Ed6iB{Xd9HUT7xcfoQ4VpWP0VjrUX3o2x7%1^P~Jn;o^QM_9;X2uzPyJY9))N2)q~lkxtG;mcDv4)I%K)`b4!yf3YZ@yz0qpd z-d%6*`^0Lb0)|)k`|WTmI)oft6p=b8zdWXXsyqg0qv@fM8gUF#!kE+#6dJpQe%=#| z4{(0?Afv#XQ-Dl#*(r@s7=7<>k<%L#zZx5TiXLB>uk{DaJCYbmS~k8QyL!rFDVN&O z?7>zSyM5rTBBX!ureu z?#Pf@j%Y|&I5EGdDoJpefo50315UtDv#Ct;jjl~oP;TYN%L;gQ;%{y!qLgQ$rdjYd z^}8{WXyXQzlp)a+#Jces@p%JUjH!(y8!9o3_)wT=fncwh3?gYc-5%tMX`+l)$U$K%d z^Y(pCSK+8kE}`90nncFo-g$GOB=i{!W!w_?Ps3uyTG zbG*Y^+hEP196hl|>B#?cq|9X%Oz2_@90|waYAZK5qGY}4((F`!QdbBOZ+rJLWYseW zu^N}b;i=dE0S|y_+QXkce=5huznv-gjDOW`=S~#k6wmjkHF$yW#ouj9C=5!-U6 z`a$k&Fz6eP%lhiYUqoJqkZ#Sc+$Q()KmM^#?QJisn}rDF#pO#&pH)pwNJgtx!vd#^ ztn(}tYC7S4a6@fRv?^T8(Ay|xf)A2ya_2RXvMw3>KL{_g;nkC||M;Yn!cC3g@FrehVzib!F zu54PaLC1BT{?SMbeIBYG#*PfT@)4;|M%Og|#358V5z`>3kGzeCDxLkD5JmUy`;)aR zy7=+xQ|m1|>@(q}pSipKmbtsqp5@c{(A3NG(2Te1mKlGkH7)v_dxHK#bAtYidt&NT zb7Ja(dqVb;FzvQ0)-9p;r@7Kmf>h%I1FgoN0A}3V5?Q)%*G>tr(B9fnM2*Ld5~rsv z&)|rjQld$MM=V~4#-BA}F{+#<QIf|KY)83U3$`bT0y(1PM;k*094qcMRy z7cM^nZeI$2OncM&Ecp$ryFw-Jv{yC^YBN%h`f=@#T%j7?|Mqjs=UQIy_ zO_&OY*a|H5P1378=3{nFixspeR`pHD*w3w#t7S|Db!r+cLGu@C8Vf-N^{mWIlXw58C>}e`kO8I$`!Utn+7Ugv z9JIRl0og6U9E^>L3z1EYmtv#QCaK?;YIhUXQ04FFByTlF^l!3HYLQn7uv1oa4J0^? zG!?7yP4@||BHXz~o-fui`Dqvy4x_wBjEes=cX4g4z11(NP=8%89=7bLXlh+F)a7}rVS|FJxcZM9kLbh^-7wNCMuwZ?I| z?I&}dEN03uIV_K0of7EiJoab2I`_We-D&snV)^}Px3Z~3u1M?NVq@{JU)w@ZLQ-bZSyJ5@43pRiV26( z^LmL(>E;5DT!p$EbZV7VTSfi2v_k&i0dx@+9+M*i=zKThg=HA}4|bEM-WGhP^;J+W zoipv%T*vc3Hm>Wa!Kqv&8v0vR`^)VIL?*d@I46je=@&? z2sVp0TGkc{>V?Z02tm$9M@_RjXu-KdlN+!KGai?eUCrX;#?fMd_bP^gU=oUO=$Xu9 zkSLN%645O7c$X?;A#3+| z!(-i4!3cKEp;{)FrLZJdXlDB$A7gYZSJ%qPScy4@QVnV1qYjKPg@8s_!B@LjL-e%JQCN_SMRmQ>(145u>f5{M zI)_=6)IUtP^fzj#5{8aW8E36TAkOT zVjno5;BDefM49h5ZNA`l<;FsgV5SMz=lv6A;m|F9|7FDgJ5M%>dcMErWl|JIDALL& zvj23qU8DE8KnR{}ITg%$BKWL;z!4tmlGiQ*ha^w)!oVzngLNZ;R0ULkLLtC^+Vfk$ ziTzA{Ol}mP>vzs%$~l3rpFYCfpAy7+3&qZZb=SmBr)Zw*xPNbhIaO2s-o_4H;O{qG zNKy5kgl?DQW>p?qC~#C~CeYG>^&OB%g&+uI_+B=3kCb#ujwBe&WXMUOhck0c^ob<$ z0p>5KU-eMU1H+=rQw}FMwCCd>_ni}QFwo?>Kay>a=6+2DMbdxzdcluhRxvg}MSwy% z%+9b$7-O3~)K|`v&TWly{`#v`E+#`S7cS)QaEV%++Iv+LaicGRkpWQu_GOmpRGHtj zHU~lFi%uzKlKSdzR1EG?W}rBY@2@=VW(W!2hJq3gd^#w|JV{Vogx-|J*pBi8N_Efe@M(xY3kMW&#u98s# z&bkP&V%9OnJ1N-Z@4fUMA%#HlrhIgc2?$73kS?Oz>7T}61)X%hTON8JcM(`77sujT zTI9#7mUfF5DRjq5ohJ)_XsipO*#_m;Y%_g7u6O7sUuqhJ?k7=9zyGj}6JHVR4E-L} z2j##!LNlLEp0JocaR0{}&UdBPz9jUAZ9=7F={`CASUG;xf<1U4Y=IYd3u9) zBmNL5rvKCmkZLq^vu&UkElTy75vD0ZC7z$!3?Y!VZH2?fOPo?V&D=}~1^RKuD2$hx z@r|yxSuxLut(W~x!^?=4${)<4B}r1pRjwWsU(yq_9#5QBM7qvBE6XB2^5ZCZ-OBvM zbE;uhGJFcGO*-6HYK{Q7?zd0WkH$QUWNNtQ^Yb^6CTc*`+Z0oa6MDYv@Ue(ET?JH# z5ivAhY<4&4T%JRn31E;S`9u%nbxx2Pc&fA%$uiD@Sd`}&@jHlJ2)cSyHtawK274O9 z(&|m?Dc1*(-sZjUo2xSjGt2od3B^@vR#?mRAGEt2smonhceQ)|3fu zi0@8~!XM@cOU=(O1bOt&2Y?xYk4u929O;;3Cb0Qg0dxE5+Gm=KF>Vo7buOt{2H8{f zFqF69Rv77+d*;u47D+k6$@#*+z#2)Wv@OeVS8&?L(H*VQR>7`pNGp!KY49@_=? z%sZ#-9p_i|`B#<7Vd#g}Vd%w-YaZ~ciu$V^G%i`@N;f%Rj;KW;tVe@}7GfL^lSm`G z`}1~q6*GJE(0JK1tE(clgKg7Nc!NCbt~#KH!_74+CMW2Yq}zgBXFVcVNGav|DgfP> zn|9I!p4fm6Kqz3|tQ06HSkBQzJ0?I>Wd`3ah?%gv1b4Dz`2F2RPGdqIivVVR5!pde zF$#Ts?=Y2tY2DMv#Wvh37n!s7-ir{eqq1;$HZL>}JEal0$Tc!0)S~Q!hU`W7XZj=R z07F5b-pMeJ_Qmxz*slA#K7%>%&+l3-0c1g!a9E@BdB{KM9S-mPx%m%D(S$?604E6>fQ|Y+#9o`RqX9*uu(y- zRloG=?<$sh_{=e|cJ0f@_d^yE+D7EM)`#{D`T{?sMpO-ToRoKUvfoDMRClS3Kpwc# z3p(se6Dj6Db5W25Y=8mweI%6iQAHD`e6~79Mxi|?Rkz11ngV0mM5LD!4;S7pT=nDW!Cc-U z9F{g}&P$g=UFp%gd?}JF93xPJojbQ-i8Sb>W+$&A;u``U0q0dItvQmF`AXwTmEWG& z3qi7R)7Z>#)dVye$-*a0qJjs4i%X*}-U(c!Dzm^YgWuNJZQD;&trqaM~nmh5B0t3LRqF=|y4q%672b0h;G!({Sp)L%Z=UEG<`P_#(ko!82I114d?)*gpQpEuxa*UStRbs z^>;)0DEmjs@^qk@5|TxP-mzk!A?G7m-f9xBegd!eXP!Puv_f3jeZ!C+9ZT*gEWWLfq0dl*^(r-!dkZ1yst8^Gsu0dT)$||#ef}&cP&$_DU4Uq zW>-4aSY^gL*BjO|!~H&>Acor4SBx3s&elrYDpepGV)C`Dq~5-rDyudu*nt#N;4n-X z3IHZ#mjzH8gM+&sO{2@FaQ>Z*jc7)Hn1tbJ1vW*Qr3`^|fQ+uZ*d1(lG?$F_lF1MH zgvvtEG-=6hMx}7H-z+lfK?DS23t!$@(<6!_smY7kT|@Va>!Hchp1Am+dMO%AxU3*> z>oj`-_{dJP!lX}+q7A_&JUooC!6=z;!7I<15&gXsmQG*qR~`^rab|1pWB@cM&+RLz zRV&LUolT^#>nbO(L{pv4QH{>NzxqF`GB*I#HhI!(79bu7P=@m3%>B$Vodc1`riJ!r zcLPY3)Y8i}+bXO@)L3eYdZ4!+Te}2|eUgQ}L9fUKY^{Qhy5lV5Qw<RumYD>%98+Q?^WWlg=GW67f;XKo{rkoO4)Laa~meoR4odc+}mJ*n0PCB~H z3@hy`heaIk#P}O&K5$6gPK=yA(B#8Kg}1LfdolCyA(kcX*PCH8Kwl`b%+X{U?b!89 z$^~UsJTNDewjxsD&iB^z~ttA)GKZ`|$sex&{ zm+jY>2QE*s8{UD=L#Er5{ zH~#CP<#!@_p4ju=w_AqYyIA_;Yz`pvH(%4on?f>2Wq+8f***%Y@QFeIxP8_5(@)2h z&gZsl_$xt79B+-L~JA@@RW_etEqEl_riJ+Pl;E_)VWTUxQyzJba* zE5H#Hv?z>h) z)SqIg$?Ck|N(>&FC=FqH|5)k!G3XLa@9*!7ei^$`*{B+6_2kY+*too++crW2{ht)0 zTxIB7x?WLGJ(N^LBP{kf))XUJHU$`n_(-Da68O2zp#Z2zy01LcgXAo_yRR+U}vzqbhGQp{sWPjEUo2WK@_X>X^Fdv7%)= z#(qVhp-q^xw~XzDsjj1hk$$RMYtUq1B3#~!`gk;ugj_Bof0H85+TqDfmjT^!CO^zg zx<~m(6=6@FAY#?>s~#glNktmX;{HH|!y#VJDEj_ciWqC28^Yt)$&LuPDZQU4V2O4d zKx!fb@%!una36v482D_`L3Vt0o~aBXEbxxl!?FY~*oUm`t3srKRMg@C^Uq^aB%pI3 z8SI#pk5R0H(rBCD(b-t;T@ScT+R+4x3(Pq=j&}cP08WPYyN?OVC}7J)qs`0<9;`;bcYXUaiyB2x5Z^k@Ju>!`z+jwcaReo z&m9hNhptWh2$W(u?9E*zA_$t4%oPz%f@&-_DL?hu+Q;ll1?<4=%bw~Bz5=G@0Z zNCrNI@C1&5r|*}c;c1-CRW0@jJu9eScE@Dpiu~t=pzOkXU`Kf*)p?6_f80vy+gz0}FnD2dmguTbs46G1XE}v;M`HpIeh|#9FMFokpB!d32uk3^UP#hRtdU@(Ayc6Wc6>Kwc&#oznYK zBLgpfv=^yz!R%7yBgKi~w`f3i`OPf&P0Iu6ANdwVj^>4)xs4x=%Y<^K;Q|0V`hAQ6 zX|Y0w&rU(#mT(^h4{Dtp66bjuA0paB#hGGFV?gzl&&}Gp9VS{9&kc_6&YBa^EZJ4m z(PpN5O2P;d{z{tCw``I>j<6XdBy3!$njzw_5#xnWp2#I+LD^xg6k@~sYn#GqE!c$g z)K^=^;P#; zHODbC|I%*ai+FUQJD?%Tu4oT#yee%fO+?(|=Q-e%m(Sk6Fk!FmVeJTaY!y?GQ$%EI zA3lsc^N*A|RdN~J71D=2C>BVK?Fw9x`D}+V!igPhU)e3n+j*&bUMS|HhN&wR%h4Z_ zg)OJ|?2fjx$)Srd*=jp`h!Qu~5@2Q@$r~y&o$5#?E>zq-yYp5NBfz*GKJ=*Dcp|4H zOc7CYkCmq>3>=t%&_vgTC2X5aXTQ z#k>ZbIC5O?x5@^r>&QDMzmAtzyHiZP-dF*I0EhQ|#i;{Tgxxzop1Ac4Qn@iM^pGFo zdq9U!ozXd*iK{YD_nDh0p|?zP3A^|-D}ANp*f%K_QEZliM+=uUqLf>$6k73=4VBvg z{XhB-QH48gHcNBZo$E~Kr-XjaZs!m1y(NqHvZ#)ug>e|UD z+GSz9{H8^n8luQmRaE~ZtQEe0uy-@`iCxkI4l=RM4P<*ryO-BBq1zEuH7N4x(6=?? zAs}-Td@#=t+Jj6#D2j`@lN3V$sE1V;s6H4JzxX`htwJ|lju$E?<>~wP zN%-44j!KT^2wXB=n{z<8Qx8k_PROTAgjaeL^Rxb%IoVYrX9uIbBVN?^&ALjX98m*? zX4-SMChcR#T0z60VIjYjXB%SNuZVrI#8BeiWgsqDP5P|ds;;4vRN9MEHig~xN#T_r z1iGBl6FG7W{>DiOlrT(Xd`~||V95re^>s#v8XJez$GeM!9w7?ah=B7PCA1?HuR1 z(8+NFVex$K?L1>x%rlL|xEx)-;=4&!d7pjV4rZRqDlxe)b)bRIMB-#M6`m)C=tWDp zD(OO`MMc|#S)UrR7_qN;0`KS7HjHQ9XkdU~`i>$ty9Rv{sXi+ItJvkdn}f^eDu3ji zM;V_xUfaQoO%#DKGx3XN{qJb}uh}X-K;c7uD-sOQ$RM2VF>Xe~Vt$}Lxedr+7qjKX zQE~(03VAgF84$D!iFV1*K2Qq_dU~Z-$S~zfiNRa zC@5vLN%EGq{$}B=${Kg6bYpO&bH~i<( zOJT0QS)sdH+zOn09hTgFW6WQfD_*~YjH$KvD)7FzK|wy@Ev)R7x9mdk#w~VL+ju?u z0qEpXd?I@&0FM;h+#f#ECxM^WM56XMUrf2TiRN^K6A9-cZ7Z9c1M+(Tp8JWHdfxxA z&q`jdqbg%a1Gkv{F)St{lv4Z;kD#Dko=TH#5{b*`UI+as;J5r#QYKH?ROt`(B|eNL zQ^Kz7fVyYR)Uc<>6VU`L7ljCvJ2(a(%}-Evb+vWA?oYn0$*9mGtnX&ID;5?zHk(iJP$8(6dbfXvMR5~plse2Hb+9n&9&mncX4b=VSaj?6snrAXK!=#!=#vWuKthK9!4HE4?D)mD5Z*g{?HCYG52i^_r@ z%%D01dfrQ$4w)PDq0=K>Qmva(c`Whc4QK_~3#vzXdWhGgC;3U#X|QWVnMq6XmhDA0 zU^3m0+_%vtV&F83AKy2~YX=z!CPJ-`)6b7CcWc6Y_zD_wLb+=f6gFv06qvkmR${-E z0D;)Yx`pbKhBz1Bvx>M*bBNz20PAs&eYoO1UN9B`ilBQn})hY`L!{_CqBBFh${@`ua_TH+6G zLBvtz1yir$gObv#i`5I}B&wjH=XyWei$!FgEmVqSR^9~ootQy5x1z9nqU+jkn#Pn< z%pYuW)TCmMt-+x`A^9(_DF7G6F6atLHWgSxv!k@QB;i*QTYGOBIn3=fFQ^nx%*}%O z+Gw#}^cHAa%7yk+qkU*1ge%cO;zFF_LYnU?v5Uno_JxTYyoUCFu)lIDYgmAz{h#of zEj=|)C8?t|4*lA@R1U5#(MWzPxfyGFw0AS%yZ%;Xp&$JaqPx@_E}d!Xae3r`-`Xrq zuHUF)XvGeh-Jw|P?EOo$@6jO? zQ|#5~^eG^Ay4BO}2)0j;*2DSFY2lD~1-2k<$E5}F-L)*L9mjBv3xhD4-1)L2h&E@J ztZ0Kw-bP=r`xOM%lgyZux%UkV!sBYl0i|!?C^0~K#BB`A#W?Z_Om@Y+R?uxrBB#7= zgFX<*%GJ7C)k(Wdy+oIQXp_tDe@ET4G_E!B41Tif2y^|s`eWNY$HT>GCJVGo?ff|n zOw3CTo{XWn%~{BtD+3z%Glf`=&Lg|ktlA8KWG+pzp1e^wI$K&vb>0|!(tc0z_?<<} zT_Ym@3Kw-$@d1tayGM6q+*}u0S!6;6Fp(ELfft(6lMZNSQW(Cws|nOR-a*|=6B!*9 z-$PxaCgMiiY$#GsCArpQcm9q_AN+Kbqw)uhJ7KpQ`qY!wW5V@HI(HZ&WaE#?rzkr& zBo}5cibJlfwY+%}o03bG8=gW{zc;@}7Oxt3U*W!NPY@TG$AD-(44^$FOb z)Wv4<=Art1ZtI1Y9;8?kjJCM5MxZO-LN9Z*6`c^Crt&}@z&cobQ2VuLw)ktwA+yUL zfnQ+=lv)K+b&RCaOlf3l8~;@lx1wuBvF)1vz8Nk;blWe<3wRb0FP5ERVkL)UzT)Lo zV8_C39u*U@9ohKHKIjZlshGi~H1b@`PfXd$ly`Y)QM|F{7##EMDs$O5>ar7cBVbB_ z65ySS^tJjkG?=F?by~1|AesHCZKKM3V8z%UKTFKeJI0`{_Ruz6CdfnYi3&503CZI} zG7L*YME^-PntV_H2$GSz*I;dVh))rWSjP8^u>m^O4GE}EFJexx9_eY!lnUc><>P6E z)9K=#LL2RKgjIG+2?e@f1@eMeR!5uiEp$~-ast7I;1RB+^#s4-K3W2V_IFgA_>d23 zP*>TxRx2W4p6J1({5otp9B*~F=A;%4#quQ#T-USCNbk0)K%ISknC3@jNr%H50!%D5 zXa)#cUV!})9007H0FuclxH|in_1;}^sKiYdh>4^V{!l4+r*t1@4N6;{?12IwB~t6yw|$?R*`EQH zb9nGKlgbqe0p8V*?c6qL&ou)*^u~Ym#@VQK0kp#ZQ8NtH05yRxtf?nUy)k)>v}E(> zbS=PxOoYnSQ{{)VN8B0iut+9wpjxvZ!p+dJbeXWrR&reRIx6KS%l4V*70LMyC;`Xz z746dx$d|XPdpDfJd6Cf-Gp!vu9Ak7>uaEP_1~dBBDI9NaIkhp1up1hcjAqIn@j)g* z`6~GYcL-A7ZUR!AE=MaRXWg=1SLuBWlbb&JJ4FkjM4fNStC^Nir$YnrJ>W2vnr9-P4FR$2q?GAN71?vdrgA-WVC`7{PN zeY%b)H5t1oaUWA=1eD?;-b-7|FV_lh(d|jEmc@|!jg;rml%+S(vB+vPwe2Wyy(!6x zV`RFDrb^RJn(d>3EbG7p2V>rwVp71Jcz&dKnpS&$QB?YJGd~DQDEPeew?{>>5<6l2KboC!2R6$ z|8R7baZP@ITMRkQm+FNC{(7n@EEw9nv7(3`R*aQbig@Gik|5H;jJv zf8Oo0`+jjg_xZ+kuIoDI+@$LZ_tUD9xOD!e@(tdxIn)Xlf%AC=TdsEa+YrH{Rh158 zdcPH14?WgE`fjXZ-cBnr>Uh<7d^N$V3(!+SehG-jWq%BrVjhNxJI~4zrh=_5Z$c5vX zZkM*L5@S)A6`e5y@z!=|B!-<1qLOfUX975z1`L)DB=It~7TX+s{}g?q=3K??G>nZ_ z+94AV8#&2XgX|XH|AR@uG*M)a;m~0eCDO-3%e^!vHK#WG_r zO2>>!$8t3C8}#zAy5-+m-@oa2I>)Gv4}mqdpw_S=z!%zB1c^SNhhh@|lv2|pdp7nf z@k8zD3CrxNjI+@;drlZ zdKn2Nzx}k8`mTjQfDo!d{J!jn_RAkH#*Y3%6=CSQ94#{ChqkL0Bq8yOE_3va_UO*# zDEP17pHa`j#5)p6n5ayryNHIb0!~JBeGYxJnwJ#8t?IwUJ#i|r>d;bL_@e*l7eHpUc;`WyRB^3Wje#8)IWFvTMEs`|+05N%eU0?no zkLdNDaJ5>Qm|5QN<#Z0tcMTh9{muv?ya+4_P(S2jV_I&&QA66bsu8#Ad1pRK@$sh# zN$-{a66?6ynh2`U2BWNEL$x`gKgu2IyoH>|(}Om;zAHXEXkxL~jJ0U=5udLr8r2KG zjd1-cZazBE7M=I(t;2*gW-s~3&`OBhhQPC&=I5HFu+el;^M=d#Wiz*6fm~<;-{bX& zC^k%=L#W7)V|$edUMbi9C43%Kmc|Jy{Gig&BA~NVjwv<@_sx2_z4qqwm;J#kG18_m zh-}%}RrRDZ*=$kN*ws)*0JD;Q{#@#Y_U|QiG?fX$#6T>Ffb~(DPUPjmAXj9Uz8_{rZ7ItHhVEqOueUFJyw)+o=*I#v2Cp^fc|Ci_}FidSuw(j z1_Y(090L8$W0K400B@1|@snZ7VxA#7V-j1U{I^${eB8bHz77=`sOQ&9^n5s&*QqPh zjB0wdcwF>etJc1gC-D0o>TbRzrpeQt;4#yumpqE@RV*9b#$h>1zyUdIgw=kAFDvx- zSgazDgVsOQjrGsS#Tv4yIopghr8}G^ts^{rhf)^}qUPrSVAHzUv#1z)muE#({=ph1 zHdUsNSTUeYZDe8DeKk(6RXeYgh{ryW&te7Fylrofho0SmZR>TsH1%CjX$Mu|f!GTc zw}Q9w-_V2<2~0sm6raSrXg?b^%C6Ipg>C_mqon{-IjQh&m)BdbTP2jLpCrmc2MPA7 zSO#?w7n}GNRz3Ot{O$^N^cG4U`&Q16{CRbf6s_F!sSE)Y$ViQohieO~m&Q^KZpc~Y zC)X75;Cczs6Dz0S>(C!_4;;c{K;c*{tkE8IAS%TSJUn+R93a*G`Ic|ZRgH7|cTXAp zH)V>&mSTHJ^ht8G;J`_0g_I#U8Etf|*F{@9mkT%PDbJam_)fXaBG>(uavS_PbQRW8 z=Q`WgVv=65kG91|9&L3?)T+mCu9l}HRIDn2N>LU|K|0k3lYI&Tv?0}Rs>R2SKPJK2 zW)6+h5e$LyRW#Pz(+6j{}qmO39V@n!HS*h^%QA59$zJ11DDJf+6DCT6` zV^}S>`0H^qMWyIyO}E9}Jr3tpua&ODzGUfKC!5MN!JdFh9>J}8mHBGBUw95~*9&Ol zyUSLZ+}R)9Gi|dp%rGpPQq!Np6*t)BzkDWzIm?`cwYS>pJ*kYQDP4K-xjTnF@Wq#J z--tNBmvRkDUHyLCg|Bk-XX&wfr2pmnh5+p|YOx~;ArG8C^mnPlppQz*HMpMhM&JGJ zcFB+vH8cYFe*+u+2yv9pg+dRaBfr0)K>s)1*VA8KqgKkGd;ySACec+dXdizOl5H>( zD@=JVMG3`&qnxW~a;1Hmod=ON`QN2g*=iHILWFRqVw`d_P%P83H&$e2c@cMq|64KgUuL^rtI8o%gLd1Jj}p&wQmlw*phG zjS%&OB=FQl!#g>EU=VZg(#e7JS?120!HI0=PMo^{= zA*amRXd9ZhyvBytX{GksD1+;N=V28ZCuEYz+(S|mJv~QPw%vk;zn%SNZ18y>l6^S6 zGQt(_KiFj=Wos?2l-aKyQsaXnATbwO!ATPKjA$nS?lFyK34yMU@u(S{ z5dhBA&nLS{*YMe_gpa9)acFq<%;h&tpH#+LNJ?nv9o+>Z9C?23vabABd`Y#|-Vw%t z{)c!}1C94jp^;M+gsf((7DF%d6r6_8^2-{-tsaT{$(y!|oU`D4i1U2+S2ED)&Iu#5JXQklXX> z6V{K>51(woK1tvS8X%2n`lG&aa{b!!qaN>iuIiy&63)1+E{xQJp!T)xYk$IHieb8H zE-)Gqm4F=;Ev{~_k6Ifl@f5C zl)oU+CAfYuW2op4)G5GaMCbi@ADX2}VtYJgsOR((3O;~WtJ|2)oj&=M=jD^$+J6m3 ztbFip*9+<Vp!!r`vAoI2ZBb~acfbbl)1tFVMrQ%JN&97EEZ=V0v3}@a4 zpbSeT~aVe6wqgR#zNf|prG`?2H|+FyUg1|_*_4z-SE%f()9&yyj}!7E}pE; zd79%>E0T+NjeJ+%GHg3&n&LX?vdKhlUi((#z?oHE&a7*>>2C~rL^&ys6^BS1HGSOl zH{P_j)~F$E0!apL=T}%=6PsQ-P%W2X7+!4g7^t~grv3+cEoUoT{frXoo$7dJ)GF|5 z$$GlTWcy3l=LmxFsZHh5FRr0J3wU^_wjjvH@G+&|hTs9ar$t^YCa{L|cl+n#L9Y$&p*g!{ z7WU9WMI|dYftl}IXq?j3XUzEE80`V5R0dDrhKI;%0MKaLnJe(Rd{W|tnD*-p_+M!I zFV)(gd1XgQ=MZ<_iinP~N431fq=uN=#~$SrQ0q@7dL;vwwK!I_zGn>{{xuRdn_1;t z{s$HLjwqp>6%FKmjIfTr3V{ZWDj}goZC16Zhy+$Sdgh0|&-D{$g`^7K-|NFY8C zJvzF5S<>3{m!9%n+6C(hkKU6r`oIr*I!qD=zmIt`o*dC@ty5U(Q&2p*qPN2+(|imA zP|FPpyq612`Cf@Nv}lcbbL6V!zmv#b%QQ_B$d~#Bqc#?`v8ghW^hq6m@%LGw9q|Wa zOkk|cR4Wn_3&Ii?2haaFW&rjt*oPbRP4~CCtC%n6lYX~QC zm!Dvd+c#&ya&D}_?9yHvOd%HnBv#KT5b2Vz!J5w0zQOPr(Hqb=n6Tn;&d}wes;&3k zOelPxOv1sgx~RwxCl_Drn#OGi-oJ)CUM%pvV0nC{0LfWkgpudq&_)1v_=T-LF04G7^H)4bTl`wqp&3!@^x|UCZq=7I#Y8#`$&Mz1T zySO}@9oShLv_YFrko2r_`=4(E(|F^aa>E2Gvy7`E({j*B2Fk_QpT%i8q>7Y~p!xQg z8P>~OjZT4=$;bQ|@H1BvoP2%6FY8_n^O?7p&&g0GgBY572@k^}gI%K*GD-7kino?c zG3U}M=bpY`KSpZ7a)u&*P2X27OkP1`n45keac%$+3KWYSF%`Sc&)l9dD(LEs`bmA% zSjIBx6ICIUmHmgLH$`g+xA9+a#VKXqv)_l+A9b>5oLClpK?B)8uHZjwGX2=vC?(YfV9?O&I?K zGFWKi>tUq-@yG1yIZmR?Bk*69pHq7RrY^TSO(%ggAu-+=KAd%qGsAqb=4$zbbPGhk z$qHy}rv2Q*RajI=FbhnG4MI8}A}OR>#rr3(O7*aIM>gNArO6_`K--pa+P63?Cd%vA z9qHH=!)OkW@$SCIfx=!a29k90)9tg_?b*jas%c0w2;)htC&sG1(&}XH@nUIQDYd2E zimbW$;6zYMhh^wp!4PHDr^d4`5s||@QSKl)0?*CszbQoUm5Qwcb<|=J&w18sww6m0 z3fX;1tQk)4J>^znvL%{-^80v$s0}hG>|76Uad~|&If8r!8lPp7NB#ol@z019%J%vbAzv9Cs$b5xGAxFa7aiZgZQ7$G$7t-AoOJj7 ze9Z{}(VBxfYa0H%0t*2{^W}C0{(&xN&m>4o48I7@li+JB>PnZ>)MO}p2&fChE6Qll z!qBnrN~ANuA-P46&Z;x!K?bHzlr!_D3(cX2&$4)AfnVo5_gPwq4Ptj@_Sy&+e$Y1V z&{B`?0xb+`aGS~3nG#IusEky0DRPG;xW-Dkk#6kQKJ5>^FM9%Z|ET2HV<;xdx9!$&iaQ1Z^>G3@4nztXhr5$`he6u zd5mblGBAgem+Sg#u*R;F6TKrpfcn13Ng#3~$#GGPpN(@a*g~T=^Kw(K|NcOHO~KpXBgvnPAFZ*0p{1s57D4LEEk9 z(d}df7aW{-muY19Og^?TEZyyVp;MK+W*Gw1CdYuky7unKnPUERzF26kuwXC4M;!)yD+# zLf8v@^cKrBNZaKGes+YM8)4qFxMux83f^O%cBVXx%wILx+DDmH{E(>L z^wBN98~l~MG#G=13NiM~>WkN2YL1j0ZI|vv9t?Ztfd85q;F1>kCuGAfQU*F%klS9r zJ|f~?`dn=AN` z5XBiP12lK)mg|m9T#wJ(c&m6|8$z9z{6hh2F|3G*ytoPfa!WyazW94R^s#)<`@$9J z=gPWLG~FluSDfn6k>$Tre*6bGwYs>7eH6m z9r6^KsNF|OK>ni)F3RwaY7sr_#fOj@CYqzE)8{6Tr#y`FD5Kxz2ga3uK;_&|m69}1 zjre#rhJ-1HCJ~~#URNO}9iiwJ^1UM{CG?iVq=^`0V{ z3M4<0cQSWh>?)em%zc-@O2RXmdL-&3Sn&4x;Eer0y|ZaddR~V)H>|d|!Zo$t16%R` z=F0Jfp?)EFfe&9jqE!cTU{7`QZY(zfB*|OH+f_`&Yz6NkB9ROGr*ft=dw*%aAxF_cSE!q7VP8p}IgvNBzg+WFoD;6PhZDZ~rvvXv0@NcmU=EX-z9XAI zN(3*cOQ2hQP@n758!`TkmUg1=rUZz2=F#Y{|FiyMhlAAtmLe~5cr#H;7jk}p4)$BY z!ytcaKf)~d_w?jf0|+Owm@sCQUV!4$nEQCCPg2Gd`y73ZzL)YD3>^gd&dS?BU0P0i zjz`i?p|Gb^TkRmNL z!aC62fa49O(V+m%QX2@?a?;KH1G)-b<3ahTtpZvP6*ni{LKo`RSH1J(Gn5tzwcFPPed1N~&v04w)BfjM%0k)rHVzvp=e-_ZW9Kz@Yg>yDW)M?Xfw zN-K!@Qmv79zd}q@rGayx<>%xohgc*#Hvu3YRaw;Fe%ZYVNL@gI**n(Gd3y9NZ2jfQ zegKNfo?mqE@idrGIi7OZNI&XKn1K2d-+3pg4sqY~LYEFaHoCMBK}#9EyaI@-15x+} zz{!Ik#pg##)nOUrUqSt?vHsWQ@>~|@VMGU8$VKvszU+N&+~Dc^A~yL_E}2Rz|FI46 z7+6pMNA1v!AQ@V0I(fywX}hx^L=R%3k3gDGSPOO((vjAV6<}mtFj0zeCKOm*IJeYS z4N|wXU7eg7Tb?=`ppnE`f}i(RL7p!o7==Gr&Coj;t&^%@9y*yUh_BvtuxdHb+l~J` z+N5fGWVij;N7QJ${!r)byUV4=>$QjLBaUM4Lj)-LZ{AWso9UmB^uzamL;%Lyt;`=bE zAlgQ37iP;x7>S;vl^7;t|7R6Z$VYT2%=O&Mm0k2UNFc4m&>Ry7)u~7gmlyLm3kcg8n#DkJh;BN8KqKi-M0QT#`gt#=rLi>DP@E$f#wfut|&Wwh}&|xg3@iN zY0ije-iyHw92=(Q=~U*Y>>e;j%`~Y5Mq-;NML+YzJ83fga?u24@3yYJxAG^{mybT= zYtCZ5CY-B4b-5ztWZ~%lIL}hK;o9Ccu3XNQ z=g$5qW-s&aCExNJ1Y0afKIS!6D&0H&y(jFdI6kcg(W`d)!;r;I?zUr*0B8WFtYs)|691CT+Lv^ zl!cDyG5k<9br*g>B%r`-rSypxLa5F;h~$?hzxmAj9l(=GVk;Q3KbEH`jR%K~N0qBh z1U)uUJPKnt+uqFi`RO9{p}gyL2X#?0*tZKPmY^-$uzmgUX^V2xfJCN_GBk*gN;TL4;IU=-Q ziq`UIf02+lP)lJ$ngGvJ_ot8f2H@w)9S>C+ITykBj>H(=>wbNKfU%IINXK#gq@L#@ zml|~X)P9M21(=!dS}*d-`EP%6Ke9do*PZ=m!r66Vg%OCgQUfX_lau7f77@cRFCCJ| zTosHw`0LAB>8R?J8Cg7Y$qTZ)VxWS>O`e25z6S~@A%e^!?ZjdL6f@CezDEaSSQUtI zabJXdGpA@L?HS>$EGo0H#Ge_@fe1wlDk@V08R|HngsptOt&{Yjko$rsS7KVXH2ks9 zB@bEr?^Sgr53%?5egG*fy_mbTu`8vctA7r@8veP}b=lqSdk#z69jv5b~xm!`grNvaB! zSx`+s#aO3Qc;i{!Cg|t-;)l{P=x6*-CKIt@`^B!(7TXOuYjakGUT@P%@zVme#po^@ zoWNsB-BhO22xl9AJmRaW7hO+|9_D07B{kvp50|f5^o4QAGQNMurKG@pA{G6qh>en| zVC?K@eX3gyic6uNihunaqpS?1IIF;A=jZyC#zjl@nDWVIGK%TWhwEi{8?3U@=K{Gm za)5LnLr+b$gurxirM-7HcBUG#(g$T!lg2U(1)4R}zuU!}_fqVx7%VriTW!#0v~TUE zhvnpo=6605Xfb0yM2TSrE)646Ib9txQm2^M{lqmyZ`j@53n}kVi#yi9tKv5ecLK9t z4|SB5+BDYbDltmE0@9J_>WGDWlOM89S|UqEJwCDc$!k#cgbbpr*d^foM?pJxM(V+E zgDWJpoyAY56I_(p(exPwYdqOem^I7G?gktWN{{T)BRWX50)^`hi{?a_$An7$jD01} zvhipUMFPqdSXUS|1~9W(^{?LD<>c>i*0Of;44&?U?d2rCmyhJg>X>a*D)MtytZ(8Q z0&C|5xw_Z-sM*MV_j~+71NwEu{g%|Rq)oBR?Y!B&WC(UteIl8#Uj6&I!cH94NX{R`BVl zi-HN6OsibwP>WCa(|64S@4-Y8uJoj$8!rjyLFfKs+9w@++eiImyhBjKdg3u)5^Rn- z&ZwVFE~Cr}Ll}2j0av0E9yVR3o0Tyrbhf7$U71v?L^)IeeG5U5bg{??lFfZ)UZ)Hg zYCX^)4`V31lHwwl>L^#GPi5Tn@k<$8N8KsZ)++VNvVOW06kshkXHsIF2e~d{t#6y8 z+28OuiZ?oQId6ni!LO4y8~=SrNShkBuu@dh4gx@m!+qN_ZY zo|LKSyAeA*U|)+|_XpRRkV67uNqG)YeFr8rZ*&ObSMk~1r9a>Oq-^aGzbu@BUvHkJ zs7H1X?S;_oelyC@^{Y2*6~E^i=KTt)>V`F%sEf}6SiPd}eMaq;)) z9PGPadu6f1U-2)3rb)v%Vc7B3n@mG%TfRt6lO2c}dwWz9j8CsjyUbMXJk4aDb*OOk zR^|o1Qb_*hZ=9Pl**1AXQeD=iQ|-?SX=vqpfy`$ahDrN2Yz~6_J8y`ObG{nUUr0@# zH|Vm??ky7#6R5&3#a#S5o9HTH``-UGN(E!c1HYFmBS+7NbrDi4gq85~gGi}mfC+ceiekFB0B4Eq8`Z#b*EG8%0>ntnLtE!|W!73nl6 zyT%7}hKO1;!`G})ZNYHYexq!gGQpyJo_DQWG5r6Td^m9Ygcgi_hi!f zk!p61?)$1oW10jinIXRULxzrBu)_RivPEPc0VB91Yi=MTM=)s~T})5K-c+IA-Ye0R z)Rz8rXIM;pWP4~-U%_}&|FM&WU@rVxV<$X>&7q{cPRwz$nNd^sES{`615|S5>Iy2Y z1DP2?yQchDr^Rfyv|ZBYOG3P51)ncJ^Y)v+g|tIViJLP17OjP|5WBfZVB=x(xllj? z1K++~;}yBGc^#0hkI3l+bE`Xqq9bO@zu@cAC8nE*-;C|3U?0iVUEm%K`Sx0F{Zw(# zKacC~gAJEO$cJg=*q6INm8>q>*lcP-x>a59lzaS~iLqPF&nH>)#l6WB8Ph8L#;3w& z?yp-o*}5w+*^T~*vX4+lVNWYoQYAe&?CKWfzezT!x*nN?(3ZEpS!^1E?qp}lCh0&N zz$u-$r=Y%kjfV9-dhxi7zw{{*)1(zD7cfNY2-9ZGz!>?-=mzn5tTHr{d4oz0vS#w7 z47IN8g)dgkH>Sm04%R|CYdWlhld*@Nzi%(;u{PK-ZTk;m>L;M9hTJCP_70Ipp4u4i zTJC%uxU{23{5$Ug@LnhzpCg{e`?At7{Wc&tv@Wb*0+1E=b>-Rz@oeVif*%Z#8JOZl zN`x45t)^eM)I=aEG5J!4UbJ)hi+Uc?wsE-RmZ6dIKZaT_G7(Wn!UC^1vKpq3RHOj*F)h?eTT6lNK&HcK|mlu#F4;C%J9WV%7z zCFxdI3QcwLqa>c5T_ZJda<$gQAtNFTk{;knE;m(>)N*Pdkgk)S*ewEak2#M>yN055x}55NqTq3uZvVeNE*{+*dhL*z~K({{%Eba_21ch(KHx1}SW24rH2`F_V1 z)LjT1vWtCeSAmlfT3b7G+T2g_-hA<Ckcq#p;6^^_?>*CN6-zqT_YjvweKl)p zO;DcLEe(`flT$Gtd}liKdI)5ilsoRfygB_(Wyg4vKN{T#uhBh;8>@sOuo2dM_(Ot3 zEmMBxc2#%~<*Z6YT#F2ta(~+#52d48-kR~tO8dI7qn6e^8sB2acNu-MV}+6o@H_Xn z1z}3#jB0hrf=iioYcFFQD@h54xlWzgOd7+?E0!=8$>?lG;K986kr+PyOrN`YU6%lE z2}$l`M~_QP9x5egmOV9PlrI9?q&FiZ^KA}|)~#Fw+&$Af*CR+OcPLd1F?&crQO0?CSj`x+J$-cSFL?Q6}xxu^#i9r#$gcU=>cINny@jzp5 z#_nDdnS>RW)zMc+$aT}*>XyhBnEyOLB3M{9_)@FOPOF(q!NbzOb1Q2`trs5LnW_q} z=A+``H>hnfb(Q$~{!Dr12Wox_4i}>jayPz`>D8IqUE)~uTT5tExwidp!r6m#NoEP# zEKG@)QX=|hI9IkC%Pw%&X!`i%b= zD*7`Gm(w_4B#M6)MYljsuinWz`}M^xTE>>oOR8_g3wIZAkcqO+T%>cdfUC+>8v{Z5 z@0)7klUzHE1b_Qg*JdYQcH9SA7sv0MUt}2V=2YHC_vgJ#Q+?nq>prGjMU-p`F;u`U zrofv`aPmRxt15ed2A?^=#bxhjM6e3ah1u1q*=C1^p5&$fTB9UpsW=hx0&SzP)|)oT_5WC-4XJ4C6V%x|`utJZ?Cck{$3=P;n3(wJZt()_e zzt^?g*6zO|cN9X1T`^{(&X zl$~tsi3N{_l`VHR>CflGcb4bN!44a#at7U&XDxh+l(wOXHm zV)1w*dfEkpjO@dbv4c+bbLtZ^%kB$mWXFts)io&o3@z+kqG4N*Xt-oh4PZVe@o8cI-2?EF#!g58Vu6lY%-RATA=&rg#xQAV_v3;?UIcg$hN!gwvU`1pw& zIb7|pV$Ubf=v)JC___sCbm3BpY;hKMP0VVAbPHp+5H~*ky8vzFQa_CtV8B1@xnQ)C zqLcQ0`mm(SY%&A-`EMpGi7q+#KK!}I%o5nU_-q|Ya=NkWj>@Z4LaOo?Ge`Ow9X7Q@eB;zFkE0bSlyMBhQ z1QJ*|XL8seM`AFub4~1bW?3Xu{k}4_UUk}Pn(qD7j$L%0gD1*tT?s-e%*S-HS&_jY zX|UUYOP3v%3AK)duH`uR>8J(i)a{5uCe`A#obsfDYQQ#Kb3F3J;vHk&bbhuR=0+(h zeecDTjNGOfsH(KWwR`+Q`NbxsMWTs&r(=F`_b^cIHx=%=eEF80`ppHk*igTvVE9gK zv`8RJ{8&bk`leSr)rOP0e9C24Pq*6ay%FOw_gA&qvD?6vLaFS;v>1!y@{xM}#YDf; ztU2y@baXq5Wl7pY)@jlNW*!vXtJC(tou3tLUPYAi=0M|gEi6!G)ID8SH0~oqj-h~S zpFQYKK7qw2K5Z9yN#>j>E;i}^6KCeMj+@$itXoUeP=Ac6in1del`s`2XNl=kGQ$`q zhoh)UM#Ulf_kokHv$tq6?bDKec$>2omB70{-+SJ#dgxSW8pNBeXsfyRcxZ|u@CG{L z4!vH7rJI%wR$iMciXK+WV>|1+9o94&MONDq${PxMpxkKV2ZjTUY{TsDb>z=a(iZX; z8D~ev8|#ACrp~}vV7gF{1T{6#k+-3zDjyNlx)_n^y@!eJ{ts1Trb&*O{mpS8=mI*% zvrBeK{2F)NS|?PXuWt~Pj;koXii$fVUb~I3Xa}4(ZXNpI_X+A+NA3V$Bpol9S<^#y z-hl~bL0dov@5~vpH-BrIC#tkNZE6mYU)pDDmpt#dePj|xe~}hiMJe+go$oOWv}sI7 zJo5l#RE<;>KjMNg$(is^(%9?UFhci0h^^gXtu*s4*HlS~m7l2+cz|sM9YJ-q;1Ki( zC1KJ~PPekBIkS-4#E=ZZGv(n?1R7=ETN88#v@Qn0k%Ziu{v5 zxiRN*zx+GXM-=K6FOt-Lf)HS)W@j6|El!;hF%S^Y4TNOMz19iUDLV<|-M&gLL9did zpDyIiiOXfPbqJT zty@RpIzB+HPd>^ayq^G)l^I-L&GR>&5icDT7f1s|Pl2{KQZy5&q#OiHF1R2lD~4|> zTiKihC@MKd{i&gbb zR3Hyj46pD0XKf&@A6e^?dZKpz<@eRUc?t`5$BdsThK{Eno^C^iCBs`DZO$bZZ89Y%|IWt_F@HT04#Z#FC)|qYY>P|z%p^2u})V2BKsX&GJ3`=HM ztvcwHHLC$KNBSTn1|vyGp}frE^*|2#TlRTKe?;wa=7$=6GsQh zUxu!V=)k~toOkc{^q+!cvmjX@NaeZbnw7)*D+`eSPoq2$$AumtpiUCVQaR6h!P6j% z(jaK;p5DhSM8TRpJqNn*J>Nz!e`f{2{edml$-+3;Ufci<=ogbTo#_`p0!WSq36Fo! za>&hhJ;8ML#GYS+KS`K&d-3TulF^QO}-|LU^Ly5@&t zEDKGB7Y|)!bHh-C-nlB>k6$L`V-wBTVF705q21XtSn+B>Kb;~s?F1xmEHjz&FX7Ad zN`}FM`;e)d)S~0P_Zn+YT?D`{|DR!W#5vQ$l$GdR{$^+PJWJIiAeC*zZRs)69m9YzPceiR6BRn^t} zF#$C7D5^2t(&2qwS5DufoQu7Xtn1?nr{Vq8p!j*VriIJ_>#O(qeSQ6$cMO@0($yv- zL(Y-YUpK{fzO(6SGo+wLj1DwQ>jyX$4^v#-8`vv& ziDDsMLnut+&{f|(OlmZcLw77;fR|V3+`_@ZiFs?PVEZE5$l1b1>{KYe`j98BeR4cO zx?By0ZX*fFJxf7dMNWv^;MIW7_-vm+nucFFmRQ)_%al7Emx>M}Z~Vv3x%yOPyrR;Ly&dz-*}8@_@lz`Iev0zBeOHhQKVF%&&AS z-Ef89cWrUzw~@$<6_pc*K)@AncibZBiP>8E(A4W1{|eNicnN*z^uB6H0_9u*mG=Jk zr*U>4gtV##=|dUZi=UQ^TRE=e@q$@+h)*T4{3Z4OxG4cmInMP{K6tXn7^kb|L)L7| zRL$8JZf_*yQa=71`ag>EA$PW|R+CW>#h#EcOqRbl3PHab6>^+CJ&zvykI~9QSL)7W z2zU{6?X3acEkOf&zU=O#PQ4!!A=hq>mr2R%NB{KJtS>+03T+LZA%e7 zaq|=}7icODGye1BFa2ae2YSyVrseb?3Sw8BYF=A0Y9#OGg^2b6+^T(hf;GoxYB zYD9;3qXo7!X)0!KY<$6)$tBpzKP7k0&wb8opktfva-cC_0z!L{nuc$yj#YlpI|szc zZ_I(@FOP|_A32{ROC*IIdtLbeIAz4Sv~xWz#pFg z(LDuC8olziG0e0~d{wupTCQ3fpN(Eds%htj9ZAjpP%e@zZbfzAnn{m(4Xh_!rZ>IBzt1)Zk=#aq{_U)y0d7)eMS|mn zgQ7(pYg9%n8t;+?AOrXX`D5)U*A1n{G9ZdS(91Q%pU)&U*GI` z%EAaBnYK^l;?s10F55nUS8>_>%zrHP{?`LnZc@5PE{PhW)!`+!od}P@R8|rVYJv4; z9=dKzFtG9v!jI&8Ag=

l_`SB;Rkte`Jjx~XBFMIXD`@T)9_%CFN1mnx}auc2RlEQt?Q z?64f$tRb+EuG)b{gGo_^u|o0SdU`FJTt20ow_6n2@5{Rq3)a#!RTIY|BxeY!YkxYt zm<|+8?WDn*;CKR3d&tD(WbGhz$s>IXXpvagGS}uhpP?I*!M>C1frkwHO=xmR%6qvMHNVEwt<-A^ z?&?dJaWP%=Cio|dd?kA=mG>KL^RY)+_gv%R zPcezna9i_t<}qLwhw!W@EPb+Af5&q?DB4t54q^>h+?>$SW{6F5LW(xHCTsjPXql*v&OSx@pz%ZDfUH;6JE1Lvj)M2bejjZm2J>^bXMJn zAsFfmr_L0~o4J?h0H8>J&LJR7dC;#|{Hi6QuXgZ?Bjz++Or;0B?yU6h$_Z2|o1t@{ z3tltZ2Bh|NW^SE=J_c%4i;M>npT65|{Ear>O`A>~Gq3mC`^Iu5JKGP-lg_943uxud zr!=e{ISlB!s(ZH+qUPcB4%u_&(o{88XY;(r`NY3(E8xv~Q02jTh4W%pnJ|BBbYR9% zCNCQGKYUDUn=hk3KOnolHiFotodL`UgUh7*#hIr*$gcmB29%W5OKtlFy8Tj)Pj5#k zb>c#ar1bssVscQrSh$G&XT@sEC<8pRWHC__UWKZy%Dua;r))9Ch|&nK5gM)#`Rp{6=smhJ+>89Jsa(X)!^4Tn*!bn33@Sj; zyzU>6KNwb%J8ula0CZj-SUzJ?>}Ev`d}6YFp1;&jFeM*6y1%{Gl^0PM2e4fXIrD9} z8BBBtUgU==AILn@V{;6mCw7tRb`Zsc#GQEqqbStm%i6YOE}1mdqOYuqf7Yrx6s5^* zK=>;u3R*54KA)a>wWGvZf4|;OxtXuwVVj&*3lVu4YkVMoiS87b;KVbg!^m0N`_E^s z*iC&5#@CE#xM_Plr*rS8HnCDiRy5DN7s9SmNcQF)fJCt9)^Xh45B_`^6fZNHkIRkd zSaA{Gp3)=Rz}IwUgr91uDo}~W1ikLDy|M5dd~?PB^-p?8o|TQ4P<3Gyu$U;b26g5e z_8Q1*E0NW5d02y3P;M_}U{kxd`s|?=HC);p%@~P2_JoQ3ELO&+ijp5r8V7#Lk5m`2 zLH_AkJd=}0uu`Ki)%zIzD!0`^?u* zc0K-j62k_n#OLMG?Ev=O{gSf{_Nr!nFk%91=b!rJITELKb)9imYIuTn#WOrzkULZU z76}B(L4Gw9DG^H0>RVo+F>>6jFHeK;MU#z4KTsnyv@E8{$RC1W+z?8-v)*!oQ7hI< zgNA&TXTr-|<@amR@-9yL%@OryamqU&!dKL_yOgP^t&2}Px)}LNvUnOz32ir=`1C#m z#f+x{I$Kl>PmEmDHl357Lxh#MMV$!^T8F;eCh=rQZ{LtJXAvcOYp2s+`(2AJ017pz?&T?LP~L_g3?7 z^tiUf#mnN%sKSD88E8;H>;fGe9luGC{5#7$Nw|@C>i-u+if9dyFAx-MjB_cN6Df2# zdKRtjVr6G(@;Rp0%|S?&-aEkWXY7j1P-(ZXef1M!8?i+4vkDc39L3=&WsToF|AA_? zSb~tA=zs+F$W*@S5m(_89*3n!)k1|}j9S>wy#i0ch9@pt;$Ob0(dk@raz=*vApbb8heYS#$aNKWN~&&5=WrC1VP{g39srRI$grWDgJ|OA+IT z&Dfr1P;~;d@4XU=E1uvD{ws_iaj+EOyIiNg(j z$z<`blHF(+=j^!>>0z-;*sdsIXlNxe^jcD=i@6i*XCPr=z`iH*D_q9;dStGS~1d}0%a;ST)k)WPMaXL;xqK8@(0*R3vg= zLb$M!YQZIe2S3nv@=5ZIFcM8LtQ;{(9-1tHs)amqsv&_l-mVqZPz+0{<;7IzvUeBy zsXma9=E<=od!l@(u4YuZN92%GiZA@)OJ4WqdaexNMj$S)QnM_z`~z6kA{+Y;g71wAD`8(w84J3bTmv~B$l743-B`(jC<zl?q_fy?-`u^R{4UF0 zFOEj^=RSQAuEN^H%JFNDE#{cyb+JvR%go24O^kzPGR#dE9r2yiXC3^3>yZ^H)I$e` z1_Fz*y+<1XagAt;<xf@Q^>&Q@UjV%~LdaXapch>D zdcdikLu$dPQli{{I4D`3#?voOq&DW>tIglk7OuXT6535J?(E}~k!sw>A*f%rGVfbZ zw4}>5uX{<(=OvOU%?(ID^Zoz)8fH&wVdI0`Si4Mf`tt@>N6Lt1{;dWf18XnLT^6Ja z$bnAcJRFHY%jytx%=U+&rOa2Cf81vd^vhH3*FblXi~HQ#L}+$2+R;{>kP+JHL()Re z`Mks_GZWA_=OmnK83`(Nf_}32LSR=*KVq2QmG)axNbXeL~ zi87!aZJiQL!nfUzHLhtot$VkMdQHD@;3Bn&M!kf}?#Y}}8w>5Q@?udN%0KR<4diLw zR#@RM87sH*gYV2i$&*^_?XVKiUF<$LTFPN8Gt>n=`$k^!hpo4-9>+P)f|eIqePuk_ zZ`^b9a+_}X8-{j0qn*gr806h}it%MMgxt~AM<2D$jkc>7+8<+={88T~YsV3T{V=_Y6YXprw z2Qq^SBg-dR{i$4wrxiq>3qND0SOL0nANL!iIoEOkogqr5tbZHOwGDkFpuFb`?NVZ= z$^osPDc982I(qn*)jdum)Vc?HyjR*Pwt$!yL zG#@ry{g?bMx;nwocLelR!-#t|z&eb87RC z19D7mDSDZ5zGW$=E38(`pE4c4J}DzEM&ww9h~4ko-X*yyyM@R6(7n^%r>tS~YG`<_Zv!UM|lp!<+82X(7 zioAzfY5iY7^V_k|9&8-G7HI#?(9;Jfsqu~^_k9C;zR^A}pcjma?j2|k6sFkd7Gh?q zbyB%iQUhHtpnouQ-GKhl(5LoMVsrge{;A#a6zkXqf+!VXC-t9%Nk85`A{{A@w(I1y zU$>Owe#tit<+Q?*BkC%AnJE;MSp+Djv=sE0hVC0s)=!{)eLzo{1is`C`$0R*epE70 zT2|2Z+0Wd@y>Os*O(b7xE*Euj&B)oE$^( z^MGz==rscrnj_>QwUY)YC9|&l;~srIcM}Bkl0ch#2562n+S3NKNVcUs#T+BU2BpW-j&gC2{yon#^!z}}JJQg8eSlK_o5)ivf6#fK`^`It z@y}H#ZE`V{I8ZX~X+{;NT`@#Le**fx8~pBrd5GY(UMFXgsgt4&U|R=9o7 z|41u~(*`9(j&mzK7W%XhgrKF# zUzZ}KGv!*)GO(`Tc2V?z%|w)%wX*!<9!KSS2m*T1K$AQjX6Ts#J<`zA0=k2t!_@g* zjrP*O;U$KaI*$zQO*fG%RPdcqI22U}Rb+9t~UHI0)&P1BcRVP^k>3J zB?I+2G4usx*@jTAf>Jslth*M}*ZT=AD61VH{})orvtRffEElw+t&0-QHMOndZqWvs zUPUg|6*luy$r$LpeO-A@PZmUV2r~8+)itCLOO5%XFkv;5byiIouJ;+bY99sR`jh;d zzbRt{q)hEW%Biqwi@c{yF?n|qMWf$?!&x4Hi#=8;BwTA+Q7p%cj$Ti;qzp?)k}>*z?9=a!%g!`hO+fe`Dwuk(#%_qe=i zNy%?27x&5HI~7(H$^TSZ1)YlW`a1P6ZOO1}qv&BQZPc)QyEdxK492nx+1^IAk4tO}Ch93M4ro~+k{ub3}YiP78=*-{sAB`5TZ#c3?sJ$Mc)8yj5MIWuI z^W}GuW_|fx54|*mu4|$DTilQIL&+U+wy`^Jn`v82$eW~@Mv8X-LRNM)KhXY3o}gt_ zsi6KI75jGueaL7@xuD*c$5rKrjh6nr(E6wVAE<&pVzgRHNX11zh-AykrCR0bTDeM2 zw=)`ckaVq-n7-?%KHS7Y;+5owa^wlR#oa#23IaOXNK}FqTq}xK{eW(5=q&-=)X=*E zx}Kqb2@r-p&LPh$L%2z57efF8714+v{Npp5GV{^DsH{`GNw^p)NJ zPwpQQHG}Bv+co8HNtKoXTJJ&QTT*Cv(6bCJ-ZRi( zh2&yUE*J9oIBSze8{dr6?(-O!5z>Tg$^6VU9t4c|kI1K*-5 zn)Ef$^8)H8wtQiLBB#Fr#v4*a5B{##egQq#QrkPA-!=640X=UVw0i{(-!l$TvKNTZ z*v}@?4itHB7iEC%5ztEw)py9y7dgJp(2WD_orZ26Q0Kd9K>uR2YXtN@LznNPq{J1^ zFccEQ38O7hDxS3G_juYOLJ#r|(G2+;ySIgN$lsfW@7GM`xX*r$p)U&gbCmB`7tPbQ zB3YR$!IzN<=rp+s?Hz`070~MpEh`Ri_>rO1k-~wR0^h>|ia#CbYXkZzL*E?Gj~Y4^ z?F@@o`~^U_Hnezag0eD4YQGE|UMYqH zTE2dWC9Np`vPC^tLO_QZN9|#>7Y$M)WQ3WVF+*A*kJc*sP>z2b=l0p|MSMKN`j{rB(L!m)clRe=jq()msQ}_{Z9^uc2kU z4o!Ovc&X8TIi%+MYVGotmH@uA58PuerW<-q;ET^Vv@sWWbAw(VXuTyUvl(c-7l}R2 zy2hcCcjEO+yS|c?47Gf5??u|*fjQi)?~Gu-T`!`x*sVmX9_DSGp0@8Ku`lki{q=W^ zej2Q=y}#JpEcJ>&>*MwFThaR^PU(l%F&VxdXnh=2RSgS_fVRmF$8C{UHv~tXT zk(;$(%`xawa)JIcpbL5}7|B@EnJV&9w(HA3?r{#elOUk+4dY!6E$g1p>|{gMuF}bP*yH7 zE$t&Hv#`RKnhF|I`UmupemJ;M&T-q*Jqf1>0S z2T@z~u+jG5P89QIw}PFcvQke?0G%e6+A+LXTLJBMx)#lyIm&n{?Ia@aGsW<+$@u=Y zzm*+#b$wqro`LFLhil(0excNlQUm5(VPyF76qDD_Zi}PijYQ*UzuU=EJ;Zfj zpDlGekE)%x&h9%4ah1H=*;QS&&z=|F`nIXH1aURRcTM7s(5^;X#=*29^KbvO-(O52 zc^SpckTI8Z84KB-2a=)9g-!rr6g^Bgw5$P8Zr#4D)~aqFS@qD_!_>5g^{jT!pE1G2?XXM*dAl)z z_9EzEJbr%ByN8u5dY(8Y7*D$s$F%PI^sUhAxldqO#U*XuH;ivExbM?cke9M}vgDL? zbA5XVgp2o*L0Lm5uXoE;-cM`3MHb&r`)`RvTz0&Pt0$5;XHL){^dA<=cXl;RD4O5O zJu!s(_iou?C*Urk_T?pR{rxmTH7+AvLjTLsC7W^Cp8;ZP>;YLw>(Lg+D# z&=~jK7CKyc>er3EJpJ68$t%w&>w?OspE$FclvCNy`jgl&ngA{B>aZw9^mzHlJ@%bD z2?83u2zE8}Qc1PU1s04q#Z;4bD)a-C_*Cn+o@zB9?q_$q=UP7(^zJ#m3Tue8QC$gX znJhg14U`TZh(DAP;!e*>8^F3-Ie~}wUkSZIE)UhQ6lgQ__d;DlcWK1EFNE%Fp>XBG zBdEkZ)Ix6&j8J_?$YnmH5jsnrOI(-g9*cWp2xYW~>{|#5=X2#MaW|CT^-$g0p=&ilFAJehw$S}8-4jA6`zmnu(2w>*sX3s$WygIFiL3RAkl8YFA_q&!rPc-N zRv3-X9iCiIFu0t94M!;^5sqWA_H&H!(r8c;=>C{P71Bh0q{2}=--W&(kdw9 zJUFZp(EAN#Mp0;e=JAAp;@blU@`D* zz5l)yRz#awT1DDDQ2K*{(pP}e78jJ9f{LNlP;>z*U=4NJ2Lj4y2ikTSqMs#pnq{C@ z0M)Sr`QoBq0j1?H@~&(s{%-|U-#GnlucE}{#4qk|ldGWq=B4`FkrFhHz7x`Z`k?+! z(z^qCtfU?J!sUZfr$F2F(3QpfC=2YC%hv#ffomb)a9+ z@pvNW43BbygYOI79Qd|-u07r2%nY=<8XDIb-7o6WK)buqUL4T9485ckjXO&(Fxra( z?Vg6V)5iBK{f+j|3^<^RqvZ*GoEy;R8{cgS>bDrs{?dA=!WYziA@%e-5U#6?_PoH? zcg>y__+D+aC2w%>yj>VLxX!*8(7lBomc>gG4td=f)a8x2aLK+A`1)CCGlIOgo4k?s zMJ7Ys``b#ABr<0$t7dpQNd9qu-XJxe&X5#0#**6gq8-q^0(z058wKcKQM6c@0<}SEluHY zfuXb>1*NqhwU-9;%Z9!(pr14JKLYwmL*Eq8j~V*TfHLzSwNAgPhl$qj1=gN*Yf9RJ zPt=-{G;aOg4tFym_4QfO&KOMB+03$%}`uW6;FbuJt>F}^ni z)KiNbXpNB}`WyHdv5e)Q+1F^}y9qlPdT~h2Ih2tj9DL+BGtlm39O4M?#fH-Am%O>h zcc))1w@$xP$9`GYSXHc~J8+n8wC!&gN4{GcZEPQQG&GJB zd|c8#*0?^%(C*8&z484)p!Jo#*m60_?5@-cUkfU0SLE1RE@q8|*4M7S6VMG!hNuVE z?=rCKx4HcIyR5%(kK-s`%WT&})Vi;R#?%~bANLKTb(f*^7s$DjT-;}d9J?OkNWoX@ z%K9WRUBBN79Nhapq8nLiF>jqFwG28yXjBxWVlht5MpwURwVs8K%VYF|^hW1vZBz1NF< zw~u$?7-l@&Te-z^pb%L(D*7<98daq z=bM4nd-S+U)!r(<+*12u;4o}kjbvWNoGOg z&dfl&tECpp&Gj4W{O(2@*IxHBG}^kOaTGrp{txJPJCh;icvC|={c62vFN;_&yruoj zz)EN}JO2{xJ$z51jG)nu_hg-aiP~B8Tj~5uR4WVGzl5>T26ADsto7?JP*(gw83h!S zF%c+xb_KoCP@x&kZ2M2t4`lme6ca=3U z(9UO1b=9@0+G<=NHi4CNZL03XEPOtDv!xzCK}v|$Ky8=uqIQF>0@$zppno>>$pQV1 zp&JL36@NJ3Nl`ek5&&9SMEG_-a9T?`{i+^5X;$(kOlM$yA2alw0X@#p&VIFKy)tO$ zbEcjD2xzBY>zzzH^w!1B7%9<4u|iT%*6u(jTEEYbVH13#cl1|V(Y2(pq`e$woq%>1 zT3Xza3*VP+Ux&G-m6n#gaOnKyRIij&L2^=vy*A(;!uZtB|YvExM#-9 zK-=k8HMy^8vdma%-8%io)+n~%tbL%RxTE0K7gtU@{i?1OhyHDt{lcBicH+*Uw^0{_ zHfl2bUa?!>*P9CRvWB;{XoXdZ@vHw-0n!e`RQyqfazn^y_}m!;B{vhkd=c zv)$hF`p$Orl=St!FAPc^{rYIT)33IzlcnD{o9VnNRoiZ}sH^PLrw?A8pSJtz73bt=jR{KCYLzH%saLv8YayQD<@9(rHq)P60qr~B@2;zVeM^7O3+`mtPdw&~_Eh6IoAUeqDoInNXBkCRi6w zCs|oAKGxM=(8c%No?+$*{@zF2Biz|E8h0{wGxQSCZkZ?e-q?i!^)<6N&hk~ExbuzI z2qlHTNa6c(LnrGUr?I>vvbc`ayk>P{UbRr)%ZpY$?CgP&-&3t+ioWojKfd;zqMZ5U zk55@}DhU?em4)hILA)D5IWSM625|SUwESA0%a@Awu~0ux<|9I>7DF5ly+MA{j}Ujx zq4-{x&^?T^$Gta%?%D|bLkQiu5&EYP`rJn7pF`+Q7K*%F-;qoEK6135g)-aF`6TEv za&f;!F5>)BsEUpbwKyKCZ`Hbf4{n6&`=cJ}>3Q7W3w6=ab1d#XmM&#gLSNVjjoPPv zA@deOh0N#4RpM?Szw4pA`%psHYlMC;gmO|}J?=#zbnQmyB_VXpM(E5Cx<(`P@_wl2 zWHk%jQF5g9hLE)_e@hQ&K_4{~Z&fW_P@hpO6;NL#AWwzX(d7dAu<>2Fk9sNcMC`5( zp#`_;t`5~}$--KPXdCvGf7Fz#WO7^P0Z)@E1A0wBpJM2B0p-+oXnz&ZEeyRepqm?d zb3msXdTT&8G4%F;Ze-}JfNp5$uLHWip?3##T|<8p&?g)EyMV4`=Xof>tM zi!oPy?f&k*Odh(Ch5E>h&?4z-CMjdPGUA&*V>@aS7jp~ry&%Syq)*z*%RlbXx59k~ zXNiO=D>TzA&h-KH`P)qa-OOlj3+N_>wx4*Z^B0%Q`9==Yjl(eh9iCd0Y>QS7ezs54 zgP*o@dC0|1#^K6lW;V6-L2(C%$$`(@XyTqBJZK=G6TbnA{M8TkIn__mkO7J>E#qb;X# zk+*{_Z|$jV7C6i@4qph89bp{GIhgQuzo(4?hdYeJR|1EZ7>5l8wEW;JQH(|7SB!2E z=Ae6r(d_pO-7=uRGIZmB-e%~Y0rjsg%?Rj?MjO9k7?TUfJUkrU(K>a&Y?UJn!X#ML`PYLL?#&^|#`ZuW} z2gYewszkB>ShZAuKFTU&Y1jW|=n4Vl+#5Ko8qoU;T`Qo>nxI`bpm!U(Q9y4ul-|0? zaI2xbZ&T143}tLkP{t#qwrxOvVQAS2Ajhn8Lc3$2{h^`s;e{`!6+*jPKrbw1(Y*X$wg;9Zc}?6QSc^~E?6 z+V(Z3Nb4LJnU>TxH4Z-ysIMEfuaw;qXk8DCR}0^E4*s4EW6(m&_>^3XWj(5_j1bdT zTrLfKcQ?LetpeKT8yeS*oI_cEfY#Sx;@Z`|#v!KWK8Iyh0uH{?!1%i4cy!vBn#=H+ zz+pR+p|eS~oz5mz51mb_9%9?qwUeq9Z{?zuEXwWVq1H~8^;BBrVZFN<0c=ySVGPrqQ3ujUeK?j=LFPS&p7t< zQG8bxT0&h}s2&#QdO_d0nY9Fq@<<`}(%#Qs6Kf+0^<;SY3~DKyY8)h>_vR7qP;(kYZX2w zi2bqm(vgl06KKe0Mgq-5z2K?GO7X{hV}}hWrR~W%runITS1wr z!k4jPK^X;tvL0SgX3L<(3lzzigUp#WruI*xWoM!AW&Z*We+%eJhTa!Y=6cYUy>XuY zE;Nj;y8caD@G|>CJ6->#HskvNUH{hgZ?qP7O67Qh+`Im5UivpQ`44G-(Il;n{s*O% z2VDlR9|lS*54r;20DaKVRRc=f4{i5GgzACyjiNKwKF|*PWd;3?q4bmmJ=;)L%nI7Q z5uyEMXML)N?tL89!--Z~y7zIkg~2DKv>MDAXm`pyfOfrm8%%BIe@r_^qq0H&_6b9~ zx53nQ*sU$vxxuuvWkA_c!OlACQ$759(9Wr*o!13){#xIcC2i_{+#i~_eX=_wkHtzh zvee>^nD1OgUn(pK$>I*kbVDPpbBMlmn;LEV9&7t zjb%?Q?vpKkUbd6Hr`Fk|+HYr*st0CarFQRcwL5w#b~dTH@>aQPCsiMp2HSCen6ipZ zKjD5?7mb0ovq{x&*LSNP%5Di3qplmTOUdYpd(KwZy#! zbbWVQ4Vb@ux4#QBzBdW!0}ius>i9YMjCHc+{;{Rq#N_RK7uEU}r0=4?pVdB2jiU;u zJw1$GkF?S}EuikN+*y>Canysa8^w~}$uv2Z+4#jl>v###B1FDB8yZ)W+`l@Ov7;9T zzIz#mnF00GqSv)s$wXU}`>%Iz2e$QDK5A<(Bl?CmM zw`cKfrS1)8wT|v>a@9lEMyVdUdePO3`aZ+_trw%OfwZ5(a#I)+jOWeAIR5pqT>FV4 zakXwdJGx`(hvJxa7aQruH(`B6AdaJa9vk!KJH?$QwU*CKle~BE1hKCr>h}skSCET1ppYLEWIe%T{ilWAB@`vSX@U~hL*uu(-)GWz=j+uIJOgdH$GCm3%HGT#ywMj(5vd%EUQ(sA>-VaJj4=`mvCc zx0$RSs$ZOUXq8Sas#+ z_01G}DxPJ-YLc3{saaz8zOmLwT5r`P+L^cajp2Yb(+70=oml;%+sDiGBhfE+|5Epz z@?5^;eyS8_J@l@A>3ZC~Ew1}3oFII3>_MF8H9|icLOt#cg3=KjzRz7}{xqHtoIMCdJYkq*A+MRSK)91qns z8rR(ZjnG@aVu%JpEm4jeFcLf7H;pe!P{?LEF9x9^aRmVYKc39_<`%6$#5obW`Ine(!3359`vv zcMszm-;8pvptz3iUh(%!oFdt(rpa#vzV43@=OBIp=7oW_-D_oclkAKEEiJ>*mRkFH zBHszL?muxsK=(1e-wUYA5YHpK+Dd*K3cA0}jSN>AT0Cb!50DG8vB(g=xa(i?ZU1g? zdyb2jIWlA~b5QpcieJ(7@B79ayA18A6(6KI^YyRuo*nf2V$)9hw{_18wC)ujzs~Dl z2#z}QTomg=GXMJVxqhT|_A+k&LU1`f6%L0O-*)Y^ zm*$LMeK(r*eKq9G>)Y1@dXS~oZmF9GzBd`);+=}T?z7sSi}uvo%XoT7?Pg0Y%HUr# zj&=TU%SF2k8wS328sF1`9*#5)UkvEW4Q<(4;+4BwDH@;{$1wwylope z{L(mV7|>aUw&!h|Kzo(ZZV=Er4Qx70%lL_b_OGL+7OlkXH-0(WzrDRu;Ok!nk5=MZUp~-2WHPK6Q2#1; ztO0Jn%LUqpjYE6h+RLq-L)7FW(w@`O_M7Vd_>5ly_EBa6rKJ^t`Y1D`g0de5hh+om ze3uU>9t_Z~9MJm>T|JsaR9vk`32YCKN( zA!@r%+CKvAQbrpkbMNYzL9$&WCVZ*6B{iQ3UlvfOEwdS7`kdk7KY;1ptS` zE7R?%#kFmhfqm1`Lwax8-oK5d=WSoFj(wJ|ZO1-qPt($+K{D?l+a+u7=iB@4Xnng{ zYH`)w*Q?w6-G}k5c4*Ae;j*WUpb8<1z%VGLeRqwmRfsT60Oh2a#52$s)(bj zWh51H6ZPOF6iah$qmA-~!~{5%(t)>p@4$@|{HF#Uy(VTKu(?A+})%;v#Udm^|rcwR2*yU=>0{Uzin)(#a@Jk80y`RgA6+wZJcKF@|^=5{ep5Z*avuP6l=?ZE;r^bN1K(j`ze%lBWS&k?fgKr zj5|LN)kEh8qI%ezjzVsXbbcUeQJo)% z>Y?)kQ9X>$4+Jldy{-RRO77ZvgW~BykM_^Oh#zz%Lmv+4iiZAWfD-f3Zj{;AppW>= zdMet<>#3l=p4yEvyHO_gaDZG4FuPHv>Y?*C*4DoBHdZ~fkCi)b<2LR12)gq&R_(OU zzM_w8=WVR*u6t6CZSo z^AcA*%(7O49q!UyvX!rUXi{D`Mba0=BnPT&$eb~)pX!j6|``t$vZM!#1`#$sVd=Pm6s%Q%nqQCm5Q zi`57pt+cO7bS0$qVnLQr`#T_ylQjy;FIrUh9-x-j&Y~H#(>|{5bf((*bXe!=bf#MI z_tZWcw9|eLMyIn*XZ4j4e-~z$HQmn6)OIFIXFj@$W0(=^H^N`# zY8*fKDNPHHWO!F_-7Jn3OUhjipz4n<^!si284~(l3uOfevj`zEUw%JHDdA60;Nq2IKgsHi&f5ZpZB(O7vn!u_?H>*Qw^Qy_A#f1?HwU$ zlh28Ky8*s3NYQ(n2q3k3e=T>6k@QrUF__{+`8G_c)^7cRI z0dm2itXzQluKHF4_1h0nKgWD5=Z&|&jePFFcHrx0)5P~9Jhd_|gVsl2s#@%f6IWr`{$9WaAvHhUd|E(f znSM_XeE-e(mR%@ve7K?Qr@R-wB=Bof%e8xIkdky_oTq#_r{?;7x9dCKViN7)amwIw4W@#dXV9dCPVvq*zt^Z zKRrI4I_>9Hx92UM(SDnywrY^U&#i9HTRfxPPmgc+ByZ1KJfq!Dk8kG?HRyCZ*%2IbghnWY>JM9vdT6S6>`>ZNP=C`ep7_E0m4se2XkmEr6PHTw1nRw0JKxe8LF=tiIhh00 zdy&&ZYNM|*w`+24qY6?ReT+ChzG8UYXhn2@9^4+f(TdigZnUB;Z8uucu~B=E-i=mN zJB#yZh1S#e#N#~6XSeNbYUz35(9LX}Mr`anA%$QS6x3Ql#558xHa0>U z#lrVyZzsEF2Hwohd*AziJ5$gAvjCtDHy*?T`j8^wsgNs&yVjzLLe7;h9ZpiXhs5AZ z6Tr3RTl*Q_I*~}hvwZ6a50&r&7g+!`NJEgvy)Y|$;^dGB>WGlrkqn8aS3Ts>)Ze!o zAL%-Pv_l9ya>emTNCS7}w z$WKKlPB#s&gK31^FJ79UM2E8QtP52@DL@?()@F@?bc)A_vll7lq*_Z?R@p~O{yd>| z(ROLKpYzkdDbJ)K)lsSf!vfr9(6lb^km>|d%9BY)6{?+3l%qPI^;cb2NOwG|yJM7u z(`!sOwY=s0p=(uHs@<}2=s?u>>+dN(XhEv<7Awsrv@i%?KO!Lkw}m=t)&jH0{q*yB zQv;qO6@oktYC8;LoJkL8S!%P4BEh&^G4G7hK50J>2~PZa56 zVL3ff+D5y9P`jw{1c^HpS#xgu=&?=%yNbBPRSM8*0JUf%$sC_g;RjfqK!%kPb!31^ z#}Sw^)|SDvR)Ru|4!PS%XHb$zi^W(vr60HPXOk-^G&ys>;Bz1`KDCrh#778$LVqVT!_Qk z4uT2NK zNL}T7!QcV%n_e9(5nTgAGa6y?osNmEe46}Nje1^#Rs#lEM5^_(xm&MBB@!o#TlJt0 zJ)5PyM$t8)3FCgucX{>lTe9z%!cl|!8=dwVPw!LLfhsRk7B22L00BxS zt&_)x@|*65R*(-Mp(L&QvrU5c!;|oFR@g8bnF0M`GWUadD$RgY=rAGngIx`t)^@D! zUUa2s5W>>k-&ZTn?D}tZT7dcXPy=kDBDm3-gSNbThTV>4ZrJa4`XgS*Mdxyh?m%w!lLwOIl>}p#r8ply-<;I!n)W|+NS-7_cis5huNXy>*W3KBG}%v1 z-ORKsYZgkCsZtd6Z}-rpv3~-ZS9;8{PXxWB!Cg{OhG{?e>V*Pc@m{$)+^o9=Jzk6N zbCrN67^AV9iMKa5`~G-B4^(gOE%&{afZHUAo%qVJcsc9Uch9qxP#4_4E(2tp)n+4! zZok5W|8kq(O+9D1UcLB{_FG$lV=8YI(yIjhjN(_yrOB4TefPlUVn0}@lWqf>6Bo|G zD62rXIV9nVSJ%5Os}9jl7o&pn;kN71+m%1B7D|QzcP*U}n+Lkb*Vcop6``XIH4hpz6m z2&png;#;fJ(x&#JPQ@3koFZYMc|U6B-P}rNMBBWX@h84 zaPIac1oHt(XUrWP2cPSFscCBIFs+Uy6grPh7a{1>=%`W1?8tR>`v&)iqNJ6|TKt2Vq^CAcVdcbO zu`EYlG8!;?<4dCuAoSUP4+m6&^t~@(@hoPGd(&>#cweuFu-Lc=TL6>6QtUl1Ix`Qw z%flL;d6qPmJ{Uh^YCv?I7Z*0O{F6`R_pC0ocAHzRugf*X)gn)V=UaYS@s$;Ce`jyD zp8-mEn`cQvKE^$T=C-s(*$rP^+Wg*CjzUx8PuMZx8RyydDWOX?_i(JW^m+573S!pN zD_i_SZG~N2Ebu?`8_W3aDdANMmANaEZ7xFN0jpvUTY4=rvOS}F8SCvI(xaMSpYBIQ zG8N^Z4z^RNt`j!`??(nrSu8i+EOk?X?NbD{AQ=pg?Uefre^%QL@?UeF#Z~XG-ik5$g;xH3b-!UVrPQU|>RiE}ze>gwQf4g{8cr*;rG07|A4x zCj7P+Z21cR@{lr)F9dr0rHSZc*D#fxp?%=Hp#U$)FVI=!e|7V6-ro>jQenGQl<0Y; zJ^qVK-&Dq1uLg_V3T7~WT)_vD>1X@VW;mK`4e`+*^nC{IA_hI@&4im+_L4F8-^b!} zq#jD?c3?N@q6(m|W-(FI=~x{3CB~fw{9!PGJyAdNT9VGY@T1Ffuk~VM3Zyvvgf6zHwIj$`^40bwWEp87hk{ih+du(ENV)>I zp-stn)pl{=sW{AjN=?^j-)Ri0km+`_*?i#&j*Z8Z>x=XzjF6OzwZ-q+JJkuRDQT%9 z8^dPDoa^iheH+PElKVl#Cale?-tP<4>MdW0PH=I3u3}f@$C^!5j4Bv^tym)Wvn&ed0w=v_B~9~0TEc*1-hhh@%$Za zuo*(b&zNYHG8z2q8RxwFO_`C{YlHO&h0!1>b~Pf@6q&(}17Ini_BX(G<-L5YzL|(5 zi8Zr1iL2_&bSabNX}U;flQ@~RuSLaT1FmJ!KjY2z=5~LrHGE0VeFhO=JCnBI1}@q+ zmFSGsgj`Lc05lih3p-jZ_DOFf;wgqKhZa}c@At+O#&k7lFY3+1{kasfy8VpMebIqt zE$DDtz|ki}guo=(r&SVvz!<)W%F|UP1$xRxveP+#=QHz-6oTt`m9dLCi7%Zg?-QqV zR(@6;yp?V8n&i!E{_W5*dO-ba{WvC^`tTQ@4!7?RS<#fMJllehFu9UH;VOYIDY$Xk`F_5X#Y~R3Yl@5x-rjoM z^}Z9Pq_fv2xIav0Gf^N%+d9pq9A|*rlvdL~&RIq*BU(3?(qtEUnkM(wBYo3^4 zYM*R9&)~RKrGTDIeNNqc?d~USlnrL_IPaqxW0UKB*TwPzhk}hl2GpDGxBX28@ZM;C zUrIF{*V!B`?b`eOl9{m78I#15r=kA%^@_*rtxoZkHIERkE?Q=oO`!`!@3pXG@e4l8 z6eBmq-;Er#TCPa7pss9fK$BK?)<-Mbk$yi>AiH-EXuOM=>$DCz08YT8x%O(d#t13C zuX;6ky?NWk;_fYa*@FHOs;6WQlmRlg;-v5eY~GS{r}{?SF?9nO-Pk2ci08abfU2M zIb7dVBKXgygJF9-kA{i$>hEKJl_+S%?4ig8{dnBWamem^l+O7|8T+@V2^ao+CxXcB z0rzN+pJmMVM|FcKqFgPT-;2);?h&(xo~mN;aLRr-eMpN;%}=JJhSZ?}x_jf-W*O`0n-3O|hOf;dT2d0oY$-4ff9 z#rMVMi_1S$t2oOidjR{N{F3Qt!D^j6nWu0z-!QVX zX^M&0mJWZ$r2!*6SnnQn+oJ57G07fo80QtEsy_*j)RFkU8v58-71YPHF?{`VwvX@hVY6$)-q ze1ZgKeWU%&BY^?6L#v&xKi|+KGwYE?QkZNN3zgY;iZN?a6OSJZN*$l4(d^Jes!=K= zO;wZ5*qRTDL(~Q4LZ^}~1-*47=$kR3pI+TiG4t4lK;^Jx{>$a{rtM1ozDxf%bekGq znLyQQx_Me9ZMvBBu%hxRskpglaw|RoX^a(;cb5$lceXl*GfrVW_9?I8 zs>hd{cealMg-&7NGOh@3En>qsO_aZVK^E&x7Gr$ai~X4J(YNPoOUYv}OW-QstfiN3oiJ5Jk!P z0tM{XjB3)f6op#Dj^AYs@_^t8;nU+oL-Sr6`JG&R!tih}xkgBrh)=ND{}f;&ETIoj zF%XeZi4n#7r)#%*?0TlZaM-2(YfuZiOSQ|W(J`rlmopU3_G5|=InT_W~b!kc-$B~K53clxZC z-vk_{MU~XROv5$I3|;cmG*R>)RL9;SKuqy2A#P3&$%Q-@uL>*xNHCLd3z1!Rq-Xz| zPqrGrg*1C07GC2W<0x6ZC)1#sPy~5T57n$O9GMKovm|vD>lw}tMfQ#r|Eg(HOPtSQ z8<#h$>Qr}GxlU9$O7z(CCdV;SdGUx5e3UvZGSi*?b2pK^L8}9p9l{i(SzuYN@Og^A zFKM29g`xpSLVYBBo}@dixvK-bs?I^vcYhFn-td`uMha>Edt&lF9Z6i;m169tYLbn% zdBlA=AOCFUZey^Bc_YaE)}EzRy)=0y?DO;p=`}ky$?KUc#P#14_*^$U=SJ@L;_s@n z4p)dx71cmxUFOm{xYS#LeZ*6@QBF|LyK=Z>Y2~{=L=)@tl&0?1vA_|@SLYm+>i_e2 zph=BpU$*nmhxbKkL->7erIj5|adVwt$vb%76I*yKtU{@rWWL15+i>~|xThw@K|9ZV za}LY8Dc3j=o1^0+yR#@ZS|cSQ_0DE)3A5LdiML~b5STc+a8ci zTP00!PynSXrBJS4=YD^4rd;Dg-#_#Kxx4W(U|h znwg=if~1u@;q3P29YYY|pGU^-hqk+oo--@I4!O2!uChg+KW9fFqOrldFC{(;?rn}@ zb{V-+iP&4608hEZ#)&?%J0kXH@ar8isxB4Eulth~u#Ky{@Ct3ogrtU8zkP_jeU3W+ z?)D!f&I5|HjT_d>TZ5)oz)xi&4zTTp@eIi~gxGPRNa&BG&Dk%u_BPBpeZM&~8zr*k z0{1?pYt6}|`uP8z(%d96rI1?@%o?dZEnk4{ZECvblQy_$BJMR9PBfodPwoLrUB~S* z-b)0VjgyKLMN$M`_?ksqvUQ80{e9&!!I06SsI~O^Y=?igkC<6%C=o(z@40aYSTMUl>N; z|NX}4KS}bOpIm~g^LL!Eo$z#8^Xb~8AIX{v!E)w1)C3sh76j4w2jA+2DIJz|mtXsH zVDwn~P$_pk`C-5p*OSKkD?A(x)G~-UnWv%5)gnAYM<+h%UXsbAZ2ppfH&3x3DH##{ zt3t_I1GO>(el?%J5@g$+CL_e+H&*a1b3+P%m&&_2`qicSX$jvYwN%#cEn+80&qXd( z?nd4p37TqhxZQ0|ydpeOe}$)KBO)Rr){*$K%I<7;HM{9jj3Z%;Skh3qpffhC4X<^T zBL>SWni=mXLWQbDjzn4L0Q1eu-V;6lONwf%!z5F9nNc9rJx>74!? z!by&rqH_qHmRXX+s2$fTbCyNA%PcHLKLDYh=4C2L#@T%h=gYV^p_~U||A|aU_smc? zc!Mdc>i+KNBPoNd_4=pisQ=zDyIQxtwDQL@?VYT!;oIJg?C)Bi#!@Ew42M_OV~cK< zFXN?i@^ZS1@-+hIOR(XR)dfo%Uj*L-;nWiww;~~r7z?JDzu3|pt=qsAQY!Ls`<-VL zH`k!|Ba7u+Pqu5?8Oee}xZ{2xTy zgY_>k{tqDjpGyC;(*Net|2*#hLtJ_k&1L1*G*x_guW_;We($)N#R$A>gDLwksTjx}gH z4r=;uQo#x|y&*=2r}Ah0Arl+{@dK&jWm)QDzNGrp<`vJVjxO~UM+IxXF9RyJqO&4A(E`rd!lom8f2)Kxu&uhar%Na{ zduVd;)eB!)(5N?!x*Y0T)eBEw(dGJ~|G-biJx=Q7%d8d-jQ!rzBhdWfm z$2J@=Z#Y9WRl++(`|#=n`!3BJJ1-NyHB{R>@f=6e;#1}Ivg|i>)}nO{*-qMc(q%Fj z&ughy<#p)ae(rKXYBI=q?sFluJ49MLwLRf;*7p4Hibl93;QxN$1pkAeLHb(M1dYci zhjpSK&S&A3cKe2c5t#A>@h(l-InVI>EyN-lk8$KzRDvhjySSQDAM+(R4X_Ur~KL|!Am(`=QV{lsw24LH{H02 zX@2)g4!fhFhO{n!_yKY%m-wt)tZb+(U1ryH27cBPG^?#2yzs{0d&|YU!b?dC!Q4x8 z_1w!r^}9~DuXy5f9)kjqX`tV$Hw z3$wag!Z}v{EyjKnIX{Yx%>=4TM5>ex%@icrO=i69){AKfEjqekvA%{DcCiGi3zbzE z;>Q=2RmS4=Iz)uJT4>Eg8BLt#G8zrd=MfaITNZY{d1cPAG3@HqCW%F5?N}0(-v}Aj zH@8|c3A^nuoSg=`bHCXc>De0uObW*){5H`eDT<5GdMXx}RY{p}|8Xax|6Ozly z#0nKw3rTPYjm|nz@TrNM^N4zHkk9e!4!+%&tsU~TpfvcueE#RHqwYQp)iu+p4N1qjNhjQjo z$0OU+Q>U;or-*lXU6>N1j#(l27`_bhpFv+{Ux>8XpXX%KuX+ZWna*%`7nQp+CtQf1 z7(4_$tVdp?AWYe_G}00`F;%T3H^0(f%RK~T+6mYq5$Uwkl`<0$g1xv1i}!k zy8TL6wnuXK0g9sM56+kyfOx6-im#n2Lc}%VEIYO}RN;cEhe zq4BkNf>M)crbE{K+D~G64v!<>f#BybErOs}y(;7=$EWvX^OxbVW!L3tj8`s_W(_mh zJW0@=m5ov&EcJQ)$d+-4fBo3tsvUb};y0sWPi29UZ|EDRgfvcQgYUNNp>z((RV{Xd zen^yX4xrQA({TGHGnZod>p^iil=Y;mFu9T7l`3tsA%$33YfUH;%vGE}7rA-K#6OO+ zG)%Ar2tX&I&)ZTnZogWtiKIL>iK=WoI{rj?2n?MGReQY)vi>G!bH8VuAbL05HP*G` z#pmAs>y3W)%40b$lwz_Jf(mf6QD1e}WuR(ClH^h+e?9zUCk{@n^>7w57pF>&3rDOO z$6)wV&5ACN`Y8cJHH9+VeL|Mat&XbiyW302x3Um-9xa;68H2t|4TO5R)L~hg3T_)z z1jQi?s=T7RgE*tpi7zE;4K9$wl_#6}Mqq40`fM$46Pd~%CZX1404k^`L{Qh}XW3O- zb#Y1&V1+4G)*y|aFELTGGZ${WCv@6N60Q0QZ5jWEq4$5bp-j}%TX1n?1SuG%lptj$ z{x+wXLsEh|nEOTIY*|0f_%z;%`{n!dsXIY)>QCci6!VC!!nWNeD>^WTT_;;&t#W}i zp^Api61gdj;Et#oO`EcbB4N6Mn#t(Gh&|D01v1v$S1PkM{7|`~VkEI4=R~u#%&1WW z2q0_KZ#gUkULvi7ItR0(!Ya1dA%4R>EV`u87)9x=#RZD6yi!RPv5K5lexk3Z^9w($GVz z_-VqdJ#TLJuHj$GR82ihxS?-p6|drGVmGyF;-ZIl#0iRsV#&&SL$Ta@l{afvG)eU3 z=jz9m^aVW19o!NZNQ+!fxD$D$HMn0n05H})}poRoy zF@ji;YKlB;UNZitSaAN3zZgmPHTjKN@%WDx6KV$jkHNo-C#o-g4Bq`eg4h2w>AH(Z z@limkxwe&+iL%~B;iw)(-7GJEw#;ZLd80)E`QL?4pHd06g1@8%O?y`7)ah+{p=Y|C zBbm1|T4X&3&u#vmIxjIZXk#KI+4x~&iY1(3;aE=KF(kK3GZu;P=-2x-wYnSdK)Z&v zdbz@p;*v?OL4%3QaJB3wDpBMjYAKL#wY>0;)Q6_$;62T4%V8HHha(3ML&rq~F?U0B zl;Ja^0|RVesgrQsb1((Oj7Lw6GdemZ5&Zq?Iv&t1IAI-6V)fCm4Ir~RXtgIzv}#GE zc?3u*n{}X48=_8p=W14#1a8yWJs?XwRj*x)G}+xl(vNVgdk65#7TT6=NPLpE+7-+( zO*@{zVmMLQf!h`i>-oFIJO4Y9r+l$!{wg_re*q0#1aB$x>UqH?`*90pnZH_%DK?LW zU-C9zO3v0PyuGNo%pnyTkiLd%KJmJt`}|54HSS|HXbF?YV0sZ`MP^FKE?DfBSmx#Z z^_gPMS>tP=082db-l*0SzMv!iO}Q^T)xsVVlX=8l^igb7$Iqkht|-+8U-1fJ60F+r z^?!Vw(C~I@1c(-A1kPk>f2Ecx&FK~t&)jjw5t5+KVP53#rpKy*aU)Ot$vN+z;W=fVu_&DHLpo;bcy8g6mjpb+2bATw~5j*~S8GU^St*Ibp5byWp z+5-i6yY_V!SJg}Mo7TS=^Lk>77GW+=G?XYkidgf=kp{#14DmIoxCYAJhZ``TIWr^?BXzpeC7EYxGdf|1>4l|Yhx0d7H`C0F zh9<<0VCNG3*y3JF4gx*L>kr)&FklH;0nsPfTZx)imMcOrpy$~Pn4rg&riQ8ibM z)v34?Wzc>iQM2Hdzx0=Hh6AhQqEHGW6~Px~Q5!W$kX^EgKR^32OoZ^upo#fHM`J%* zS{yA8G=a<#;@$O%e2&QRLbU<-+!m#U5cj@iZ$$Gg0E*3co(UU0k zFx5T|muVrT)R0fz8Kmw-Y;;3tIP-vV@=Pb?l8gd25%khIxl;R9_w~K!j4$l#e&(J| z?e=+?Z9TW0lrr1q)$VLSpc_~JwSnL35R=BJ|)tGCy2X#3_E zCSPo{294_UMKQ%Z+Y!u9=V1ql;$4Myv8vr?G!RZv7nqtED?ct7f4Z|Z3LB3-AY#&} zmmRHQV!I6X!1{-4nI{f3RhfH|-ag+QSkG}<>*^8Bkgf!9D7yeMF9(|BltGMKxHhFP zqvR>HFXU-N=Edy+-Qk6)nqms8PD{Te86KAIzRR~mv%5k!-065bO;qZ`z8amP#ETKO zj}7t@V#QuIx)lM~*cfkkla{j{Vz#l|#kQ1P`#(WC?+v(*cnR zr`$c{&6}7nFO1Wfm^TiMmky27_1Aue=@NbgH4WWY2$~y{RRTk>+8VUQtdN)NeJ(<0 ze`eCAyZ~v(f3TUeF7vCbX9S&nygf)^^_7wIM!tCrOYY;UM2?ek-@w-t(6u5RpcF3? z^bAf-+#aIHaH=$4D=-(39uelNoA8>j@FwWENIJbjO#ApCox{9cUl}f{@SXc&6GTyp zRYK*Ml+Pr39y^MbGiK<^5eRm*&nPo+PRQ=58y@!Z?UJJNauV5xtmKex4F{^~Dg9Dq zIk}vA4OvfFl{Y||8Wj+%`^~lw*PCow^SCQ8dAe4>L>n~Y8B;!f&9|dnTp6bXc9z3> zQN5U7uZLQoNw9;f<>)F*7;~~0OdJD^NpxmzscPUhksFJ{ZzuO?eNJ~Vo!Pz+c$Xkm zgw&>J!Ji$u{!8Zx z@Vxp;kOQbNyK`pg;H3XH#Ji@hW(^3`g}p*}k>7*jkvQDdQ-gl8ExOSuNv5hPp+Y;S z8ewD{X;;)@>)FkR#d>HFsXS{Ivl;p0JO^iI2BGT|;30x{BvO+pVMDai`g5J_KmQTv^!Prz7 z83ehLV05BU(^Gi@x_@xenNb)33`oNKIKOGp7Vng zko!|j%1gLNKZr<4M$XLm-OaPJFy6&vy8Vbe%lAZ&&eh$%aR;P+2}5wB;EXthngVmz zqh@eVD76C zeO08oT|M86R%m#P@ zZrmaZor#x&F8Rd>FL?X87x<8vo(ic8mvtyZyg|HST2M?h-^HNXifu|Wg-R}F_~srW z(iPoqq-#sdwP}aA+?J#*wNq{IZc-c{y0&P)10kld>_V;~e1p@?Q1H|RW)Fzc)zW+; z=oo|IL#v*ar(859e8K0~yb!8nfvjR|JuG74fsoM_x{`T`q?ir;EwFDa<|CMx+Keq^ z->(E7)DDXDAmq#RybC>IwjeDfqlm@V?&$_IS`kC0I#Ru4&=h6sJrYvsE%LXW5aZgy z!e}qT6QFD17gNAco*%RSLCoqQZu(15Kfn6ZXDBi-i(s9=6%4+!y4N0p<5=Asm{(2` zLXc08`ChJExXBp`-lS$|#?ltXCqKz0G(pX*vtA*q{fl&nYRAHi4o^q8$z_twCr1Nl z&>cDm)7!?TtQbNKrsymV2L$mJB4FkxgnyQiJubF0gj7_fH~*fqqiK;RRk+5(yks>4>C*&^L7D-UhkdO`zb?qkwA1wX$1s7mR6?g*h?t~%5c;IQN+&PLQe({4|IPYMw z-qwqu)8Czg8H@IZ--6v&sDFpjR*dc1&;&h2rBVlOMjK4+%2s`~2(@0f!w{LIM>u?F zsN90&QS}vSW!GO>Y(0`91V_F1UmDLyhfgE+yinr%tfz5EBxE6oln>X`{xsO% z!>YHVX*#F%vw1RitU5=#;KkHq{v^3XfVVna%X*Y!Q}JU?8)8qwqMOuDG=z_ zQAi2nzs9**xEtT+iO4mFSCP%3(dI0fPG9nBWvDo-$T?CFKp18Xm|+uTyMuikZ%KIJ zR>AEtylTS(p^i(3NLKG(HKm9ci(SkY@Zr0p+B`Y1hJW3`QkB%1Rr6(TB@ToRHPus) z^zGZm_(ih%nb;tQAPO@~XtT6!YEfj}0}pgTnvw7NHOJ$_Q*muX$iD3(MM&?eOCJ3c zQbOdNytVtNLO_mK0)PLfO4Qm?G}6W?Wyg-Pk{2c$CT-HLYb(*&*+blU7#3Y~BU+E+ zs<5JGPGuw>dtjdrP)r3-DPvD1mh-5#sFu&A`a=?09}YkqNnsGYm$4;b;SK6^jz>y@ zOdEL)4#r%pl*yL%H&D@pT4?%2s9X)R5^Be@v=|uMN%vW}N1XybSA}TT&ErfjNC}IH zf)3&xP@=3*it9#e0f_*l*QAJS`N1v%m{Eh)qqK$AVs}(0aQ6OvSYO!yM5CDow!rS+ zR9%N!XHnNx)&pb1sFlyy(9YtN`QBxRWsSI532zFJ023$4J?C|)Wv=<&C;&WAX1(Fb zF5%+(<^Wv_!X#$FT3h?KdBGrY2{b52%Cv!7$Yrt1ub58|F9g&sejTA~VdJ%5X9|kn z>9Tc0O^K5ueKI`_&pko)Ddo+%tRf)SHn48--zwjd_#X5v3x*~9)C%f&gixp^^2ww_ z4q<_P?tJE#_#bJvI^PzuBpkE*pd;myGJcWQwB!VR_zLw$@S?Ic`>!`=5c3HePom&{28cry8xnAQ0lc*1V-G(8IzoB z!RxBI$7^$55)7`W{o}z`Kc+#`)E5wXR8-Fk=5cVjunx68 zO@{U*Ib%P6C_q7GrbW7O$7y%2id>+2I%6BPR8h{4u<8KEuC)+n;f@ax( ze@sZc&Wa4bK(gh9)1QL{!sJ0~aO8Ds@j?&Y+<@=o*eHG&B-&PpM?&l|5UY2=Lx}E1 zlOITg>#8)7^w}n5H;cIblnv9@5oMZ4TtiZ$wZLR$@O`L&PEg`jgnkx!0Q76xqjire z4j&rrt$7O^21nYdV^TeI687pGr%Fx=+H`egsY(>A zFn!Pt5LiX)NVFJi^NIXC5t5kt;2dGAP;+^3A78hXShxV3Li2#Y&_|yM?=kpYfd}o# zfOuoDj|DsbxebuVk;0#lw<9!sV{rrVKET#0gdkAG0o1J`RPnjcn_MTS>rw>52V5%| z9eVYZ)c7nzi>n*nH>t0~N3Ji$E_LcHD$XtNLW0r8+*aGPbsRsw<5nu>86iKke|k^6VnuAN1|6Ksvenes}2h7L#c zt~2H`-w@B%U*H=2j@Kt~zK$m48>EPq(nLDYR|Iy;eD7>QDDlGDt@LYP#h&FeNaB(> z6w|8{Vsh9^tP}G=B;{=FG1|gmK-`8u=+H*=gn9b{`|mjH)UC|+6HiMtzW9!J%2qEe zzcSMltYi01qK`AgjkOXPbwmO{{ta{i6$nyC&MEKMvZj_kGq(zMkf`QEiIum+X5^(~ zX({~$izY%@p`@D>_>$W!vt{-|B{p;BeS35UjCk-xI+H1R+@^ot(jchoj`ZF>j^4Vw zhiV1FWPgP26`Xz-NLv8a^IO)5n#7Ru98IfiqtSXS7T<0gpA-U1i8T|&vpx6|S@zgc zj2du`eNjV_1+0jk0`o@C;c9O((7?5$#u8)RWi~1$hFYDE6z#H{m%4}Dpn{#Q+jkCw zHYpkkEGM{e#7rRDKouTdO=-MIv2aGL!x#V!!N7_PlC4$5uXPmTW2Fe8eadbtpu<2l zYO0l021}n&I6zt7vnfgMQIVflLUz!9p<*ty zlbM1|;Gg4LF}NX?CIG`@hi7pHzw)}5?$>Xqn^n#CSbEiuhQVN10j!N0E1f2Dr-VB! zP|T>5{%FPyy%lJJ!}kT$b#K6{rM<>K(3kK>{Dh*SfD&fyiHh<4nG|axTRHWalwch6= z%ZmT_Gb8vZN>5=r1VzeEL0FX$`Q!i;OdN>9Uk0m%{XpfIVhQLFrlWhvhSb!UZQHDn=oWrO(7HMG;xIEbbF+x?3Uav`K@Eftv)i(6$mKArp+MeOIhxd` z_ngkZN(J^|#))ligCMvjAQ?Q&_1lG|f5Zd@9 zTMhb9;%mv2bPD9>*$*Wq!5du$5eOrb%w;Rzg%@!7OPjUTn+9r}lyzAc^=3SLN@uYy zJBlAF^ABBSzI_n}L*{yY?2<2_RK>j1ajP8X?1JgtR@1<# zFd+jdu<^RIL0W*+k91gaX+sF4a%~U5vC3poj$SLim*)`=n-(@mcRXGaWD1swnw{AU zqjt3q__pY!qr%tYSAGW1F`aX#>i$p|jZjhtm#+!8%s|$Q`MGOd{4X_g)U1J$el@I{ z#K+n&amia`-OX3l?*)90AC`2cjxT(WOWjAu2a0)7!ID(}F5vvl|0x*$JQNWHi9nr{ zBCUr_UEAMN{T#~%A(8bG3#FnWEF#$M#Qd(oIg0%&bCCeVj_3oa&IAV}(fC3w3HYr)LNI$2U2} zpCFhKe}O?4>S>||HA24H%iV9TYm07d^oWZS15aF4h?KRbOX|o< z+Vb)x?+6QoGe+w{ltg9*d)s8VJU!BY`l9ZYG;DRWB{4hYLSpr-dj|(Q!AJlZBL`zQ zi087pMNI~Kz4+nu(}=S-m(hFUN`pn|?vj|5^lQs*Bh<(aQ-sN^kh{Okl)p<;`^+ZQ zIMWXCrz9h-(a%o5=*`?$N^J8$PtV<(jlOE@~<-(vO=5s9yN%DiXy5PR&(UI-F`ZL5-fpg8lA)bW2K{! zp;Jf&Kyo0}bICj}HSqbo@3?iZoVNf-eN=MJ&u2kgzOxL0GlZ({Wb1`9bf>XPX0SA9 z;|-rbICFUT_u&&$_L!66S&mZf%pWJ6$qmMI>RrM1k}V*Z{ZtD*8QcPV4FHOLZ58SsEITnXxEmjKc3+>la7ir0ex#%5!c=J>U{!E@W*%{-GZTOsNDazQN6O^xV5Opw!nKT0$H( zVE)QVxn>_I(LFykf3 zsM_UM37cdOSe#nz11W?GY4G#W!PoGMELDH(_%C8B^KW4G+HkKT-R~U~X*!QCFv!=I z80mCA`zw11HE+q5rC!pn2t>n?0!d|>C^nzpiaS*4&_MJ8r$MrVh#+0ylz>Tlwb z*okd=U3fhjlIC=eT(XnI8P&~r4e5Bj2mYc^TewhzJ&~LA>fu|z&P*jKVHEB1`)e>J z7fS6tV2V>&soeQ`8TB}9o;}lN7huO5)D+rWavzF8rv_(_sy~#at`IRtI2w9gN=qW&n5gok?D#!YyYnR@T z3i#lm8XG6%OZ_$L!KcvS{k1-^`W6Bf+8}Dl>bPpr)SH=Mw9msIG1@;^>6xv|M|02G z)=v8Q%3G}8p+PJxzrMf4sx{w1X{L**z-fKt9)-rSpp?Gn=xby8n!<&;7q!{W>B3Ks znhNKtGCF6nO#eyP&1HZybznbk-V}2=?v+8Hi@Jvak}vJgTq7O1$SQ0zynvu^zXTDhnM#z=VwO@NO*bvoQ2xU?{ibmq&)DZvjAWQ?T5chP#73sQ^R z7a%SSG`~)eP-cE7ebD435=3Xerc~hhrtK@`Lh&`D`mMczAj40p6^@ZJ250`?E!_td z@DjKF7_(&)k+X$&1KZD;^9-TBy5<^;hPlT@n=7LH5g~Bud_vni0(ucV0c$zb@!8$y z&dGau_bB20@-$?7X;Br{-<8TXup)f~)S2VZtDwIqlE+griaN3Ut)R;45YmlI4cdtf zfSr;P1H+_EC|_}2I(vUt7`yeBsU+J0%3M%QW01b%O3%ijGLQt&BE7%5l`a|c*wd@o zA7NBOPwnFeLQO2?C*BeM5%C$xf_q>oMr~S>?Nhrz(JJq}>uXC-+?3E66V#qyo05#4 z72p3Rrn0D#f)T8GOMGK9+D+K&W&Sj9gb3EhnT(+$>i1qdBED14)H z3Yh|6b4d=<-AO#bPLWRh_B;d0DYuu46G+ zu5bG?qx4Dc$uEHo?Y4xN>;)EUZyOs7XN;=|Iel-oM@KzUSpi3Tka)Fz&8}x7&Dmzyg&F{K4+q35fFHKnVfA~AIR6I#%0M;0+Xw-bogac;7(=c+l{ zOQI}%i;<9A+~c4!eZ3l)qaB>%6LR6|ldxWZ3)Rf!ZLB=ypu?ASlZek~EmO+)RLw?L zQZa$n+b7A%!`iqdKPT+Y>5v(ZgOWW!%R5;esgWvT_&RQ|5_v;9upiyVc6 zQCdqb#gEZcPyZs@}pUqaVI)Rn!7!*#c)=4O5ck`*!g8cds_{L7Fd@YpUOu z^8Xaic%_dX&+Gp54&iZV;koUQ2Hux-U)ijXpR6cyPrGwy@}dQ`)=Fj!qyU`9bxwDUY1o)WI;+f&ZUu27lwNh;DwI?3ypAu+qpLvC_Kd%BlCSCq9_OwSkqvj5DhuC8 zjSA=~5?&Sn*)yjkurS&)r+l|-U;ZxM_eURm;yZ6E(#hMrZc|Oc5MDx+ILgRZQRK)R zpbuYh`T5vs6_Qz)P0k+~9B0douKl%NYyJsO%K-(i9~0F@m1-VA{MbuTElY1get-;$44sXX6;jjVNVRk_w( zwvB=64pbwn%@>HVG5%_gTHWo~YV#3lwK+wzFYCOh7|%Ds9!j5Qls<2YF)hG8qNh&a zUrNrFN}v8pAHDj0p^|fn(x=hYZ(eujj%+}mg%v(~Dt(?*`n;v^*<0cBILZl!kC4Ac z^*9A!lW>XO-d1l@5wa1U!`?kypw3EmVu zRd)x-Nz0`_qA34W`p6y8c*j}rRNbvOP4M8`1w~nPcY&ZZez$_x0)O!w>yDzby7`!J zs)#nuy-N)F;Um(kxrRjaaf5bDg~Q9)9WTpeU4)X$6v|PiOePW@MD=n8D19)jikR7% z_b+_;A@<&!msRLP&uxXn*PT{eiBj-%>~0QOOE^e!@m}jfvfRnz--blF3Lg3XUgwSv zV)5Hc))-2iF(RQ3BsEAbY8fbAEaySz!h=&ZkES#xd7zN&-_=kq>jB|A3G5(hQT1*^ z(um7(PND&z*K)ta$QE*XTh(K&zo^pn_($J&cv$7?Bcc{Q_a|zK?-=*$FiU9UK8Sp? zBEY&rGX7?w(q}iN&&49w_wPQ)b_$>ER4r~*HC;vYrvU4S{=&HvywbnQREn)@%uz&o$o~rQ8=^b zpdnFx?0tt$^TS8B&^M7RPYJtu3kr>+!r^(s`vwahN-yg(InNS!5*U~xMhRY@uDx@V zE0D)q5F>M}nikyeOsVx2bAO%m5OuS{u_@sdFFX#R(bZ&xO1O?wV zFDSUHGnY&yczqsIJd3E(Ulcr5zXB}pS30M68&WHC!~p(|l1UdjNS~@-qn5puMy&2O z!u(uv6YL}?&iz)@LXUd^?p69wC(I=S$QWLw5A_8+m;TLq-GTsmzs)424+djltFH@6 zl|Gv(ee_eJ`VDC-id+C>bx6z(-(KVgyruwGR_c>y%39eu+V>s~FNYCwOF%KAEaEAb zrTd-nc`o83$qC3^dCt9rbV?@;hzEaBH@^zGnjq{m4-|m6k%z7V#pmY~oM|cze=H;? z6>m%m?Oy2ftjN{TJ8RSboss|LIF`WWXi~fd>-1PaW*4EAo7Bj>NDn-a{k|2K^IhK= z`6F|u#{FwfH6kL542SoWk8;VO?g_|eO96iIRoPSO4sXL|N66I_Q4f?VROLGa@J%Bq zh2vaE4RZAFdjdS$N%xz6-XT=CYXDW+n@UGfi#;(Huc>qM<1mF&0Oa0OeYZV(LA5~a zioBdqmVV<+0<@4^E^9eb508*5ft&JJ7${XONMp9LoFId~y+xfUS_gk4Ecd~{1F#ZW z6kXBb?RjJ_IZ%qU4C=vW6XtB)5sO z@Xa2|TDmTh1dBo?a%(xYfk?8BiX`Xfc38+6NvHWi_LIPcxm6O;ThvAQ>RdtjRlZNS zpwNhsuITW&LOcu<=YA>VB%tNc2tN}N<;Yn!!0**+p_Jp?@0A>~mT-6t*A*Dzd|5@m z9kCkaTxq^WL{yUFf18r?;P1<*(kFy|@%opHB zg`w2Pxl5It6M}m9?J*ppFnn8K_)~@94v1k8<>f3JE~8|;2^(~0US^c-|Jyd2%bZmfFPPxG=UrS3={_{?lM<#?#| zSxL1Qy~&4i74>QaA3buQevqSzJ1rGHjf_CLR!$ioJpx$|i|0Jf$+hLCY;~o~6XGFJ zy+x7ha2~zi4`IiH^Z57Da7xvCR|sR`p>J=81amnThMx+_l!Fe+1rT@wMbGsTkRu1@ zLID3|VKSeKG(DDRE>Ul0f?`C7<3^7tM+WGExAckYplGLNR{)zlFx*FD0b zaM!frp_-P<3Rm>)YY|q73yLmX?g0j%ekaKCa%3$McvQ5Ge`_o8(cyD7vinH$JS`-H z+I`d>rL6Np0v}wn$001|!QK;xo}2=(s@ktL8x2qM!`JIRoH&(p-HGX(l0YzcqWWAD z@sA5G}+bZ+u2q}9I z+)JZ!9iu4n?Th%PHO2EgMY&v2&Qp|sD9YK2a;~5xAZNbLEg{AU_%xoSO~-IKuS`Jh@u;hJ zm?S`R5Uz6qyW~2fqFGeOeT+h%B>Po9o(n+Uc`SY%G1LOzpd!k0?tF#M{DRU1wBLqw z3332l!P!>1^plk2kY41%5LL3e1ms)P>h3_rL-(YDENYzu!Y@0MPDH7@OB6oOid+B# zl;k@Ek8@iKIrs}oA{V~bNKl$!H>A)})Ku>>rTss=7S7ElDEhq+_{)8Qr>^!sC9t*N zN#Nz2f?qj4uZemkYS$vbbpnk9!k(NU$GH{N3WHvk*pt}v!+Vn+`#l&K@Z0lDRFthz zpFs3HF9{9({chq3$m4G=h+NLeQIj8Ql_kHB<3m&`%en9WDwf_Ab45PvF3@OX6^r_~ zaCn^eRVy&`PRnq3o=>qSDpT|-7LBpPLAesR3O_jo;8#kr)Y;!VF6Rm}U!wZJUH7@BeW;fcx-FIlfY!r7u22H)1JVV*n+$)%z_7};H(xKj8hW$Sd@0i0kv)XyQ0+|-xR>K|-B6*yCxNwdL`h4Z3x^V!wFC;j(^6@8vB>3| zd?T52@?AQ5mrfJZ6wXxlGGesLG#p;T6>|-V>M74CN_e9}!ShJ2bD-e<4TVOVsF#07 z2jwWxm`kB?k;vuTVtI~`3*QVQY6>8Enn3P)t-C`M8r>8c^o${CNQXxQO)MUsLib$4 z;VEGii>O4w_o4@eoPv9;@r<_xK!|LiUJ2+Oq`gEg=blvfOcp%F`dEcjq_Dc{VOaTi^~pQ_b_fDlBYD%Q%ihs4x%LAt0=S^!IvG%3Rgwx`W+p#CKLF) zhO|>I9G>!0js{VQGArCY(f!Uu)ps{?_k`|XhQp(AuI9G94bVgZu@fG zg<6EN?EV)=4Bv^0ZNw>tPZ^DElx4OE#1c48@Hlr=hj?}ta>$ye=NBF2(f0?n&ei(( z5<_G|=N2)_?hks8@=;yiq5EOnADkoaPeWYQ(b(UAFIx+~hCx^h*$?+P{4KWJ<|(J| zoBo(nin2ogUQqhyk^{x>uq7FEcsXR5<+8f@keN*M}_@_;x>A zjW?DTa-7=&$1P=j^xlKpu^`Ul+{U672{gj<2O-Dn&n0&r1z#IBU7f4-@j8Uq@>JfY zMD?gzZ24iv7H)_7eHXaWC1yZL#U1d4#2O=jzSsYpx|e;cuvPp;@7xGUCvSCEse9S_ zUcbJVeJDnNa=kk1UjKL$TbBHVSo5sf3$cm9r(#Z|@VQgr69RaF&!Y;T1w`HP7r2y$ zCkP(r_D~wmEqDO#R~qVFA6qDVwEfTb3@}P*sO@L8 z(vU2?jPpZELy{8?UyA{FTe?i4tFj#Vz8&WdP-U$rC{1uo-lG+DPsOMJ--|B#cl};R zyh|>4I=UZVbgm)QkLq4`^3ClX-4F1ds8>h#OI$7F;4c@8x;yurpy0hfK}q0f(H2#| z82eY1YYm0Z`$C^2+u2yQjkSceB=Dk;jPFJea`0^uLPLC$hM+XTB$PvKRoKrDb-x5C z#I6!(;1dUe(vFUQJTlOZ*b*}^WX}e&8>4B$eKgr8N=L8l}_+T(D zX_#ccpu}~?-;+}K$hV&*&`;LjiQk?w5^)YhPm#629kw}7u$Cly9-rq5qi9d_a`gUix~CZq&+|;U z#BWboS?TkVu!PRrx3h;T>kLI{WIqzZEHHdXQ3k1+&WjXoKltl@n2S@2ejp(4Z^liV z2i;9cmJ}^H%Ov!Xxe6XSqxNb~j_33|ULQ{J=e!n;QRv<=&*hxlVcP`vshF^W$d$m} zf&$qyS!K!8rksLzmsBo!kG^8 zv868WO_LN)U)CI+=c!zB(8sw?1rP4!%Xz3KKG(~5MmYkdvHdOdJQ_#Cxn5Wnr!=;| z<$BSh;V&@>opF^9ErB$o!|Tiz@N!BcyL}cBcfheMQ47DKNaYl093$)yvfs7mHFfSd zAqSsSQfN>s^IT0pI&(_h{XwBIR-y5!LgPV{Oghuy@%i7`?bA!u9jA=(@Mx@}Fbq!* z5hL;7?++^5*c_?6bpU>x%Oxu1!X37vy#THdx$u`_6h;4v&?+dImxb@4Qnv9XE~j#y z1oT|^Ez~DhGPi_^r>6r0etUeD$V;aLkH+J4njgNVtKti@9YGdqHIHtA;Wv02qcfsTER=i+jhdU)z<8p@(?q7-EX3Ukt& zhf<28kP~RrqLlTyBhOOQOTM2CU~$xm=koJq%2?$3D8C96T)R-YaC24(RevXxo`^hi%q0LYtoE&y7cBdK(dWdC%zzYg`Ht8y;#i!$Y5A;(K7^GG><9L{-g zkB85geR`b3c%DeU?s6?9$)0#B%c18=I0b)c5%ZTRHw&HHv-xta*_51Hg&fjUmL(|( zQ1s)H@ogK#lpntC6pe|8h`#Rg;~CGDRQ#3=Suf`S^@Kiv++XK^g*-1jE6bmk~7A_-YqN04M#!*!FaQM<`=T$g7h1UJb6pBdU@I03& z9xa*1#^La}9toHD?J1uq4Zr_;LFuiMR1s=>s)e>*UrlZs1)?*OOTRDIOAepq(uqox zqO3q^&z`xQaPGf->u_2@;q~(G{3O1jUR~99*WL8{?gVCb-yJaW_*{}hU7!)L36!jX zgn#LAFRhc5ai$p%QAnO|QbRN)KfvuwtGgP~vT2V$0>s%>7zdGE?-3{YXWC zqi`=eX%}?X{^jBuHA5}@dL3QS;U%MB5&6Sz%)o_1a5eI8w=32D|*S+|$xsV?Qz+uh zc|s)f<@>T|Y!ePop&q|Xp%H92JdYl4T!jq0EQq`5C@1B@bx2_eNyQqh9&1tlvgCag zMfYgGQ?+2A=JrE6k#stIy$~=#X(X4I;6J?_{eIduQFopvl+I~hj;7qAtcBjVRmNv0 z9B-5ku&c;d%OpOZ@hf4HZQ{3o}<gR@66@`8$ zJrI3a+lEX0_LR*PWiv$y>yVV-bA|in1!V)3Yh^{zqtlU!=O>D?m7-{#;fhDsYk=Zu zRTM2pQ--Kq8u?AyP6&>Dp^cz`^Ry=yGGQ9J%kh8POrQcIJUhxddH1Qu^pIh_x zEF{0AC@fQ6i$*9DRUa4LmRXdg$0UtN);!hyY2foUC3`JX!hH~;dV7`;37;lVxxxxn zk*f_)x#uR?&PGmwc;}j^R8}zq3KV@Wn|2_|n1F<=79p2j_bXOG3!czf1&Z&5sRnfT zy6X`mH6Z0Ea-l(GS4FO%PoRX7d`@3eW(av%x);`cH^=adVv$crMpD z)WW$}Q6bKQzo>%SvnVGWzO2XatjrVEdjpSisgRS*R5|p7HP^7}ot9UNS|o6!%5{R^ zX+%y}@`QJEd|RA53!nc(ljb;+UXmj3x>oV%T%AQ}rU&m zWu9GCF1^oF?h~%kr;(aoqDm)8WqmXyZGcDTk~Q_wkC%mSepC9;J5@Lj-jOHK`QhV_ zezN0kOfTmO&kj&VpKD)yb|4p%Qw|T8k>_MZIa*PAup9Ya@-d1h-0>luhzIve2p;F? zy_bRLXWma4(ST9rozkMx+D#~VxvazBJQIzczWt5_fP!zp-u%+VB6g}(L zexcVubiMQ{gr;n&^a(tL{m2yt=blpI)j>**wx5j@58as!hi|V?I#E4Eum5ZivP7N- z(53^?=h``3MxFr;P;^-uXI=WrO0umr@%SUxqnz6em;M82zP)IDl`M{^L~*V!o01VF zftB!+cnZsWR#5OwbgCyJALO|JUd3GH(w9_gDYSZ7)<^cs_l*S zhp4_@`=QQsRZyP7E7CAf@D2Gng{U5%x$^XahtjwlsMs?(f6ha^Ue4b|-II(?ata>o zzB27XS@?@!n5$gYV}b`@OVt)(Z$^*)i2N9ol7qC>8)&I6H&T;-?DRhpYTp7 zvRn(jAL$8Pn4psBAjAMBDSZy{$&m*~AQ`78`&`;KD3Va=#N~PZm`e`$B=Cr`jg#{n zfye)@qAw}5DfLa|dg<1=R<+I@dFeqP=ep-*1q%MMhT@^sLS7dBcBh~KoTDi8JbpNQ z-Je%Hm*6r@ndee=GwJ`ccOG!IR7L*p0R=%3kf0*@k&_6Dib{@xSrLrDqU$QIe_eGI zMO07;2G+2v;)*W1M%Y!-N_qx@0VQV%f@=T~T@gj}-G6<%s_yCP>NoGsyqS43yuP1L z-@f;E>eQ)Ir%tXut+Cb;0#b(#MCLml#gP0iw*2hdV340LfK4-B`YfI^*VK8QF(NFP zM|s+(tc=y~n~1U2OtIXn96C*FJ)?m;$XGhHeyrLLa``w-_7NHkPL{YaUyNHK@}8QD zerf858Vwl8V8A=?ltPiB=R(8itI1j3TA136U0O7{=L-)$nmWVYCLd{AQV()uvM9V3 z{iA%~5s}MUxeyQkWMh+CMW=6yY5AGMpeoNl$|7T=$>$_*lG>loE$?xh&vpec&aW1l zsIk{K7P~d|=gTP0H3FitG-Hibr==MO&nb9v%IDCe!_TI&;N2E6)(M7R&q!RRJ_oOvi+m2ACfnpAZ^t(MfFh5ahu_NAVBqJkI*p70WV^JPmOLx; z>vsLxHCfaPr2lHhs;Vc8LrD|qDhs4;(v)0)st)f>n%_Cq6wc=LkH&ghE2mZU9pM;h ze60zTSc5^k_Z+LfVX<5uISEq`{&}ng1D@jd4}8X|+%w{h8EKaDzj9ZZZ!ylEmYixL zocULfAEbP>pZKn-^-`=?v{J9CYf|cKwQ?aRCyUTdrV_4Y`=_9acrWb8VDK{e*_2tI zE+^b`F;>xo@Xuo{7#!A0eXR1;W~Uhp+Ox>9>e7@iG1Xvo`ILjI`gYRcuLWt!Tvgj9 z9p0Jx?t4=$xC~+KqxyM9vekW4tVc_XrZxtHTU)spt2QvM(80NQdJ_O5C!8CNBV*Ot z@-uSAGM!BM~*c2mQ!{M z=hq~8^2xxx1zV|>0t1x7NTho!(&y|Pg8CBcP^lv%1w6oC23fjG*$I1$pr|!$h(|)BM!Aqn#C!>$a$UrYs>uemVUZQ@GfD}%;{Kl zNNb!h7mMy;|Hpk{$S9{PKU`kY)6`g1|v^UAa$-FqXbhR~s$=pt9t7=!LuUQz{HHHDbXSjCu2c{K*_ zR==d!L#!@O1JY`8?xK056UcpG)|>hC<*sUbu$6n$R+lC(lq034#JnFZ1ssugv}ok5 zG%cFRo*LawYqEU&tUPQ}Epu|-K0Yt-_Gc7%y1aCHFBG*ZZCB^Dtfi`YFO;I6((4uL z#plF7s>8;@(n5PH8LqZ^y^OR^>5=t$Pe|^X*2alX?%x|*3Kol=(ODIln=OgFJ2=t6 zC(=*{BOe)xw%VwRTgYH=XDQ%=^^uIUV_ud=ggF^-CIaLF?+0fRf}dY zIH{G>$)3D@{uJ?5u zg|lziamK^>R8{s3d$4*5=JUfJntF5giDJ2bFKH}$2_BU+&p6yaTJ9P7fD_1FY3^tY zCKP#3qB+tKFTpM^!K;%z$0R=OnSz{xE;KRk*Cu(|{f?ZQnmB)Ugw)@kVr6aYn^J#w zl91BjQ?&6N`{&4D@S)@{$}lG8^gfu_M>YQ&Nj=iwe;AQ_J&N2l)+SYQ$ z<@31ICcHJJmD_~2Z_i-BTLwI$a?WkSu|g2@mD_~5>Mz>N*I;mZvV?pld>-MSnk?bM zq_I)j1TQbjL(5`vZNhtDU?1h<_Csz#y4r**lO=S^)6`g3n{Y#_mAOqoKmJh(KQlt= zxs9T?@{jU)c1ryyA&4?}w+T%?gTbR@y46Ig;j?C-q%|0<*qBPGRu2`lnbuf^pH&kX z430^Ciu)Qtr@3hR(#RfJ+R=EhdhYq@RYlJiw(`EDJ@+n^a*DQbiIsAZc_zI&k8A0t zs?JUF?CAo_U2XNhQZBAZvB)V_>G`Uv_LD*RoiBP$1u=>}x7RKPgNImnp=qxbR8{sp zkfnl}E8MjXuGY%MSe5-ZI6IVdJgu?nPSIEs$@!|PH>P^({t3=U_9j}XSJg8R%|2R} z-)<=bs?2MrcH`wq!nU{JU~p`bM>Z8(x#+z*i>=N+ug=3Udi$ttd@tF?KFKyVZk6(A z9=|6`I?=-Mfr+V)rFP?-B+p(RMefKvR^@i%suYV(nAC}^IsL5Bc-@in{luP|5{^}0 zw-`=ScsQTI;5Ej%(BO;JqbYn2wzM1>t3HruyodF)#?o2tRdqy4{gRY= zUX$4*?SRi;7D@D@8rxLWmAJqRKN<_Slo;z4$w?Eb>R3&g$Excs7O3WQUE}LWRXu5#lI!PG z<6LO`DS(39*@{(F{zNJPq%|1eJLhDYdfoxwLCGcmb)&Pjw5oKv zsjNpO+nD{{;Ey$JkUiC5+beji+J->;KvPwl$v-p(sIg8ljXEM;i$+uQ{IP`0MQ?Cq zj@H8Yb^cNPcq{68@A3veKD9QFaVBzCKCT}E6gknfUXW3I$WLh13u3oRP%-@`t%i64 zYU+f>a(BdYs{0svCmX=-Dv+FrVlCQ?=2 zrUf=1q8(n@`-Zv6=Nw8kn~0tphz3Ihc8(X^P|L`0kxhaaVp#|v6Cje)0WW8H@i zBN_7h0vOVJ%a{JWs$P+_`qiYdtr}HT%VL|ZFs-zX;szzLe0N|+?Vo|-&nnGs<}^YrCwE6)RO3z zYAnzC`zH>Xn$J%h?3?QHEiFr^svFE}8cnO7k7*RVBDYxyJI!FQzWi*K`&b1H;cf|I z)%;eh1%vOkQXi{mdx=3z(vr8z^3y&bW7YTNyNOhlk19PadCD(Y6mRZ}vGVD!C#6`s zr7`vqNgM6wcdF`nDc1i>v$p)4rsv&rF;+ptrywiYKTx!>VDLA7+DG-n6B$v5xh`+y zQRGgns^dMO`zLMioQp@)Sicg4v|PffVka~rhslCnjMYE+qWVxBPVUJU*C==8Gb$2o zqa90%ukl%2&P_`woZVU!Y09G~wh zX6l2%-7P+2)%wZi^V%u9oc>YH$0g232mxt%zQ(HOnmh$*ubeCxuqwjGX_l$(v{;~| zr7Pt8q_cvYpLpY8GCw~R$f`kTD{tG>S--gWn1<2XHR`FXs6y~tJp@A=hlQY`v;Zzi;2d0 zc7hGl-YP!Z_zxo*ro}ELM}Is^)T^OHNd) z?LK!f>~oz)c7ap5Hzonb=fsB6lyjzW{W<6IKIrj~+z)=Y!AR3uH%aL0V}*0BDbwrE z??S4CoJHUtMIP;c<*rBrFeJAClF?S1;I>R``)%tWEiqks@16%EHfcVdSoSvgSXVXy&4Y-<(RPvFvAg&ozz_nrC12BR~sj z&)Uk{!-=V}@(y^TNNbw0`hCYStvvffW^dWyJQ%d?uxmv+w`}t~p`nDi{mJz-*X4B* zpPiC~JGN-XDtx*eRQ;TVYnpu&d45`PUEc}ht~7aWo@X4dUE-W$&-hA_K9=C*p4dgJ znUAXo{ft%UFsA-I!6P!cC)BRA)ZwLN(JfCit(krAz~tp=>aeQVo%E09bn-UV)J9d! zv?Y)WFE3fa^GwN|e97uc3u*i{nl1$IEXP$p{*U|8hP3w-n!0dpJhuUkuAfm>`5KHD zOY^yR=;j>lIs54mE7CBwiO5X}IV8o(eEvJd$}|~a1tzARX);2YE-DsfnrYz0M@#u+ z;iLZ@@qdM8a>(Nw(MGqZMx+T?OZK3c2p@EWgw0W=YD3NmO!_&h!CLe6&k4!TupF6a z@|zLz^F%q;`x2jR(o;4UH70T@;oYsX#j5JWH0FO-;{4Wx3{6Esl=Bl)>Thm1LnzJB ziBF!NK202VoJ?b7dAgrsi?OoC-kWkd)+lIAE5{l|&le^=|2paU<0VHf#=)BVP=9JbAt$Y<9JkNVId~b3=a;$ltak;BJtRzQE zI3ZcW4apMrNqo*o5X#+`{HP^!Ro$G@`jgrbgs;Vth>Urn-=){?4F#V(2c#8j(yCMf9 z3vZAZ&4<=6n8tddY*ag@$k~a{#~T$@Y3`8?gBa_JsTO>~a!LsiYpgn`MKc)eAb2dn z_DP{*=`2%uIwsYxH?=rd)yw9&e6FAEZfVQ>_|$)0oLa4%ueMibRdMP#x$vA;RX$Pk zKC#0{^8wlLj(N{#Y?6lyPlS_f6+4T;Z}J<5>gz(jLX z%6s;e%}=CWn)u{V!G0S-uWVtzQE$8u!*9wrWGam7D&?*U>+UeBS@c zU6IQYvR2Az=JSFS>!T?a=VSXv(^@{Yq8`p&Y4RHcIPKX#O0#!r*>ZchN7C~@ITN`n zpZ$|OYb4uXM(-buwN7fs@|owkjXEjG<6OBb=U1h6>?5hI%O^tT6NcL@iJT|gNKDiE z`&7H9PtO}9jpg?{WX*4#v@toY?vU&!^O-(9|Ll+L7P6rXD|ac1Rx8@?H>ts@t>%7b zon+6scj)!p)M3A`*VM*T?igZuTxzSYlw{l%K}I`KbJTq_H+wjD?d!co@F>qzoeVtr zeY7aglIPRZVZW~zwc-D`t3BsAex_M^ki3qR^PWeHnI6`F)60mDis~@hqBN z&asDmTGG!bo<+Z~Sn$%aK&w&D@bM2cT_cdG@+=xvo@U;=Jd0){pQaAGyaXq2V@+*T z)l6Fg`EvUitJ*d{7@Xi4m%G|n16U~1k3dvQsi4Qb8(M9jBQ0k&B%hN9hiB2dQ{MB6^!pM`_UU>< z((~jzxOPr@K0A39ZJa#M4@jwJ&!Sw)%cMPp{6>S9HQZ~|ezI*`l=QRmw0UrSIpy>Z zDfL`}??^OjB|XnY53Ze(o{vg7J!S;t*hKS>Nzaq>l*{&fR}l0Cm9r9L@(erYP@ zS0sDR{(cuHZ5%5DUk9}o_(?UOAcMg_wR|(isxd)htdkPbeoDQnu1z~@ZO=64sdts9 zku4jqek!FkIWM@^Jfx(jX?;HJ7*5U$PKv#ypKqqUzt^SI-<*68@_zU9dBJU8lwo@Q zjv$Qd2vAEH#UHXUVKqJtlQ-nnWC^>b)Ej`s`d-p%wz_;qeLl;geKOuy_0h&6h+4wm zrG4s~Q|gUQ#w2`t15!TMq*%XAmhkPA`dG5V_9=W-_5PGr-hIp7n7>P@|1?RM&*5BC z(u$Vw-qa>!&QE9*p=x9H`$MkWP@>BGK&q8_tzfGBA=gZ)e|L%cLw+;0QQ1fOtH~11 zNj8?Bb^TdE^7fQ^!=S9jU7q+Hk&p$crZA(A_RRAs{-NB*su!lzzn*GkKB*>K z!s#jXPbUd~kTmw;l=^RzC449GS))}JRfP|5GhbDecRe1JEZk#o*IMwS)JEMUVNr)G zv~oIDWiMP&VN0H!8;NOJt0Z|w@r-R$9g}&(#QDaA0K{ByqMd)#5}uaweo^Wj@|&I4 zb0%`vd|j20ocGI;C2XBi|LCY6z`qoh5vl+87M(Y|)5#DJ^NR zTd_F(qsd3l?sHb8HCBn6-k^z8)nWcu?nNF46%jZ5R;)Zr`hOl}$mgFM>QFu|9i)o9 zFL55lue*I_Y*qa}rM_hSx?hvz$+Oy{Q{Hz?vF0b5-IJ~6bEL0HJz8UEW18PArIqK5 zjRLe-8zy-^n2?nd=Unb@NU^@0VjY-hX1`DSVGTvAh36V~Ldw_PDevD(G}$9MpWB>A zGTrASdvYpaF0VX?ePS9PIuGv3=lF)XER6FFDPQfeUsdIK_rsFS=ku2Hcz&aXh$_!5 zDfR4|@wgQ0c_WO_mgZ*}8VWN@m`UV^jl{H+pP5QIuaD&#M=$KGlusVP=I0_0@hEcF zSlNE^u41qks7!{4fGr8-LAMsts3;cehlytYMq(;+eugEl5@*hNbq-$||0w4? za`15ON^?$2!C(}&M z!~f(F^!%+xbG)#8J*^?o!f5h2Cyhu}UhisjsLJQ{jb&>^MtQRJb&ak_V?A+$lA0pF zyS{B>dDeDPnw#en1KyZ)n9oi9Qp(rmX`XVe3`$%^mC*ljS7ekPtx;SHtp%g->3c@i zziNJc{ZoG{GP&No@4uQ_ojz?`l6uC?`kt|2y$y3-Xe-h8j7?k38XM&)fXU4r_Gl!g zt<908M0b@=c! zde1sMEsdWu%|Q)F>qP^w^5R{qjxLO5xe;hC7=h-CY4q+Xb6;5M4fp4T((r~doRm=9 zujOJQ^AeG0nfjpuhA>}{i``+RQ41sn$kFn}eFd4f=sRNJv#dbew-i2vo-UX8DA(Ur zb*s=WsBsYec-VOVzFzBT6w1g&PrLJY>U-w$-ysWqggjM%A|Y#rXh1b{y3w%eE$1^D zDji)NQ~ivb`}ZZZ#N5efSpC(QXyq2UT+6CG(3|R*;QafD-J2R*W|;OzYi(B*5@~9r zznO@}UdC@lUgZn=2|<+xzE6~xp0?(9)U-W^2S|zwYTbbBAYUF*^mmMesCEeZcZpG` z1dxx%IYZ=8ErXmPU*zE6TH{Xh8$n7g?=hN5V>@0;V4K(DKSu8fp=O^ zeoI9&iTLLnbf*G@i#<`K)7=4=^I$=WoL@7Vx7T;4`Kjc$XhwqM14r^eyX11IwSbNy zmvcW<=$Cfx*biFN)1zE7XmMehLI0!beI~gh7uV#i?bvawZT93k)-f-!L&qtxQ>>;) z6JYHsHDz_vmw=5LEMUVPT&MWKk`i`NTBFi>!*2(nK{nQ^OML`^OKEBNhoU`1!yO$j z6=-%cNlG6<+JwLlIrSf?r=U_p!^MXXwRlH)+K04t=Mj{DPnIw4x~s}BE}kansvX37 zreqBodf(E*uO>mIt=ruAe5I!KwEiN|s4qK7F=;yRxw1~nY0eY`nimaQb7&-~0qCxB zm6)`sCC$|vG)1mLgT5HYpqVS=UP_Q1chbL3Q2oU}KG6Oppe&;zk?wdy$A!OUK}m_r zZNTg60Ya*&LVJ<$B~SFuMb{q_nv$oZ8Z;$Og@#mqB*`ErW4=Q3B|!>T`6C}v<~?T?x2M>qsX(0&>&CjO`k3Z+^!mO;2$4oV{eM35_+&)CAG8U zH?pXu5>9H{bfz{+9|;F8FP+i*ZcqDo(|pY7^rwv$J}dd=jumM>(8i{VrH#7ugi9{H zQS;PuC}qKp_^6ts9e}p9*b~*{?Bsxj&XX_hKOR~t|F)NF6cjzI+iBIap+(7BpSc!g zD$}MU{zJ6PU7uOab%<3}oDr4P0jzfd|@RA6NJ%fPHqGlPR(tJk< z$;peuJm)JSuA{1Hta3NGC>3Tc1s!$P0taX($AQ>fw5M?J(X!5EJoF^VMLV=ex~j!x zhN5LjJiKj*16pXmCItmrS`1GEl&Mmo1%~KP-V7^jhHCo-#biS1KZyGT;mmKj*)RU_A-yQTW_1DbOqL(Mg7xy?0 zdA=YSJ*thCUSG%PJpsb`ICBtBIN~HO$Uw6b@;_q2i4#F`liLWtL6v7 zh2IafrH26JA1LFBQdd}u1J$Sf_{DXhT%~*-CjUx|9W93P^E6qvBIaKtRB0)R%jBZ$ z7G)D&rkW=I7QftGvZJNkkqjMaeQ4^4(U!^!%|;T$sPGoiYe6?ObU}?m$91%zMMvba zVbfY&K?IuH7qO7Nc0thrXxaS*^*O3OosA5jzS4KM{8nv)(o;cE zMzZ|Fg5Xwwg`XaRb8&~mhq>DAA^u&>)^%62XL{YkNnVuzGA zS80`yl70=e?1aGq4}qY)Rkn`hw2PY;`0P;U!AE2uTzLeAOyrl)GHPq0^jk%0ej{HT_hr7& z@V-s%ai&e*1o}Ucs{Z01Z-6fS1=5r-Y@z(qFuu9=l0f4BSDjx+eGc^HIwmMIPm>?q z^}WcB9w-p#UV-+-0x>?>U^u#f=64babd?$f;lgLF$gbzhI`?-8S7PWIr=zDCpXUYc zLR!;qF7%o^xL;@Jp*8Aho*@6qXo}GZsSr~wca)fv^4by;+td<7KG46&1*-n7j#B3Q zUR|zi5sZBc>hpux%V1$hwkX41jj5e>nGtD`;aQei zT-D?Wb7WwRThm7d+KFNX^!g=CXDm>0X_(8ij&K2`mXxx4w$YwZr{T0uFf`Vv#iJ#k zYe_2PsCy(F`}s4a#vu>%THbJYy#3Y_CkW@_10CM9#WpBW&^T%aCC=Z;RcQS;^94oG z)nnv~`}~0BT90I`aV$J<6Ym8*Z?kXwFn97o+5MZ83-@9%{PSHj+H);$%5dH^lp%b_ zAKy++pFQ7FZc9eLvAybNL0TS5dWJ{s<}KvhZyeTc{_QLm_wNOCBSWW>mb$s-xNS+a zo!Q&hz09=pg`v*K+xUH5%-iDC3wpuX*8|S=98wETk`m>9@vvn1H<^BMDz&lbUTtPm zn_Yb~CA7O-+}Up_Bh|RyLQq|{GVfbbw4}?mxO+a%=OvOU%?(JM`TCn*qwF2cHa^IW zwX^ELyn)q`GNPHe)gWYG?PW>JfE?%~&cl7psH_e_$83KTTFQJ4`Qko*s9*l&ehqY2 zxwtQ?O@wAgqm6Uqxax$A&~7>+E%YxEIf+wdCZKW7NjTRE5>)C0`%I-p*i3#y!zxsn z?@xXe3MtV2dUEl5Pq~V{;{OZ!lp)GL=HuAal|$WwK2a{vqB+p5<>F2n`pf@u=PKy^ zvs_2M56BKi$ra~9l5a-HS~ir=b@ElpFs|wyDKS`YS|gO&@l4Q z9>IO4RtHIQa_fqV7jwQuC!Yt_eWd69>+%Eig@S6yk;<11g|?uX7Tfi*XQi{0{irLQ zaQKbc$>ce(?un(vwluG4k{WI4sI;#ZWk5ULIwhKfZ?~srT+?(~_umxtnttKHMQRas z{g%BCN@xT5;$GSm{w>~$B^;(>C3GhE&L3)$)MD>})*J3C7e(9G3+>-%Pxw&ZrfUZ#){7TN zN|ffAp_SXx(D7&~Gp>~+ruQvy;;nmn@(iIz$smYO`WQk#%vT+c(6?A9{gTHmp%aVy zzQFn2t#pqMp>J=cJ4#&dVc#rq`OSW*{&G!##vYc@gVUA~4D>TYOQs(wU)(i)cQ~8x z_kU5PW@%Bo+9GysrAVb{Y3)7VD1xFkv9+jLZLOL~jM~&5A==ubgqk5@OOdD$gp*+{s_<|V$+J) zJ(60F-0O^h$1Z~R_L5MO2@T(D$S9kv8K+&t+8%u&bU4R;CP3*i_=)hqh(5uu^u0ST zRqO-6>ZL{^kqX@6N@b@V%gzNJrn?qqsX~#gHl`Njl4-1T(F-n8|H=GbBjiWCuW2^> zajHpM!J7pWN$u?9TMbErv<|yWa>qOa45CTmQ1DT_uSCAtt?;`SCYg@ZxS?>wQTPas z-JkySspXXhSBL~Js)?af3igDP4)5z&s*^a~^>AikTKVy1?v$!t#-DZ?NR`dPRI{z? zVuJ_w3ZTfR@t_XYFq1o~#)2B-f`Ek~Z-MGFFGAnJbD7*e_XU|3+2`$k>Q!EouS8J8 z`?Ai)&O{*wRuMiw;kYgDIAk?4Fsq^+ zK9$-Fg1YcX(mf8Sbqv>~Z?gz?m+hx`{H^6q=gY@M-_viG&fk`ibyf}uH`2068mOaV zL1doif60~cQa9Y9gC9Ox`?zT($LEvUVqo=3BcU?q-eD2D4xRe}jB?t^;S8rS-zi3+ zBKi7{{e@rca`sNHTT@fu7kxc*@%qRi_xY#yo%hs)YM)!1O#$yRMrAfrrYqb^|NLxO z*P4PWH0YMjGxdvAjY?X1Zhs?PaMORH{bFD`+aHmFZE~9zs~`eme!WcHyW45FKwJA? zcT0At&9*}ewVc{*WFq`ZRvZK_aKils-3eiCoS10Ije5IYs_~Th6TO~2BafXMZZjSr z_k?>Oyeq1}xp9mEH@8}NejU9@Z~VqzS6VhB!^G>$ByffimmgPi8--xNG;1aNJ_4N@ zA=d4yoVMu;P2T5QT-_E5=m^(THsbT<=y&UK5$PwzU&*8&;B`{UD*riuGca&rf#q!* zqM&!%?SYo+(Z@#=q*=Ltf|0Y#XousXFVPeAF@a+d?DB+IswNN7QzO5&GQUP!HSdt7 zhh|nUzX`pM$k{;FtPd>ltr$PP5nl_9QOXD#TrK#OXLF&k53_pMBsE|v&dZzi$WdYk z+HCZ8$;$r;0A};bXj5<4^ths>2{r67mlr4n8oP<}V@$?d61RvuYl(K|Sx*ePd>&qC z88QMYX(~*?e^tg4GUKn%L#WDlv_KvY$j1#B^yu)T0NwAOzMs(vp!6@6+Q?RI>>!4k zLRB5LQ9zLcN;+N>koe*$c;%S#*#V;ct2pYJtRggfK19R(>i&8Usovr5p0{>et9E3z zD;LBJww~<-?oxOra+SPpFCK~Ng`P{O#Se~|0z7@Cvy=>E2=caQrvDk{h~M8APBx2% zW)(sQp)6oUSth?|sr6>B(pRHRX04%PSGU9x)8Yl6rTB?GVL8vgoMud1&7t?;U>5R( z9i)CNdE4a`O#Tby*IWU`Izy}q-)028}`0tT$8s{zj9KF}a6RO=; z-3HA6@<(>ofA(>ND!q`@qO8)*_$(m+b~~FXdHz?|SHg|c!u%})0Quo^U+1@#DYCWS z@U$Q%sz7D(;Z-mP3sH*t@D@m)31`g6TQa)q(#Ub0NlLnLGGrpqyS>~79=kFBy{=Gbuly|fos&t&G()!@y`kZhp)nx&#yv#QtvM^rI%$?KU{L)CLpTP;%d zs+&!fhxcv)vk@_wpM?OG)-Y!^%Cm={$cK3*G6vAwAbW#MPug`+Knc~ac8{mwolsOv zJ(_~dAT&KWkHu2>K2=$42Q1t8%_|dbdBXl`50XO=h;ytPKPq^Tmu&q;DgQiZY0!<3t+ zq26MV+VK~s2hxdYT?xfO@1MW(nn~A6(CM_lEnAQ=?g5bDu{!| z?B?G09WQd+igCwMubs^gsPNuv{EbzjY?II;$Ez9`kxN2^WAc2zwc^nmfIf5x^Gd!@ejlM;xBQb_S(F)&32B#evf1I?IZZbj8Uy{?v@4zEAt5 z+M?*W=FLR`bNd4DszT+i(?z*NE`R@UudRtY_5uPtWYi%|J~AM_Nb=r*5nPy1@7T8~ z9Pl*hD_DCwQpe z_>Vp8@Cat1`?SjuyjKu?L+l|3^Ml@i82bd5@(s&?b5o<|88A;^qd`qk zmy6&T1{@|%tx)Slf>^;#z{9xPTGoXo7k>({t8R(3ssk*AocoGC-t?QFum%j++ME#| zD9Hq5e`|je;H@T^Q|hT%FJm4f_24#&ab2{r#gAE?jnR-b-_Tm457|wV8uFAJS^q?oIh~kT;%@^q zDNZ}{S_7LN>CF7BFhd@C_oliR^cH*F*$%?~=BC_2e8Ym#O?Mtu3`F6 zE7doLhIqi8~yju9q zZqjZS^XYxk#(t_2N!;?tz-16zY7a6%sE$?@gK2V4Kfvo=1*miNNtc)&A7`O03?e0E zo2RVlyifYk^-#ET2h*z{#^Cbt2FLo7N1 zAZX<6Ub4G9o`K4rIebSFE*&Z{b#&rry4V79~u!c*HPZ9&p#z`Ml}zn<(ZwS_<$pJWBqG z@%wv}2XTNx{f_t=}I#7kukd0*t~Mm|+% zI7^B$DgqMe0Ad{3na3>YmKMvpuc(vDNdpGcn{*PLB8|isWtS^Z@y40+t`ZD|v!0f| z(exXj8$U1vP43{+4tKt|CQ;236h@8&c3;(JP;G^a7u=4`lxI`=^?}hk^#M2P+k+3wf(a> zG>-ReC!(4AN_$X@sjzv=VHN)~{P{>OhAuE=NJmwkf^7UJQPXuei6i{87DO=9YWe^R#Gky z=F&bV=@o1V)KHzjDaVGG;CliBv6dey*GQu^TNRo96|`UEzEpg%o7<`Z!-|i zQsH*8H-jjvh}ns8(5@bFnVYTEceUQcvUWL4uc%SwVlS zOo(gc6D+qzs#k1ZtF{#myk73Dc)Wk;=l!krNl}%qC^TYaWXnj;S$$j4u^UX;*jQOq zcxN26o*25L62yL84#KkIf}{T8o=q>#>HV=yXx9cu1K4Yu_V)CUzejK-A~vnmvknQ5 zJLx<=G#ZBAbju#$ySkx6!`zct^1k&?!^Dm61T(#*W^t)DKLcEWX+H3v`{PmszcnQ)3c@0D<&zzItyFK;GD=${q|b zLJ<`5rS*M%^B)FPsrPElY-jjeXG-+p%_X5>w9fX_qkLySmD6*mhKvIji0Q3XP+H4- z4}^Wc=h?X1!qif%1Ym?-)^L}9#nB+nr$3^7a{%@Q=~6QP?x^yZ(F4>vU~9#wGoEXa zMKPCiV3Fz=nbCLMMU;?K_y~ZmdhAIiGXSaj)&S|H??D%163z>x=l-hD5jQfe41BC+ zhXgDSck5j>JNCiMY5c`Nwahq|xJx-0Hz&jzIHP#DNntyWvZp?jj=L0sRdSypqG}ug z!U<*6GYalFDAm~`&=nUd{_fB+Uo4gN6Wp=@1*!7y4%uoqz*EZ%YdO2VoK4Iy%=FzT z)NPcW_lUa_O6p)UdzNcyrHNKGIC~c}VIDQX&XJxM^ARp@;7=j?A5{PvOPxN>)QFLJ zrmnjqHw>)3R^ddm->cb0sWWW9W;-zTuu+9WGIDJ0+_-yvWp$<4S*%&0q z-Q-y#AoGc@=$DMyj&^JX?~LMlB`qYeNaL2y^1~vf=WAViIyX<4JudD?%e)^xf!#F%hWU+Z9mPc$a$HBNCLMP~5j=6H`QQah zNX>WwH<&2Xn5&@X`d7a((tUu!mPhgm)z3+R!Jc^O8(j;{pcrSy8zR7(p0T-X9-z`3u!VdFBD)=OvQ~;l4mAdH?RHMHgHkMdt!a z`^F)2Tn!%z%hm1(#2l!ewW>h=gB{6EY3;cLVNW;7c(kCw@L~ty{2xBu*QDlOJj9WQl*EzOHMs9neSZGi;~BLcHZDZ1mrgjv{K&w`@DJqM6~#Pc+kPYrBi9Yzrly? zjA&YbkVbjWoo$`u>s-(uY2wTn+dFMw>P!Ir!05}e+nv52j2bet$f-wKfGaM>jumCg zKnetQdi9+tu<$Q~l6PIH?6qhIe$46~fC?0{B4MP8D))GwP`C9nHs7h(-LDJklT6Ls zC*1QQ0kr;vU9(T6sn(qzI9R)ukYWiVu}!Lt2l z-qLnK?!46XHgy$XKfE&ynryF)>zm}k^AVE^1G35OqGG&%^O-m}8W<``p0aUwp_-s#=gWs$N=TbE7>B8CT zk<>#&W^P4H@{Rm{<$;je>r?OUCRpA)f)j5UR=;LuaZgWeYoEE}#p%v!wR_}<#joZo z#bu8ui56@QbbK;#Qr}%IQ(D~P3y=5|WWI`0-B6ZA1-58O$uRj`EFlW&8 z=fhw|F*3nq&-$=%&7b#lg-?D?HT6i^(IyqG>DVnW>J_ATcmZcXors$9=${2|c2QOn zaXuLp2-Aqzl4Jhp?lMpLFCm=zi$Zg0(Z&nf{8%A`aiYTCFe!!*vVLsl5YsK0o+-_i zNy1dG;hX(ytLIbqKtbdhm}mEE>oAXz3jZ*_j1ncH#~M~nX8Mm6uQRFHrIxn^ZqT4H z;!PG_HCT&H;v;nHaeE7Ve4018=;ZIeNMM)BR|e_++`H&)^KH!_%O1$G1im!ROrvt{ zJPW+L9Lnl^Jvp#Lc$=gSSuW*Q=oV(!NhSK(} zc@t0hQTE&)I%f)|L5?oau|>-A{$bsl<6LEUBfCj2ls3h56aQgLoSG!;$^)lG;oQs5 z6l`>dsp@)ECOZqVlR0nti(OYmy#CjWj+=itt+4To;o3l~E7-fss)30feVXL989;$Q z^5{%+i(hP?<$NHB2ADmk8$$EqOty(HWZuMhAEsRT3ZGfHiMvT@TMEN4v6<{GYrlvk zh#7|(Tycq@6b~fw@svlc{Z#j&i>psb3sKtyzw-wDSZY$h{zWR{Iw4zH9d&$W`ikJ1 zBQ9%Z)neCGC;w4G$%7v(LIAWL^=AAbzhh+yJd)eF>n%q^eyc3bb8-%zoWs;*zB5fc z+KB0>TK0Tk<-oQR4G#o{n^x}}N2hGnGD!FxmTx3RV3;B4dutQlYdM0N5utY2xs-x0 z$VFnC!(fp;zbEl*syU$jklR(Z)4areUP7LbtERuhI-i7l0t$Uq5ja$HqkT)h+-h(9 z_yRR_r1qzR*BieINeFF7U*u2RlIILy-;^M4K@|c&o3*nx!u)t6N2nC?X7_=#na^;= zx_@wjacZeYoQz-Fe-U+uqt@RlnF4BCdrX15imS>==b?Rd_2;JkwRg3!*2JfmVPzEY z&mTM03DZnkqkExn@#>u7%eU2{5NGBuZOV`hi3jVv4~2&Y<=-!Q!1LD4M+XmNkKdQ2 zgsMc#n=5($m#2Doca|AM;(f?>wyb>TxaUar`jzODp@hiizo_X>Y1S(9SaG`*|FISI zESJ-+M6qh?-#cFDvaZK4#5#Sh8~hw~8&o!Y!m-MOeLWtr-A4nmiBwPQCq1VPuYNHl zo_62VUg$S#=)a7?@t^Dq8l43_MEpgA<pgr0v0eUJF6wW`-gh%1mnOB`Isa;pf#kS^@~mPpY%LC8HWc=10DkuZA) zoWJU-+-KKknbV(hW~w4aD%rO}L&ht#^Hm@1&re%@!_i~US3R&IL)ik6VM8&-FTVdn zikmR&uFTO6MTfA;M-S;ygPI7{}SH=xZlnAkusNvrL{!ELyx zvNCEVxJ=D-`%D$aRxy?eDJ$LdiIkYs)E@iZs@~!+QptMOVFsR5JEG5Sxg+{o&A5g& zDEt(|us#>h+U_NGXf2SQc9!Ea#t8Rl(imfgr@FqHJouaTjG!tH)0yBZyrfhG6D_-|E26@xr`r;OO zP$6-PTqs5ck|l6P_An)?#tCg)C-Utt(_Gbj`GU43g7H^|W8A;(l zbA>}@3fn=H`i;ZoxJwb3*j-2@FiY_;I!bL4)kW=Z38JCkmGys_Y43ieB;nN zPE8KRe78M}ykGodqb>(g@id32aWy7IiZu*Kp~oWC_$mTQyLmg7MTnv@B_J)uAP z%n8?=n0jT@7wFuhDC?x+ew)LO{;>05Uska`I`xwjVZ7y6IE^>kO;a;B%WkJMoW=xj2+1tT8kae>2=i7pAoI}XP1 zpOR|4VEYHOIN^AJAf$CX;sI<-8vVryOq6as|4r8XWwNqQyL4IF-o*MJVA%JzxM<_W zipMDd1u`%~$b`i1oPfOj(>2Vu*xii27BDNaW>A)rMk>*3@MEexPk|uEO;27U1lnkO zx?3UoShNT~YORR9V%k5uX<$u_oVkl7u%cy9b{>uEa+3RjQR~$zO}{DQt&_VqV_ws6 z$NMq~g<*Ex?3Ugp`kajYSQhMaAPGpQ2kYK(8b4t#;*NccQ*)3zmjJcU;pF4I?x3Jt z?dCvIwXXH`L*=4mZ|VIYX*>&fOcDK?a?2b1ku0@{K3V(*LIM`VeKs2<;}H}1zvtsRq88r(Is-m03z6%pzQJa=hGxm0U;DY|dDP`B zs{S@G#d7m~U_UUl4t}^CD^<#-fd~bTDW=;sp$a_6H$MH_unf$#H9-3r6fjBRW*AFI zA^^|ZjZ(}wk+@4+;1vdCPJQ?gWY3L?lt26z6|sO)ym9y-?$Q{j{u(z=bw&ZgavF>p z2y%2DmGeQija5gIj`E$ugSu{%7iB~+8XY~FpSkBI@wed;HSb*=AHisgcc`g?BS?#0 zfjl#2-@KClvUA#|v)nGyb$?~^YTp!!JL*+pMHA$|J>TZJo1HnSAkCC@V{#_B-F(Q4 zp|OUhuQJwKktT99P8tk%4%3kos^>E`)B5mNOp@a|GW0IC-i!i&8P^x^VAEilA9fV* zwKcE_>hqzzZOp+kBkEnP+#{sqV?LhW z5TiUIjl02)CTos+P)StU^(3sanhgN=Sj5AZ1LOJ%s?Ps{`MZ1S#$EaZzHL0<*4vdV zSDgZ{f@3ojA0}4Em9lHUEJ&o*us@L$EB^tvPQ!HmVS6ew1DSkS8Tl_+#Z!eFt?IZn z>cc~QiZmu7?ZWnd87DePV&xq%wYZ-_z#$~<#CgH9x$yWE=F>%zJ2jLxsw(>_;+g@aURtwvocoL8{sFMCVtGYs1o4! zm4E-(X7yI6+b)$Of>0g4qZw9Rh)_@QU{e$NK&m57e9r7tZ?x;+IN5}J8M$cZcwL2NQx7N<{InEPriD(|O^t*a77o~Tk))cDlxLaM>eP`u|Cd>Td#s;=a zY>5fT^A884@_$1Ih6U_R-|LS17GL%Px^B-8M!3@0<@l`O8dAW_ql)Nv)-S3sc2xJP zOW6evPBqt-@SrLXvVcoF#cqFm^dIGqGsn*0IC!1J+#l3H5C;f>7ifO8Na*p|TI_R( z0MP48p!J9!tNcDZnBcgJ&0V+aTgufDT|4n1uh$-VkW8A~2IajIHVrEub1Q`JMhqKf#zkR(Yl3B0oJ_)1_GV}0=Vr-@e& z8G8lXHz)T< zd8}0VW`h)(8(4Pf>ETzT3SI^!bXZ_DwoNfA;F!R@=C)87mBpB30N~ZoB1tN?$ zC>pm(fBth7XY`t?F_C=dW9y^Cf1CG)%6feBHItv=Ik>_!@GVZuYLl!GuON*ks7@0w zNSyN$1lB3u_MhhU!TU5sr>*1hoPh$X1!3#6jyI*D`qeEjny`!HfOh1U4nCrJihwJb zoiE-RvR+5#n}plkdecvG7!p+=y+60jSD`?YXLf~St04e{phbF%;keF%N-f!}Sn@o8 z3{RyBBdls@4vekIGXx3puw4Yg{l~~L=2CoQt>9rXv(i9cdD`ZZ?DXH9^Zv|0r?eKL zt;x3a7i8jPm>E$?K1DR|_`u;1@*!hmkRl**eX+1HsfaHO1IWA>{Wh2|(l^;#C#?hnZIzVJ<6W@2tV-d_xy5M0Q3hf3FAlb2)Onj?# zH}+}15PX+K`uPkfwHD+6EbB7nQwN3H3*dBoS!nL;7rm?M&#j7VLe0k(7Pr7*Jx8b$ zFG5hR93SM(r=$F8)JfcVixohv^I78G(FT82lU0ZsDStDPrQ*xj`g{8Nm|uHLZ93UX z+RUcE;rxi?Ri-iCZ7#V2`#Xu1g%U8|?&ah_9*s%)xpb zZ+Q;*1e94HsEGTYp+C(Qw#hBVb*K-VNv8FI)bD6?+Cjv85Ed40h>pHc+|B&cV!bSx zYzuByKi{Omt?FkZ2`w3X74kouF0~THTH@q7V^Io3_L${;EgC`+?(oI)%cBR%yn}er zb|8Nu*Z9*vsmZ415q8+Hy3!R(g$kka?A)({$79?c$;C%zuL|>fLl^HdS;&S1Yq8~1 zQtjboHd!Ac+Rk&^|CeolMG$`BqX6=*)ty--IQd}gmxGs!oS0XhG_alhytU<1%a+bw zp4$+A`J@<;<#UX(e~;>vxKm?;O|N`@RAq+a3;?jljhriLm}1E_Q&vki6MiN5u)-CG zG?h;ZIDYfK_IYA51Ge!S^NL*rT+zE`{aQ5=5}fqgg$KovVdf?@*94-FUwZz!ys%+s0s;lNx%AzL=iNl(zp|4dL|0zxWYYxb0pSCvl zw)GNOwVtu=N%<~g7TFX|HdwvWt^K6^bH?QDertN(Moi_>UP!q_Qu92vD7X97eFx42 zFGn7sU0eK^gYB&of?L>XnjwMh&dfMQ?2<3R_@VW0xe%+R?Mqr8rWN7;3T}fDoo2_6 z2K6{6CS-WL$^)Rnz7SZzRYC(*d+i>{3}_n{%s01gwm)x(I#O1Yv2g+K(m||wD3b&P6*yI&ybhXSYHiE9;Qd=6=$lgQvJXzA0l_m z@Za*c!F7B1X}usfFP5rZHXUCs=&=cl_rAB zqFiy{yApQie~MiF%2&R_nk@|6*O4up*tBq%7Blk-2WCmjg7b{jkkdeoUpeUXqYb0v*cq+ei=gpC;L?7Z@hpTfzlWXA<1%D={Uj?YDt!72Z550snHr_W#mH~+Z z&K=%bNW(^nEEK)(DA1-<~1sT&arJV7d{gNQ-DJ1w&bNJKUkb4wieK4wnEO!53v2z8}DZ_hi zL1fvr%O>ba)OaEoc5*ZMCPM!ZEg>VUkQk_KMND*kpEls zQK{YPsgZ!9A+w!Eo!pEJ8c@60T2)Gxv|w=y4|tNxlRNn0jI4#ikP%p+JwOEX|#9bJ_U> zk9)|jIo0-$^3$7;1JLjN%%$`wh{{}6Zsv;}st);&P0lZ}xc#!af9tf9?hLiFG%ofZ zN8GdO>*W8i1t(G&s=m?dx2Sz*Yzf|2?R+Ge>W5%^%M5=Mf29lLL)yia- zrVxT70Zo|G4yb+(JMnLKWu_sT7h%B=R1qmaX@$nNm&~a6JA)$CpCyDust;Ak;~rer z7kmudhlrNdmL3<4M5HIEKGBxE@s$KHK0<|Zdw5_&xhwhF`-eJPe6j+=u4Bj_1KVBg z$F-Y@3e$lzs^!9pL&Y=*eXV!F>eIu5N39?1DW?HWy1d>o$BTUxrHk_xLQEB_i)u#oms@C3lDYT+^SCx06)B!mg=EfhW$l0!XeKmskQ)?dod!jQ{?IW|z zZe8v1l78H?R6%Xwl_oU)FG^ji5Rzh7`M)(k^M(Zx9)8xWU)|FQDdfLmpO)=ebR)+J z;T=WHr7gby+X;kFyD89B@wY93>8i^Yucn4h_`Z^=KuwdW=O)auDui6gF1#228P2<_ zkNW5P_CFyE;pIPy8V6jQq=o_F?+#^p6mtOB|6Ibqb#}(_3+r|D`R4 zsbnQ5)jKV|2u_k12I}jzo}OwZZb7Lg_tBbi?*iNVr#eSXRGxNn+uhOWOxE()_ z3!Pwr}fGX`rp{SH8}tK;tj1Q zk%*l5t@%;fWk|yZicR&qu8SUKD}1hG`8S3?MO3blq51KB{#chhRk?5TVZ&Cx+w@vy zDACZb>}vP6#G049kp~mgEw4k|LbHRxVewdNq1W_#60fyebX1V3W7yZY=3Cf)qB0p* zIV4*^8i8)cR4FYBe;hLo7C5>jFjFUE9GA{FI;!Eu7`2Vb@15@iJ@+4H=qvu<7)vPM z(6zj)0cdejdAvW(p&{$vFvoUuxFpj5?#O$`hv8D*Y6+*?K;=8LZF-4dL_K z5w6NImVW+wYzV-|1dGr!5T}p4|FFO!qB_Hxc+h-%&?m^PPniB9?C`5o7QBkwn?-%S zQ>7nM(q{RcHPT99V|Gk>SIs-m*fcTUXGK&T0j0N4FWxB4^}dU;e$@qK4zqU)8iCdNU-P&jf3>YE!uMb1#N*7seXAF&OsR}z&`KUvAJW>A1SLLT z)fX4xOBj#tt|j|C$rVm~#4iZ3{12uLr)C+Je6QP92t*8--Af8oS5+%e#&4PhZAui3 zUmELrHr;y%wZ`PP1ZyFnd|3R8#s7c#Tflu{jCxlM-mb#2SAH{6@?L>KIP|Z8n#A{d z3;Ai@bAo9JAu2_N*(wa}EZ#&wem{gfZ)_gd(ti{=7vYRH|7c(@_zdr`!4X$CTvu(8GwvLbPR$wpe2UQkZYkQVoIkGS(fV zkB=CwBpIl0l7n$SyQz1nk>`(hgC#uk%1=i+p$8SCXOC-B)-%^^+CyvWLsQhU+wAg^ z^$WVMIIdm0c6Aj@@y0|IeCO$%h_tU?yM}9DNM5N60n7w=6k=XCsFXS#2WAC~-FlSt zpMZ#lV-dJ0_MSqY4mEdO-NE}iF$xqHs5ZULE}N8LJ6HK*@2Kc6~Y_`g*vVQ2Vs+_WK{z^gVRc!wsVY z29+91F`6bcT;Ifx7xMPMrcp>w8p0bNsJ||-Oiqt2@A3{(+Yh+q52TgxojM&@nmeSG zcZeh|mv>h^bA5W>(Vph=e*DUMcn{m7A614$2P;O~%*f-IS$#`ky%yF*plsB_SgoFK zVfWNOcMfG55ko54ra9I%l~ccw$2Th88dW-rR^l5py`#s!f#Z|r$L6xt^IRuBp#cGU zuxl;U^4{k`76Gg#%ObC|H{J8!raK8i@#YwF%b-Fl;tv3)>vXG|yW7m%s>dr!cg)9| zTi3VFkBB_{O)*2AnkBu(EH{?7wZ&gAAyLmHtlXFu^t%ca6<2s99_$FtAE}n72YUW( zM<3H4wBIcc-Vffe!ciR84C}IzV92Y(bnkzKix2$-8#)G6^|TzZ$OlpYm4a|WpCMPj zTD#iuEt(ee^^pQisM~y6t-9=+zqA7hbbGsLLE&N(DQuQYtg3uYkH<2H!scB3~Z5&FOMWIvC<8$q6&b9(Z zY!QHWhn--akNAY$Pvf$TE-Mn31gIRYzJ(YAncrVLt5c&BJ57*LP&Rr#5ggZ(|FJ4W zG2-xm{DSeN4HZQARUP2&)XirXg+ZO`&ee-y7?GrQ|i`>Qcy^+wVg>!Z9gz7**N;3c7AWfLfr-p zZK~jZ6B^t9J4M4N{(t8m(T_r~A#6%Be!ufDUt$The#Cn5Hop3%*8dIq_y;u1aZK%W zMFI5E_mpx!s1y|iFiXkwQn~S{r!~>#-c?sOeewSDbdYS%z5EQ5bu6aE>WVx!21RfaQNUJuXnL^g%C$>Z1bEx0vKRmAu0BiGxRZNJik2~Z} z*5tvH%s)wEpKD*>(a9(y4+^*aFMm|8$*as%x%kL`{3OI>jAFS48)Q}1E2c2CkbqFV@R zy5+TO3ni@w{F8Z1;y%Wi{4s=PTI?U70@;!>`wDH{2y6Bgr`VS{dM@hXX3tL6HUDnK z*HbA4!>d^<;q6>a;hWbySL?4f|CwMsNS7pPPX`A`d+kK>xdO@*CC_DCduFBYubaM! zvi`_#lHp0{wU=3k?IX|9aPqgc#cT>Mh6rmj+kK?Zhu*gTIw%}?puIX`h;NYL8SAyr zZ*-Sx<2C8@otjh>s2Urgm@|Yke!AaaJt}&wq9%V6ak|N}p^#*U|F{y^HuHET{&C7z znRv;5DCBBA2>ErDcsXP!I+j~%f5TU~>u=ap2yw{LaHPt$q|ds-mAJ<`i}Lky$dm#R zaDQV)frwiA=4&**rD)(Z2%Dk%-|$R>n6ANgEDcW>Pn1H<(7wA^v?0gKcyXJL--zed zZ3Bm0!xoCFoDmCTQzk@{tVQrI9W5ZZ(4?;r4GnadArZ$2iwiQCKg=;y_C6UJ=RtC` zrh;}NiK-#&E&S%=)G(1MESI>&ARrbL_iz_0q53c?$ z5uzXOyZX~R|6Xgty=OHFysx{Y81|bS=}ybzMRn5m@8q6OYGXQ5#s9C%u|4N*`2A5> zbJh@c%8Bn+xiU$5kLjnrp(8Ysr?(=buSG~|(O>;zpC~H1-myX}rk7GD{@Ko{E?c1h z^`LXXE|ny?BVq2A*a8Tlmu@tKK>3H2BKPUZnX`N!kv&)uwXmirioqoit-Q=9-GHltKS@F}(} zdU5{Mr*kHTjnh~h@$_hD46|x1u|~9q6Ch%^y`Zdq5jG^DSChrBT`rIB|9f(yLPnyn zHr0;x|J1@XyyU@IuH=^I{e? z6HgZIvHfn``&S_SdwqaCFUOa~DKpw+L2Kr9nsO{-11z?jpZ7wG?K&#}|0}vnd&Bv| zF_nu%;PmjowYX7V{Bq>qi(k$sR0|ytaG;Y`D~TzF3e=x-Ty%JpT~{a+Q^QAE2Pn zL%)V!*XSE~|2tBM6Yx^Of?bQ8+y9r^I2GWajrleNC=>X>4ilj~@4}l}nxYaLwZj>r zinmRMsDF)L-j=g0D`C%@DvYofPhFF;4);0Ip;dLy#V=nXF3-G&RsU9MErbk_L{okT?oAVZ2|T))EoY)kr5S z^6;$HX_3^qc;Qr=?cmq#)$Obvm}#+pw78eA3A(nOW9DfaJ6OFbzb=n#<|SHnN;D}= z`;_+6B1~2}U+V(=gw4=A^%Pql5=ZoJi`h9~<0Q5}I5?Ba$JS(vK_x%CZvRJjBe^i+ z0{WF=tp>Gj0~bWv?i4!!LwmFE%U}Ex+vdVTapUJ8+RIy-wRn|7=kMuW zxxapD_=gPujq-P?hu1WxdJWzWPTEb4Ju1q)(e1O5w{5R*FC?hEq4k7m46(sL23iuc#uwLz%_xrk z&pMbj(o(-XFSs8sL0{Id(VPuPd!}I*0*xiodv{%!qzfZ1o$t42Tu;T)@PE$JfKt$j zQh0wH_y&Dl-%TfqlajaEj;GDzu}q33bYxFN0G9-99x&c%j}h=f!l?ZOYr$C9&yb^X)xt( z|6ygm>%e8R51J4l3sf*7O%zgn1lr|X<5#8(kp3dm^aTJaMy2eseJ^*Zeq zN8d+$xQ385)V%PCj*Zl{b2@#DgO)WNDBvo4eZ!&y#e=x2OnQywS2{&vvzxAF zmWkGyC*77puYYunOl-Cm*KV~1Pv>iB`G-H{>ErogC-Hu2bxr3P{vbB}OI|R-l~%Cs zAo@PI*1{8!m;F(MWkDeIxTtHk(bSzPaG}5XghJvAe~9x-Z4H{k0pd;k1NCCoB`S0L zSFZyT0sB7NG0V#iDH^o`)po#@-u|@@A7HUscG(5fb#s{-Ul=Hu+ra-pJ+b?pTVn^9 zwlYVuZcjcaNwil?--HQGod->jwc7Rl@_GK9>K&FkKiEbkFIH0!iC2=}rch0yGd5K; zcRP^gm(ZG@IRUuZi$%yp3F_fII#iQ~N`1#2pt+XVtcoU&(S58h?j6A|@y55cV?Qhq zyWpNO%vKGzttaW_uM4r~i#iQlE|%ZtT4EB?!!a*C>Ss4bZum~DK_n3hQOp$bIYhq0 zwhEBxx>q|o1z}2?QJZ=nsbH@Cs9RoBO>hTFTm`;p3hD_F`hJdyk~q6Xet)k1s$hWR zE_GSFnnZ#}(k2G4WHxIR$?}^c$or(6m^5AwC&Z?j93+7f6D-F|ArIh!@Iwx^U(C~6 z;0*L?*~NepS?mgToikZ=-oNIGO8(`vzJy;=Ha963Jw5Y3LFvnm`rD2Ee}cNx9ANdU zzaYwxC^6*F(q{58`=6|<ABEHi9Znd+C!? zd55I}Di^~nUdf9H-JyQ1$*PVXA6r6+f<)T$bBy6xq4`q#v9%3ln?xDtMMMKHd@c6$MV6HJMZ`f?uJ7<^L_2q1rHXGX)eve4Y7j~=(KDhOc((TN3HPbrREjQ{N~rjiBrJqOaFKY4U}Q@LAsEOA8b?Y$83I{{xr81bfL11LL7 zSQqjwnRVO;Kda8dqaGw(it)aL>fwXvjeq>F?xlYL5KK=5$+ z$Wk<-+lQD@n2ojT`_U$}CfJ=}Bq6)e@ZVZa^Ug<#i-*tOr7Qs1A1aYExe|c_6)76} zUOFlsr$fMob4ory88V*GmBe0@z; z-;DN0p5er6(L}uE?ydl5f?;9Vm zWza_-O4p{YLt5zlkxcIxC^nIy|1?zrxw;d1dG@g*{+-C~%0`3SO&m1=>|K)B*RH>v z{`Yp*LF4{jLUt-ES*@MW8KRH7i2D5xS6U>JxHg?~xnF-p252aV zHeEjhVx-!Xvs@`MD!Ua|t8W3>WE&aw-O9%R68@2JGh=ocpNeGn|0X*eu}`_QY8#`; zxNh4?&6#32T%#c1w?2y`u4A_wXOcuMP)(x7FNQ-n>N^kW*OYBzcS~(!ffq~GkL{>2 zhZ>nn)&rYIEu+9++!UHA`O*u{s15V>DI&_!8_rHGmmqf2z7h7XL2q00ny*{;BO4tc zDFC&Jb9yhG4F8TUF~IV4q~<%M?EU`ZtEW$S7aQw~5WvDVhq>P6#|domtB?O3%^2|P zq*DZ7SaWRQ)O7S{Y~c&pztkp8;82cU*rX@JqA*Oc7sk zR+ib4NYE(loTfrJD6DPiI#FCmYnCsQ*2M?$cA8X50Cg`M&IxkqoUNEH$Ua38pKzlet#@|&%m)WG4O@-Aixy@FhRL6QY?~HqRSibR79Y>lnjo2+s#M>ozWLF6t zroNca{>dS^XQX*fxtz}aY0suT+-TJ5-;p%))&26qzYzQv#Ft`2*R1GLb2;Fux450N z8R8)m_cg!ff4zC+Bl?2TNgn!PW5IDH!0?RZj)lCEjp1sP1Xk?y^A`WymXC%1LA9vDFK1^!;^yOPu=N_yqvEwR`*KeFPAs1UM)Vswr zuxz04XXH-0RY3C_)9h`hNurPMjq>sb~H_3>*pGQjK~DD1-hn+d)0>f)Z|A?`)s}#*rIFwaJwyzUp@Z@NrcfJU-DaK z+2{v#alfubvIBmNJ3Jcp+RTJ>?29|iaMV5sL^@iS41TxG9IpGrLs$A?z^l9u?9G;#8LTAwahp?Ubm7`2Qqv8yAW=!Wy1Rle{9zf#if z&WdDcBtTG==g`mm5T2=g`F7WGo38TSa3S^fTfA~8O|{NlrjwCQ%Q1&5>CHYvk;J3E zP7-u#ev#ECv0s82yAGE%89ExySz-BDw+DeOMozMvkI9N;gK9X8?y5+AjVi3bd_Gvx zBe6-rCeQQ^76q~9SIAG}?F25|Z?2TLiA>s?0+3Dvj@-f$Nhxs})o-XriFj00Lqu-d1=_x_x=JM5T# zv$jTv<>^ZOPCZG2gt!k~-+T)>zO@#bw}FgR{$;Yq^4+ecv z;xaQ($Poyy`J-b1ro~oe2_sHzLB`T)7e`Tq+&=P{TrEH}%k>I-8(%G{*KFjE+o(5L ztVw?KRV<{}@o>=^zdC!5Fj}^i4WK?eX+b*huvWGrvC=!9(TrO}0cwYYsh2O)FGtZ^ zyFfHdIib-qvD6v*_ZBI$`jsTuRH^m>Vh151l&==oin2GVk zm3F?(iGrSFI*^%#Z+plJrgao1vzWv1fy|meeZg>eu8Vu-op7tB8=Ft-RmEI@>*=57 z&fm@ojV?O@HiϟxOcMU?u0KE7iNc)c|T9Jf0g{aB?Bfrhu~UejfnW$W~9V|`z1jfREcTG89%$)qW%v`R{>Zl zHIpSOP1Zy<98o#J?Uh8q?XL)rM>0d@X-2aPp(_|!(1wyZ*g1R<^}vIguF$?0ppo(> z>Uveg$k+mpW*U!$WtWuF;LT`_CrEDhp)SHOw&Q(D1?7=*+|*oyS#EKNQKcjJZhl*o zC~cY2xBDEE#0@tg_#@i<GrO1;m9cCB-`Us;} z_RZV^5|E^EAt`Av@KgbS5n(B_6evE%yb;X3ULW+T$DC;+9#b#rK~xrb9C_t9*27er zxiAR?=Ke>_1R~Kh4Q~On2_v}fj)6tAuc|8Znx?>U&?X_G;!${|mRp>Zkgj+#G!`RE zH4*DX{fqC$W-v_v?tE5VYP~Dwn+n&Ojy8!+DM?wHTa8cUK7?nvDnRNyK3@&QR5%!` zEShyP4npJPod(=mUR2pO!0UQ+BPv`J6RtK(0=bNCFG&}{bR#I#`<8p!nOUzk{Ua+l zLO$Pk{3O3%5P{3Q;7qH+rc0Y5e^c2CWs4BIyYXoX{0REd?a(k~RBc83nMMAtM0z-v z2$04XO{2ae_ANfsg3QUN(^*jzmRH?g+7vS&I@f%MVOedf7^-$Aq^ws&eA)V+x&}Q& z+byv|Y*P?mkX~I~n|OZyI~C<*@LRXeHwe=Jhh`}6a$I6-TcOTjZmQ@M4fxNQkp8}w zNsV?=e@7trMb*M>U1*(s+oAILA5$E!u=7ohodEvE$6&8d!d~Q_p-yqtE$zA|Vc|uN zf8L4byz#<72nnw`7o3r4;kLM#%Ihq%L7jF;O%89-3v}<2M~!ev1M1fzh>K$`eh0%- zm4$`$Z4(xU7;GS?p)kkqm_dy=oU9;FJ~;Y9<{OOchwp6&g5mFj5P z+oZ~9fbu1K13v1dmu8aj)}PNZwq%Qa$4~X&t(G2W|M(hYAM3GdJ`v5tT z<|^;6H@n?Wf$0CwGgPH%GW7_iYoZ{+#gtT^{TsDo^0)XTQ;e2Q1(JBevt@|8wJ(&P zw4J`F02)6NZjc#FvlQ{+C;{`s5$P-I91Y1)C--30+sstbZzS5dq~gK~?{Pm^a}WHt zA$*Qq+ZS$r@mZt;T|Ta$ z3H(7>&2$z)+0 z6Ru1|0P%Iva&5ZxSot@A+LM5(Xy29fmP5KiB(k0~K)Lb&w)Gl?J&)|?+-yD(=8gFU zgy+jzhZR1d4pU;s{R|Ff1}r(R{(g;R@ouH8zaZ`D3Y_l{1Sy!T!lP7G5&K#g!o!8n z4`>D35OSH2b!vvp!h&HwC6NdcXlpRw?BPIy}i3(ej6^DVe z6I36Q%SKx6iAqIqI+W~d8&0^e7-xN+_GAl6+^a4uGQGE5-m~=C;Z?yJ0_72l(B~)t z<$^9Re;Yz2kc#b17=}KJ8o76@|ysE_g{-J``RY|((E^^aOFna-C(d} zRLib1gW8iZUsu>)8D^n*z^~7`iV;ogU&(()eo-4PP;)O|j}@6b=s{x6-TW0UK6=!5 zGxurrE9-SsO}TuM>xJgLl}Mq5M*ujxBoZ9TBoZ_nPI2h56W<{4qs|tq&wyhc>d^*dbxOrUP zG!j$2cIx{nWX#7@aMqtqVR-*1E_ORyljR?tb`DJ5X{BGsl8s&bFprmByyg}n!U{kb zhjm*_s&Cl(nQMev6e(-OBzFDHuVzqbZDcW4P;msipH9RoU+D&cA(_CT4MS^_tn9YwW)YSAz>B2u!06NC`?PWrUYsdb)m9rwEg}8dkZbPUb*>v zmRMG2xSNoXeiEBbZYriNWb_-RY`J;Pmcz?$c@Ua&{vrCRJIc-e1V(!j3h#v^L}Wc} zoRfIOgH{drx!lK${m>_vJ5yU?L~U0n1yn$JUJR|^9LQuXZ7RfqPLtN=OHgq$Z8vGo)RN z%NTW;nFugD#{{xfSk@xRRP4_pkeS`s13JZE+zR#IDzsD-@XdjvyeV`P@D9@0RQPzr zDIDHr2e+3K>LvB3OQlf!$C?F|jzq?+;Zv*e8BT^8_1+(-oC-pHQP4(Lxb+T6z?pW9 z&~KPZC52AcGLnGR%5I2(9h^LnXq4b~$NC)%X zmiq*zuBmdf>MUn?Xnj}=-jXt5fn(97sn~{W{P!`Go1Pq)0&U5y9XVTidwmespXO0H zqGEW1jR@g+m?E2;9dGQ`aqC5NWW?wp=9MQ|jANr(qfLKu48&G%{7!F!;ye1i|IhSa zYV64QV$U3`t?J4h*xe{%11ZB#)!yX6l_6;LV=q(Gm;^G&+`UvhoiYm4+Al-YZlHL? zGsc?=0M!Ud>KZgMOS2(mNbLo?ht9H=H#*Uxy&@?0B3fY-cCQa#rZwE>jG)<)f^fFE z2K2!-alGI5Zi&f^jZ66rWYcyZ-Z(hu8I-qMUZv<(w4>z&0_Wo(+QP}dVba1p1V3$E zce(;a5o)G*gDOe>tm+E&i49C*oLGLCPT7sshM&5{e1chaY}4`;IGq(=(3$rb>T!++ zsMF}*UlaTY4i2hzyqBz?2oZQ5nI2uxm)L2E?dxj|bJ=ai{9P9|H$x#q5Yd(R$PWz= z7ep6<5+(B!aiG1nm!Ywj)Mdj2_qDUW>p@IF0t+Mh&#lTshQ98~6=f3P>v2ePrf`b` zLNE)wzR}bYClkSOmo2YGfMJo>>9UR>7OBEQ zISXxe*C%l^PdWz!2o12xr)1QKqb^M|-Egt8y*p{5W!)*KEPK}|K7PhjcIym1%M`kbq5+dL5TS>E^4R ziS8T#*)HpGE<+sGcajBE8#=^)UZR-6@vGR67rY;bB+$&T}?cJ&n~+5Ii?7bwi*rH(a-M7c>pjc>8ny!#j)GSNsd)Y51*mRPi5|9 zSgI_4Tt|o#TIz(!z{b5V_Xsb64JWs$*A(a#+5>D=9PB(eH7jB4O3Ap!)BQJ0mDsTPC621YWrm{lj~%77;9y`6kH>z}Ooq(v>Zp zb-x^Hi8AiLhL5O_`5j_>uJx{+Wq+w2jnOF0(=3n$fYT9~Ojc(eN&qKcJV!VQ zd2-+rYMv@0Iw)frOTH2dmM>hFc3?lxea|uUmntBwCQ+_Y-`c)M5hPYf3wdZcwZ}Yhd9P3M~@Gpb?^Vhq3Wh9@=$tO4l_69*o#fZT?~Fws_`B>xR@Zpy?c6*70XxL`CES}wosGy^Wmcp0Z(F# zu~eqGk_udP0Xd4-O!kXd&9`t%)bg%unJz22Eb5IRv%Bk}?16J1|q${82 zC$mXbDyg9rauzYY{l&t)z&|vf0bp)U$K7#I-##2{CLbTu5=i7&BH4-}!Zbira8|Hg z5M6O*#bbh2zSyx!wiBc+Vp4qx*F&vC5rg7LVCA=9B!(bNXziSXJ`qYW5R)~hK==H5+nm1W|p zXp1oPo!6=!qUm8r@>NPEw=$7w1>`WYwLW*i& zNnNQTG7rVAKK>Eq?7{jPBkPshtdqs^;2m905NlbWMBp|@chaLXT^ z3AsN%_Zb}%cEqrs{7GO{tK1B#ozqgG#)%MVWYu7i_^Ts_EXX6_NjeQa$qomr^kOJF z=c2e!8_6U#W_j8+3eAhi06d}*6`tyj3ilP|KCZ=1inNyBt}ZYX*VDD)$U0+xOernN zpcx~tnu_^pQY83@B{>t_Gl3GAM<|FkuKt{A@sac7$B>zz+nA1 z5RJ$nU>+jQ2cVe{n0!HyI}lvrei^e|QsPhI^5dhUAxjw1Sw?!(us+p}@DB>2YbQ(h zN6v$Wu>pbmegVyjoV)$_XznpvgjlnWU-5V2)-sZ+40F%xNl@RQ=H8tY(LUh=dtjtUfg3G|46+`B=oE0D6A@Re$e-(x4wq+ zZC>GkLdpAad+r~dNhj6-4;}d@&YJoUoJZzO1Y=^ zpEAfioC>y=#aEgn+a@@0j2l^Ddh0zz!8&)wpQvL^7Utyuq=|nPfnwxDhjhoBG`JJQ zG_@7&79XI=W&cV)ib@`>Z{6Z?zP+>m?$igW*CiFfl5&P-V{Pisj$xxhFGAbyPFLYz zHB=#^u6gv`de#E(htFj^-sU^n3Kk{4{-`nvn@L$#YNqJC?K_bufBvs|&t&$Bq4IZT zYIpUDcXYCMf2p1RWHMCoMGvvP7Wtx~r0?MA5k3XjGn@?z zsVjfrX`mG>5VH}B`Gt54qk!+-@}EOpT3Qftq(8nu&l$&0=d$b~m~hFs22AM7!1HVV zAO~>Ki?I%wr;|%Sb`|mSLS5m+DFJw}MzQU?7^ArrP zY=`@t6o!q;(^RG1M~gO0{^x93_kA<%SlNQkhe0g`2coi56rKY8Id{woZz=3?>3m#x zA06tun4vk9U8yU-AZ{C8LpsBcnoF)ThRG0DYY zU-d#CymEue;>L2ldiC)=u-fX8pR2jDJ-b7`(#tr;a!c6jnPjTh&_mVMbj5-DzHC;+jM&s%)IHO!RgN(D)h&euU<{<;T$l`GW$0!;l0 zC+>PDdzsUGp4PQsSC_yi^-F|wtItQQTRrct^KS(|ot9zLBy`z1d~XU;@H(rI&x!9L z6SuT~Y^+$F3L;W;`aFDKJyCxbw3frRFPa(6m-&q6AOci~SnW;ebsFp`wYY^qCc%3! z^rAYdpWkDlmDl4W&?eQ<;&Q2R@@k2GrG{r91`K5?Gm^o7a@;wyK_Hb|o>IV2Uk>6Y z)CJ6%s9>3_RCj`4pDAx&B}7tYx733f`&(f$d5eWxGtQ3gSF0oiQ^7`b77FfejVCyP zqL6&yQaXp!r4jMBYCJOUC{sj^m3Lz}sf8c%gl5{#tmsq_A42oLinG?6Ag!50vj6@u z1dr(~-S5?V+y7|N+mtAMAjC0(8^{<&0O z*kPrw^byRZo*R+${?ddpM{S1X}krVvYlOV@JuksEGPER3)ll^ot91Xva5j-3R zK681Wc$}z%9;lLH!UfnN6Ik=9`4<=C9$%)MzUSUP!~xgmy~A(U%WSWd%ZWLMCrjO+ zM%Sk7f#R!Ocp*lQ%)xOA1^GA~w{cQ}?bN<0>NKk%L`f%&8fOn*`E~2Jt%aARY>@Yq zG_Hfm$Xt~6W~c%fOb5ds+N5sbHZXhiTYL5Msey5sCbp2Rk4+~4REe_a!CM+3G%$11 zgh>66i|=C78WWrfhUTC$K0Jl5;oQTXaXBtYK&)zm57y;#xJefz#tI&#+^=&i4s~-z zX``;J*7vXd9rpHVOUmih&JO?Ft&V@~NyB!yG)B>_Aj~4=LTZy{YlO;XNG=LROqvyx zx5E@dMp4FL$sBS;B>lZ5s%az3AQ8H%@Y;6WgWD6uSU1<9n^rb8Y5!gtb3EoDjgua{ z4U6d6AM4%m)5AdI2MMI&=7$C8weFoCx@0R3?t2)YB!0N z3%bD2U}-MRzKpgAflLGMyA|O@DZq$A^#v>A5hD0XxHs4mSFe*L7gK$~Gc;d3!69v$ zf!;o}EmS(|ML7{1D;;FRi%`l{8N;@e7%5PY5GQiXs_SHxqXAY8h zg%28%`7H1aymVK+pKngrECT(FogY=&C45YGWU%*k-(T!i_$jyC^mn0k0p~I~vD^kIc`#eR921Ot3R7r6a_T)gX59rel9oDP@FBrvY$BK2}Mcd;td`wMG(cJX7 zmxkaoLQ?YxV6<{?#s@VwqblPr>B7B%DQcc2_Xsjz@cFz75g#LJ4?K zX#z-OxUtfc3f!3T6ou5W4}ADt9h722xO$OfMvZJ~k_Y;4ABlHmT*@T#^FH1XAb@2e z`wkmr?!C6Eu|fmJQpX1`QZ}6fD~S74J&kFy!{a@Bm+`u%bBBmwn?U;w<&Z-1EpgWG zPBoixM>LEa1LCqY0pL9X+{!6`Tx?0FY)HV+Z03i16y?9Syz?#pYP|FLd+vJM>@V}B z3dzqeaDrU8SJ`gt6}NgPGTZyefhsFXllpWwFJpgjE~|EkCF2I)APk&)yu zP%HEyI|Q%qG&>4l$FW9O&vd4am*&nP-*E9??u7I@G#xU&EO}$R!^p|hL@ZIYM z6$GAw0Z#tLr};_C;%L5L-X94<&@@}%Rd@N_4tH#gG2?kFV)x2+|DXTRGdStVqwry6Mc zC03$8Eus4SE4Cu`zjMwtvLBmI9FBkM#I!hCvP;8d2v}eYZNN zAi|~G8*UFQY1*PBZ(Qs>;v&N8WwDSvglw{J3Q%KGNDdo3A8y{c$w zRqWQg!*qtbLcs_%OBXZ6+lciyA>Peu4sg@7E^>`vHa)CumkKeLoPRW4qMN6EnCpym zN8S1tw`6i|j5ym6at|NPe`qrUl;hU_D&peH`a)R8vEzgc3Udth87|RPY;2=9&CE@=hE^*wvuQX=6m zSH1Qtaqb+M0`scg;oRCZ*SB|1-~3jSY(5?L{t_x*Yz)_&9f2#ShdS(n$|Qm;$L zDYlHO!14tN+4hdn1E0;R`nG)#4EKQ&ivZvuLpeJ0xqdB|J0Y+ag*>k#ylpqiy4qeE zi+h~R_E;7fa6GmN9(?F%kNG+!QtmKmU#lWM3@ieuCmc|gqWVU-@f{Ch5%mc^5iy>b zn%in`C*sI@)N7n@x;0+(My_ToxOg5>N7e{YKk?>h27?zqCyZ&oHAwRFx@CJ zm9a-HwB3>NXXKLzAY|6k6V(cF^;7Q?&AcuKJkx@_y4_ZT1|;oJ-Pei@sD!L`FouVm>`wMcvO^u1NX)f&tS|U+rf)4Q%o%)wFwNl=yL8b zyi*D4FrmmINu!Fz0gxWOOUC?Y;O4?$AhQ2Nc2~cXp<|}EESZ!M*G2H z=oguf+fL8&Iy&(_R>&yKGwPzdI3-k^%XIo}nAX>~2&HA+>V^&JO+#FoWT<;_9K)pX zj&#r1vRvW2)O?B zMCJ#^QKtRGuu&W=>tOKlEbt}HC{97PI|fwVsL9!fWN?-LFdAl5cm2VFv(>xd@^mZU zX+cu02vP$EeUbxxWvejtdd8QPMLsrNt)~*455Jq)d|yN-Ljb1`A47La9g-Xd?np1y z(Gx9fWOXK(6;|}Y?+4d{gdCw<5`NHQ%A73NJ&FrBRQIIc^edMw61PRD6xlhwI+REc zEt7Y0CwToMko@enB){0c?L%OhQ7pV4({CdK)s~9&A#^%Rn9%p8s$(ESWFSqJw=DUm zA_6`5~$)pyccp(K+qO_6#}KU6N*jAo*@#V6Qd^AKvoKEmUi1My8^q<`jM z>P_)UZ9H_vun)nOQ=3_~zJ>+%#BB5th}cAV?b@@O6h?ka2>yDJ6f8a0%=||H7aJ)G zxl}l2Y*pDi0*VeBo8-Y>mk>||sO%l+v3LcYZW{H9B;q)7asgzDQ=n@*0K%fP5s$)m zdTX>Vm_2-wjN^VOhk`E`b;oI)Q^kmMEIN*PfAZ*SVyh{2>PmxA#G7R!v7$@op_p(Z z*7%NSzAEOsfM!}5XVE!Xs=M;}dqXn=L(!(UIGJN1n+ z$yYV?u&a~F8fBZlPeR!sY8!;aL2+B>spI#{ITu!>=!*ZaEr?)PL?o=|#$BV-zAWAy zUA3=peTKl^IsF91Ea)t5ds3^rPp-^+ASovthRrYG%A)^)%>2ie@Jv~D>fen>`d#ht z*!*Z{EG$$XLHsT}g0SEnp;TxV6ONdaU6qvx>i2Jr`6cT~yCJ`O)c?zGy=VA>Xv*gD zw`>6|_q=bQo_`SuSoIivw|B)Bu_Cc7+;n*sKr-gIv#`rDnKjhdpo&PiP5_$m$p~hq za)hvD;>!1F9)k5M&PSxKIKc%*y0oFF)RpGKa$D5CKeK#T54&AfxYC?`jUwz@qSgmz z19}w9tf#C8Mctn$pyJgl_c*`btmBVw7bL#lnqqB=uZQ5kPX-`r&v7n_juO5u`@F(V z1UIs8j=&t9OUgDYe>FTHEwAnqdo9H}$Th|rPg_CzhBYfReQxJ-|v2W*)p%b zXs61i#`PdNDgj-^_-@Di6*KniOte!M5tMiJkxnIWu<_FQpk;Khc_GpS|K2M5i7$nr zI)QPiqRNNA1DTA+LXjkuT6Bdikeh(^%hPd6uHPSH*>zssJ32K)#Fll_*^2$ zal6lMz+0ASo?H|Jdv})F^R$bJ^6->i6`}f3!e(5FjpaU88`d-n5&%t_)(ZEko+s;4Wq`b%|R+st|x|IBw$e&GHyuR74aWoVY3a zMyXlehA+P8IXx_!yn8OJDMA}EKJs0A?C|iIbRY}VFda))p)ElfRmhtP=gDgHE)kd~zpXKuH-TflT7v*S9- z&rjWM_2D6WJ9Y;rQ(2G4YrR`gt0(pP76*}Q@${sA&i#E!MwNaXHsKhLQ~}Yeg|R`f zu~&SP6CXwnSql}OK3fV=EyD!zzI)Oz^Uz=rTTDF~8&YyKpaxP{H7 z0HzC3;g(h2*`$f}eKKJ|nkg>e-^!~5VJPl=A(={okk}-#5}i ze-C(0+zv3d566Z3zE34SeT(qm5d+7aRBWRfWJSl%3n%BWh zqG=@{vNF0X7ZiKwicm-+Z{xmJW)XXV=v`o!t=H7^21R>3slrlx$)xi^MghCAau&O& z{55no&ilEya=EcvqBKFbOAlW`8q7J`veok4@pEPI2go?%$Cqlxz1p`j9`B0CJ}hQ9 z|B3a^#!%y2H5EZURBdX`u_TB!>qH8LXUY1~YLF<_j}%d+ip+Infc_Z*&>iDFw)YmR zeF5U<6upWe0xNE2K`)*RIa8CLs@ur<(u#*jywJUkB;u5=4lo6wFKz3x8f;A?j!idM zm-sb~$HS8acXZS}e3&Tq273-wW?GOQ_=k)LWR|jcY0g*e)N@Ik_v^ET7`7e?PW)`^ zT80xh$f&Dbj0QO>`&Js<)6w2BT07QF5AB;Cw-r>rH)vJ8ra3n|-^_hQE2-n+LhS_! zz5LwYf)TK-6`zEeRr_u?lTyc}p4_8dl+9g=?|Vt8Z}JgYd=hpK7t$rb-t9P}=X<~B zqVc^C-vo!!&CZ{%4E~{C!cuui20me=llhHYVUn14R6pBB9j*wxF5w#U!wKO^6M0ot z4!a~nwWqlg*#7sAnxEMa8`9$AmO2lWiJWBCoQ9a1Fp({)AOd;jt45bb-7j69mhwFC zG80D*c|}<{-Sk8I-`li4DW~%3hKBvO*&ks;!EUTzA#vqAsbJ{p(tLyT_@jX04KPe8b5g24V@wRbM0-zv^lax=_IQ zygJKr&bpr-@%dTMCnas9RI;SqXQ`Afaz@a(Z!acDt*N};0n{|axCHQU47XjU3o0M> zrMvf%oO4Q}dRgkz$S;Tw;;_WwT?It82s#&TSL%tZ;B>z>@KIttYUvSTvPzu3bjO=Y zzyUS%=}UK=QV2d=j-+E%Ln%w-5`};(4sdP+eNVfe*mjPneFjiDSC^&Z*hS;U{QS-W z$sn5(XM-D}U31<2U#fGTL7cxukRfX(|2ly4g~XZHf-WRJ=wJ9@c46er4}+{h-gvkp z=-F_#AjmC5#q9*H&B3{j7VIhs=72&LN3V%4sk&%d6e`~9!#MkYEgeumI#~{xC@+_M z{Mydu!xGj(O1ngsT-|N^DAv+r-K~53sM5fJ?&b~Dac&79Gh26;oORA6=jDl0*~YbM zDy*2QAzW%G`YU zQy)0lc zEWK;T#uF$Gv97=miv+A2^ur*G!)>IUokY(@^-O~BI1=Y3mbC}*VOjGD=fwnJ&OD2^ zBT=CT?T6X5x8Lg7AbS#oHQapw&Pxdgv!#BREavkfN6@q3Fdw$-B}Dc73_<<@pGIIs znVVAjc_`;~_Lk~`-vykRs|&b?cyiEC z%>9&(>U+f5_x$W|P9V;_Pw8>QIgXsz+KgJm8_8q-ypuiz(qG3prFjFr06z@kcQ_A+ ztV#8$?>yP@L1a32xj62$ z>@E59t#wp3trbqidq3Kj8emh0gF74!v0P~|liT?QQ1cIun4wh(9*$!gmV7#WTvj8w z7;DkM+4?di73(8CV>?9_%o?Kqr&bZn~*_`y@H|EwQZ(L2@xYCu; zv+2X$xSDX@RlK@sR97iZt@DGk^v)acT@M|L)9J373hx}%kT;PPH_%%o90{)_s-KhX zmy=y*5`=Ak3VELA3qG6qbhcj_IO}>geds{*VZT1LH{$!AA{?0<3G0wIuA3omypXt4w70a5vv8GL3w4P1p?@;_~LpYp*?8Es)#Un7BQ#cNvO?-G{>1Bk&kX;Ce^95_T z8&MraklhKwd}ea2$;BbPQd*Hl27abv4v0A58P459pIUcG)vi=o)-`g7Qk0OC&MZ1< z78`$7NQomdpT;+SufsH@hWZ9X=G^J?WJkyvHtkNT4m4TcBC7i;LTQ(N_buq$$ldQ= zYuL!mSwm17XS7#KS-Q4ROZD2WKW86yRG$t$6xlcX5WLLKuL<6014em*d=nmySc`mPfsPBS12FHGD|ssy&zL{Iv=SX^8-|ktQu_B?*;~0DcwM^u|D|_ zDOhq=kLl1!4e_2GpytC^wls1a@wp`H(@|}xm!+RYUYc>-tBstdKEz!I*<0_x!y&wX zT}oHx=N%4q*em(y7se@d9+`2#-R$x&7du41JVH3{37i}Gkfv!ZYhJ(+*^H#$KsYbg z9XTKwhsDOTiz#P=Y@p8|%W+aVJG6G1cHK^?kIt56DnQNB(*u!0Y$G8 zwN#xxMbNcYG!j=Mh>T`^I;t-PJ|YjxIIeR;>x3J5EEwqFIIMF@zBa7G!T4BhsgD+d zm-%_UjN_0lWgMd4kPSMg#BWC5K(Vn_=G^J?Wl9UwjJ1ZNIuilOI7~x+3*az&T;MQd zb4o!TML$-{OlM`Xcvs2u3Fiv+Mor~=4g+d@rZ+y%qs>orLF1hF(vrXS*nUXne3EXZijvt)i24?Jv8WRoVcQ1WGzastBY)4ocFpt^0}2#=WePcG=x0U zIqs1-((Z#-TA}ZjW(@%~S)ecZjHQjUe;h}5>kW3L^pG5xj?>8Nv#6niO|ld@x74{2Z-KZKji4mK9k@gKSlWYph}rfM zNBd~5Os3LU%{-is$fkN(N*CFgyzyG{#`WsenreCS{9CB*K9#(2HF@=9qWUqFTB~H8 z-HMcclx>Gj@DJC6y2dO}jivYojkX~Jj<%zM#~N83V)J2<5Z93F8Y=Q3T0}J!O19dE z_adsFP?^as5T2PGQd^xz`QqGQsfh2g3%$+Kp>w{l%c)Y@G?b9M*S(1Eb>C545;UBw zChF4tQNd#={b1U)40OR^wtp?>_fFP7b1rb4vGkPOQ*fLSiKVf=DH%$(Rz%2yKU5s> za6bA)OUecN1?eeWljr`N%A}WkbUh@MqMrzJi29D0S^+AzE2ZD-+QO30;-p~Bc_qDt z96;gc5&3!WPhSfD-*0QGMs^SRDE>_ zItOYMAWciJYEP3!AzrW1TYGHdU)JS@?da=Tnuh&pTI1|WBX}ACtXGq)O{PA?6* z;4#f!RXM*jtVXEQU5kxM!|oj);30nIR-x1$L&3i+rhBP#*Ea{$Quz?-A@b@G9v?e2 zdjmc)K0{Wa%pRW)sy(HpLw0*^aSY}A|&fS)b7>B zVBquMLcHm76XBruSEuRch%>t8HO}*iPu!cgk&i1*Np&dLd{m!iUs@ysFdZlEBOOOl?=6f9A^Px$?OyMaz;zzjGAI7Aqc0oW50p zysNhFB<3-%XI-6D^5H$f`c?^k^^dXaJs&LdVOIF+J^&5ED*#_fYr`1F`FQ@)Zyjbi z&N(IQDDFU$b%EdN*&tYBR$KbeTmm>}-J6c|xi8^7+MM6=H_oRI@W$szAGY+{v^MNY z(itoFaJbGo<{30hX?I#B$lp@u^`c)?>9v0z95{=-lWhML*?xs7*#4V;&LYS)1X+tb z|8>IoWQKIMe>32uguT-pXwv)jsV%+htQW;@TD9$mQkwpiB4NmSQC=Z=o+pH!yFBPX zgYa6=Jt-0xXUKZdM^Yqk8O3G73gn+7Ngpl~9!Wm@6y=L^-SBa53@H{?2(KKTN1p#0QGFsq;1NVrBU~nI zNs-X6Pwmy%&b+g%9Nt4;V{DfM7eIfTZ;7Fk8{cnPIl8k>eGw^q8(^)A=ty zjPsC{dl%8n^_Mee@G^ZK7Gg}l7fHP~?5$B-ag@@RkiIXczd}2Er4{-e1my$CfSMIQ z&JaLNpD$6~Sx#|EJ|e%N*-~D)cddFATycPNBQKz|cx>R@$SXryh@2L1bdNGhi|-H) zcA7QWCSCjHew+0XnWWf6stoGfgmed29F{9T zL33@`i4Ihbqu=Fh@$Jv@*EYllV?;F->XZ`FxBvX7Li9E=nmL2gH2hkSmC`#i=MIP8 zq5H6M_QUwRmNYy&e)izW&f<={FOp+~75(I)OWWnDh&>bmt?o0nI#~Lh+3K zwQ7B)x8ipr(&t-L_WF7oC~i8>t@yP>gg53^{CYs=cn*2TMx^UlErKrB%f%v;9Al%( zwx;qN2YDuIZpE*a0_F`~@%!J<&a^K`)?VE^v>Y0V>$MtLJEsLGAxn=yrF$udnn>i4 zNT__6hAW3Uw_CFfG~;};GB2r`_6sH@L|3ZlZEXeKCMY2ykI6W$b0heY7w$TUuitP8 z>zvYlnWDovIB?c+o1n9f>5+zUXOBj-n6GrU6^XTm4<@hTEu<2suV489cRWVA*NXg z>GuD(ul(Q^gg?x;}y0S_)`=)7ieV%EFyPM1C4_Q%aku)EcL> z*RFLzSydvro?V)DMs>38<7Q^NbQC>6L>@%abrD>!T|Z-3N!M@eWph0NLch#aJlQo6`TLmx!sE82?$1rD?QAry!4 zD|jOBqtfpiL1&SdlMjDIKD^8X7t&Ez)|R>jIGZ(|f}2SlA$pOo(a1)s6>m!OY+ zn~6B%8&7_Ksu9d?mk^N~GnLB{xrTiBWb)w|6o+pns>aJw0Yh#aohkmIuS`{vXpxtj9wdqXQlYX_qGLw=V5!Yo!>vH5S&AkfbFbT&Dp zYuh*^3(2R+WHIN`8y#o&k3mW*+qoU09H$5jg+e;(tjjJs=Gi|RW_@uooewA|4e~mL zl+sg*tMYUll4`wg6CYk3!Ef-rM)iO!x2uuuGoKEE3a!*AV_KC#6G)3h(s!0qOQVjyeT-*Uj^?WKf#U98t#*j?3w&rU$t-Tj7>Y zH&)LfK0nD2*Dxh*Vow>Xrw~;f@vwJTYOlsoEM422Wx4dyJMQOe2jG-+mO4WrwT9D+ z)m^eTy41Oi{Ihdb$Zd}Q67OUFX3i%7YV@EUFuOmp&3CPjA58*fuoEOc9 zPH9vhnKozm#-(>QIi#q(7Fk2)42Y-2M4Xwbv~(h>5@*@2bKUbIvCd87b*|&s9@@3- zTte~}NQ*}9gi(i>uQ_XTBS26K*Eo+U638O+OVmpFH&K->DWhT>G_lM0(eKm{XZM)L zn8?W_i*O2~;zsG&AO#>pm=F8s7LxwAf{}5)C*iQ|OY(gOv?W|g)9_~UkG}dJ za89Ehkn_OV_+UqRhZ>|H$~ZdJA8_95B;$OF5X)2_CY-Gaf-kc;(4_OIBifKPj^E*I zRE0A#z zIHwfBY7l?krQjcl50}Oq;U6d%8E5wVafE|$KR=A>p;R6ghUQqkV-}m=83*%>ewa5n4|*#Oc)&?1rjD+v>XlX3JJ7jqr9@dxTX)y`aDDn%N67k!pvU1r zvx1|A>uw)c2c=QHPp@hXV;j4XXOgT()7qYEX>14Y6#HSac#ie^#QDlXX`@=woa+?a zOHHW#Grc#$;8o^R`lk_CT1($h{Zm7x_XaV>25Ub3`jj|ILTS1*@+o~N?T$b-Wco2y zdPCQy_NHKovp60rb+$+V1l*Ae8i~0{-)eCZJzGvG024yc^L4!8R8E2Oor&t|0p6J2 zelk}M;5<`aomViLEOp9Q?R&Q!Y-${|ZE6U{h-%30M8$m0Au7gU$WsPzW-6pzKUcWLXq$)lD-`I`4hxv9pZDd4re%;`I+}lUrn3lHO{NFlaE{i zD5!K7^w5IU6+uMx*P=6HvhGGWcc-;9zjV=AR&hHHL7C}?asCeV^-iSGgIBBGwH2|K zq%(cNF5UfAyBxKwCn}#B!oB0IiO*KrDXOUsA#Z$?sIF1gHPv@X`tn7$&AhQ0;k=(9 zE0aFa8#=q~qV;T?KcNv-`|ymA%8fjbIImd@5*eQdkv_XBTNh_I2jAQ8U3z!#kduiI z&mEslk#Ley)mH3Nu!i(T-buZ`gQ=(RK9c?ef_#>wuSq!DlE?l*(%06(FIUq1b1L!q zKFRtX;had2O^CD0!P#WJm?HBWiiH0OsocmHNY?8ppKe(QGgv& zDv*rxH>o_ty2?5d<^`%owhR$2asibIMW|+duoB)6lYR+lxT7QJ*>Kp31tk4sio?~2 z&vj(!;|PbxQuZd#7eraZcaC;2(|H4`n=a5Hd&e@I_hLb9J`D1E%I_be*mz$qA(29$ z(r>>8oRl_Hc%6cAr%-)=ki6kLW@=b*q@`*zg)o96Bs=QwSF)VA{;o3OB^g6%Z~I5+?`KVKXoT-R%nr99@apVAvA_v+1l zt7r4!YJ~IBP;Q9KBOkt>r2m7`ON+4}eLs@^R>FA%ab~-IKzx2rd~PBL)=@j5+0J9s z=TRi^I?ugG!`Bh#Oo^??IQexb4#E4}?NS&#BtJsad?(vVBz{mFKI zcl*6$`|2c%$MB27ChM~*QC%~jtm7{TV7F&K%+hBU!VJRY;igm`9_&o?Y*brQYj8#K z&-O&M64efuQoi6a>J-waV4U??NO|zxloq@rH19AROA>vjxDaNx?@c<-o}X7CkMZ{% z*z;?XH>T&+4Jm$@&+Pg6XO!OyXXJF(qzI+f+6BWqAvJc;rk&%W`RWUjxsoiJoxZ+1D??YfVVe}>HK@lL$(YVBw6 z@dFV)oAY`@^(k%Fs*`$2OCz7shawys(~q&z8~RN^6~rl6;w+BGN-O&2^`c!V9pxl? zwi2=cObD5q*E27f$L8ks%KkDuQ^vE5zr_!zd2DW8uZ$nNUR21PV_xrT)GByb;SP%y zZnNxKQ~cV_mVza0IApE`QkHe_VO`q%P0OVkGS>oG{HVKgv_M`$ zEs)EKOlW!VJc4|VAYUQKdyAoe<1@XLMK7g!z3XN$;r-RWO7VOtQC&_Dj_0ov&Q@e8 z?{LoX{0-uBB3ZgDu9Rzj9mnwBrSsIN&0#Rg)s$XabP)k*WEGkt8?p-Sk2F@vGYEy7 z^Y~cYvq%K{vpJrX{3Cbsdmm>*&$qTB&Sf`pdl=o-b=0&@?=X^u){aXv=`*zo?v2X2 z=KMpFbvRkN2jQUgRr5K9(reTa^lVnFL69NqA(x~1+>=AJ=-NWUIfVTDOOjpyvWBy_ z9`Yfi^UG=OcpdU8&+ptud>%-iUxOg8AgX&&PWdiLpS|^vyrN^2%7nPGsF8P&UC*Od z3U(y(bhW6vRWoS~c@E86`E#5niq$iS^Ft}8Xi*o+1VEfSI5+a8V(`mk?LsRkuscdg zCG!6WawJJVop6>Tk6ljEFQB#7UnV~1k*o~}hu`nM8u~*8GCPPHK7+! zx3z8!C4VElhyT&E8tNENEIpfs->0&7FOpsmWvY*mUGFZCj5EIeW%j5zz*9CA|@?&?<=Trx#?2KpzL1rP}Qd zkl2b7InYV;Y*h29+_;wbyo(_JAwG8}oYe|P=d_@F`WkBKtw4MZA_%Xz`z+ynnmBVR z|B|fW^)?)1Hxm`FY2Sj@wBJhJ_$_JJzi+dPGat^>n)d6+W87=w7WGlCjGj%OqlnM{ zBiniZ=g*Ms? zlp<5+c;1L&Y*&he(+TG?g77}ct5Un};Y4*fK@K44*zwp8^ZakA|K-ndHk>;a!m}q^ zkzGZm%sM~2P};2ETJJf8b1iZHBH`>ykUa^)^+i#AWer*Sg(RIvMT#)Yd|pUYUm|_H z)qn@)jb9K|(L~BP^NG);L{&zJT`NjxOT3LB?8DVa){lvbZGRh4y_2YZMG(%pZz3G_ zA-5BF{71VE!S*+ihU^Vqo62eNLn;9Z#yP#F2brn-#X57YEM9oZI&;2Y9Ij6vQJ88` z$FWfivt?QDrqn6eWE}3tv0c{{WM%vt*dW{*9J0bczJnmL2FV)2c|P@FxxdUl9KyMO zy_PF!wogyzInHJL%$_$Is`0UA(vOMa*`MQVINDeaWgX)%gy+#1XZHd$Tp#SA4L+Uf`S5yXO*N`_` zUs*H@jB0~bItLl#58APGm_hhG)>BC5IIk!2DzbfNDzQI7qbt|Z{OR?7jrzEDIGct; zYP7=i33I^^KC@Rd#`l11zd^UwEFDsRHRR{@oXNPySk@5ETw*hyy-3y^XLHH$6r4Rj z&((}&S`?u=VVS@G_%R2A4K>WzHAT4CaY*eTO#etp4J> z%#gVfvdpLKpHEWXfyXLWFUZXEJnr`gYGW5fxklste;8*AYR@yyiw4O0B=x(Q4?~KD z)f3jjXlJ4mgl^BT^@rB{E~?RpAamk(hW@Y;&u}aPK>^4y`iJv<)K1%NAAIhnAe`4y zK6v^C{WUEf?tZMeV=WwacHnBNyE9uq4Z*B=?$q8o-B>He^}ki;0|9uN0y+)xeP?*U zJoAN&bGyRq%U*b*3DQ#9*qC$wx<2VBpP6y~I!+0%{78T9WwRPk%Mnc?ZuEp`UGEw>&m*vXFi{5aX|%&f9W6c z%QH2Z3m?{FVdK_Ll0j{(oG&sVl46&${*9H1}S0-divDa2h97{pWYO3 zm;JWv`P$Oimklp`s7)V>BJ&ZvvBqL~qfI^K!l^gZra2B>T0CeGJc)7uUhhimMfK#; z$pMi%PycZKrp9KMo3TD}2o!#JOm9>#4J8VD9c9CkDN$xpHc|p_fZ%LzaCjNFC?yJO zwB(~0xu~vD7FCCu13ZG?Zt9S(UhW^0z-qoFg)`as2kVT~tj9=Z3diHby@s}NR|WzK zjH%kjNTd7e5BSsPfOZWyOs|a$9TtxReEL-V!};uSKj3aN{o#Ch1>GS-yA};_HYX@N zxSLYqX+6SrUwza?+!Hh9WUX#bFYv;(t!c&;ei-Y32fsb8C!m-?#~Czs<##iw5#BL? zY}@(*obmgS{&f~2Wl_qkpe?Vit^3zWa9mR*81EezXK?R&2e_N62Qia4mnkz?@`9?L z^C?x=q2_5s<;MDhXV7cuphJ5t-~im|aR4=bXrY6H*KO99@u#P#74d1O>4P&ZdMK8b zpnH`5Kx3o-NGCu)tqBDvIz=4^K=A9z5#aViF!;WHK`LCk*c{GM4)D6Qci>y_ z{XIcZx?h!_?yXNPEdc#!hQ6eQ!gl;l)1O5Z?%s;O77mKDwRY|9FqUaj@$3uA1?TJa z2O?-P(&_{#q5)^0FTPx_4G*}lSH9hRaXp(`w^(QI89WGGexiEg{6qzGqpjc4Y8%jv zfY##S&U$RP!moYQ{^RQNnF8w%j1QnIX56lZ02FuNfYwo$S1_Uo=yEO8rCh0Z1_#96 zVgV2QHp&#n&!HH71Ma`{X_xxnRtO?ys+jOJHJP|u{B(ECj?r|7X7G`fEO_ERLYvX_ z15KDg#r)1V-(u?2v&A>KVD_J-Igw}iSxp2*$w?(r$3ybhuMug zRjl;}d|=N3y-0r@&i^V7ynwG)bv2*BF4_Pk-(Q;{Yi7)PP#;f-C$G(_IQbPw%>z_>^7) z=QZsaJaBhh_U>!-+8`|yPH(-AyQxbTaD!c+QYu&gA6Pmpo(~`$Ka>D-`oPO|jLfco zwgmgO1Vt)7GGBi{W21{hq&9wCsRS)iuL0W4=YfN-Rq4+m%dRw7yd-m}=e9R#N_cMT z(#cDlCF{>cFW(k7b}_Q<)^}x%m)Kp&ipWE82CtzG?L37ifNGInL^N72UgmUhKBU!Q zs}4PN*3SB2bgk3x<0=nY?=mhETCgU7psoeCuJ&voJ(?;C{=oeM0UEyvy{p;!r zXwyAIEOdAF4FC9Tt`9-~+16f%dLQVMEhV79dAeTUjIlMN#@!3`4`>BCpc;U}MrxhU*_qD{<82r4QPdXq@nFze2j~qM zx{?S(Q6iNet(1sOOF`%d=)d#_=soqRX#yzH92hI;&!D)& z0gcf1?V#ND1ui0N2yUxG)~t?7yoU(Q?CnGF1iwe=1?-=!P3^cN1973lHd$IdHjBNL zpo8rO1o`-(oamwewZ6ge%z7-2Y0q4rmDEl=K`!aiZreW zuZPt0=V|VN?(jet8|&ulYsU2j4i4a91#%Y~NKrt$aTeeLiu0cO>u~)V{RM-<4-eNr zoG+=Moa!qaOST<7C(TOpsrr-1-S}1m&Nq$cZrnXfe>h)JL08StnZ!ljeCVWQ zNu8QYZ(aA|?42{leFobmuj^{t7H@Q5>8t^lbqkRTPSF&_`Ks}h#ocu3#hLWR)V11@ zm9})%%}Ak5^oKL%Te_BNJ>P;yZQ5$T?~cNST>KVy&d2%eqDGlDfV9T9|F{}5ceJ$e zf!>IA?dG)o28@n$Et(}a8U!6M_JY349jOEKfKTdvI5YyZ8yx~3+5kgvk>)GvAI_JI z`xkdyuK~J1e>hK7CIV-pj9d4S>rp4@2;4OW;sXD9swZf=o(Z6JpA*mVyN^EV@&x9Y zx)R}WdJP5oD|3;2Fz{o#5u{dMt*Rm^}sbsUO2^v4lb-yQcopd089(9Uy! zuB|_uA;Yfx4rly2sBSv6RQm|yv#<~&?wwV5gC0=KfmqrgeQwadE)DBZz1J%R#+z2G z((rdm0qF7y?fCp*G5Ns5&hbUZUi%^M!34>DHgA7l7`hP)j*T z`DBIyw}Ub+V%O6iBb{B^4;|?Qhu`KnnLY=Mks{LSvXsX(Aq{2eP~5%L8Ni)voD!Y{ z-~RQc^_ZsPenx{1eAT_#zue>!b0_cK#o(dNpUz8r|9o_qezOWCm-amR=_j&GUeW9z5jMwqIcs z#g6JY&*W$f_^rB(@kMJ0de^Z&d8>6Q+_`*V^)bp59LR68HDgrgi|48&q~>{5DYsUJ zPKJv#!*4mI^tuq7j@3WgT?kK)%=IVgIXrzA{#rUbJ+!D@owj?rXtZyxI3E?{zO8zC zM36g#)>{OJC@rorziU_S2|(+10$Mwc+qJlWzhrP>^aJz{=Sx%%dg&|COt``R#E?)|Cz{(BetI2w5?z7tm;-bxXrT$>!%aq1a z2hbb!XE_vi;M+X|cDw@&n4ecJIQv@h+w{I$E51{HdQ+yqp_S>h56wK*QYfP2%lb3j zz;PA*;k<2r`cAzz&7j@8H5Y>BH~Q4_7@*(?DEcWKE>>#*TF=j*2LdQoNp-lu0kj*j z0AGyt09von_P#!PWgUlqW!!rG!_|smJirI(YSJgVgH-&UlDU~)4t3qTHW{8^t`Yp| zngcoms*JLo@ai@CyK6>4z2?IA!s(&_zVfx`*H^vjHPy*T>t9yTM`q~XDk$E^1@1jH zPAw(j^-t(;+4efT^WU8Juydg0JwV^0Kb$SL5sxiyj$c@9egG7$ad_v%%4hp!pS`bw zekeot=!Nc9K|kD!`-2R%Z%6A*n+Cq#w_eBRYg+0&ez_u-nI=aK{pG5&X{Ggj%;m%h% zFUh(YrMBfx*ohr9JKg^`=eC_y%WkzaY=yS<$R1h)fOcgC@K6Qwe`<+#HE>-)>)+J9b#*Cji*j=&FVv2m`) zdN4Z#X#EcR{0#l#cp3s{yA1upI28K6FsIfz6_np%1@7}Rhfi0yyJqO=<4{P$yNozL zr-GiJakr|V7fcG>qQc!#nIcBl(l9fxL(0u64baC_(BEX}N)`0~GIYHb3TphW(Lak@ z+|_w(R3V0iXUDg9%A}>+QniER$!47#_cK{?Jzw(K48^X3okhs2;ESF@2mSx-oq3$5 zMRo5rAjl@m0J6i(00T3C2uhSI&M*TE%Ib!o!3&AWCsFPndShZ-5*1WdC5g#ZFn1)l zC5nk*3(HKK8x4A8Uxu9-W>7&uM0Q#3J>RF!c~Aeki>AA$d!~D)`ty0-x8Gl#=Tz0H zQ&p!WG(5$$7XgTptTJvo+qspG-MoLcQJOe zZeO=6H60me8h5?euQE!(f$OF3g96GamOI~!T)DTtx2Im;l`UuwarAOQk9JhYv%2?t zV)8|F8p|t-l_DkCzw7wc!x#;NEDzrAWm`ogKnTYbv zu>*8ZyZFAP*KYg@t5V12X!(nTb~ooR8Tis}J5+UYvW>#^J^vHEEf(}zh#C(bg-4>l z<3GBgaa@zZcdww^Q zO*H)dq$Y~D1LR*Nx52Al_#I;xv_tttN#~l}+OgcEfuuLHtH=tSd9PIr^!`p(QPaOM zq{t9t={KdElgZCJ8OuA}WK6l$&Yb7yLerKUZwJqR+_MDQ)e2?Xu);pZF4?s1;9q~&+Di|_H`I~lx+)PFL0K__E* z!%sb&v1GWuQRFa^F>1Jc+ZdHAgOTh)*2k#2T@t=X-4PC72FlFD#gjA9u;q4T3>zm2NX6qt>ZyEm7998frLAH}{jH~-(WQnp_fbzJzw(sn ziJ#voQoqj(sNcy_zc?SWBJ~?dejl^aAlohND*qwR@CN8J6rkg>TihCg7H@HINS&Wd z9B6>`?SpR}TUXrASRY$gobMZ~tdv)6juq?A@Y{JD_@X5{yOylU9yR0;Cz#fBIm9Wd zp?qkJD#$F(`VU2m?&4iTzGYV77aU4I;TOEhC%>2<`Ce=ncQ3Wyc|z)~15zKf--RFd z%=6US?f)_hfxgbs`|N)>vPW2EJyNIH#rLcZec8({vH!C)JKF#KQx{3<_MUpA-+M-v zO6|C3AOGgGSs9B-`LGSsl%gJAh-bId2ik}17qobl5{+|I?B6B&xYLqzqA{1NSNRF2 zWj;^ZunLHQO7uymEv-bUxR?hi+4^=Bsq*VayRxSHISo5V#aGImvFli3xVeiGZ({!x zj{JgdP1ld~ARwdZCK9yZMiYFI59nTw-XZ9&jxG~)2S0=0FN)Y7Yp$A5g5nQr^|BIc6iWz5FcQ5SWj7`eDtTfAP0viA4 z?iKWL#%Z$5kk@oIp(VJeW%-X^`|#1>{L=}$`=s`do0vh$>N_MAr=)UZfHr1OJtc*N zxUU}1l6D6iIOP+$mH$AQ>p{_$ME}XrjGloe^lf!+7wWl|Rg~5m%Ep0?3t0qhK|s|W z0)b{T``~+dPuBd}(Nl*L5j)#y>nmsM@lpo(&UM;Ff*$Iqdez_V=%qbc%KUcw1*hZy zI?rhr3hhCTUMXmtuDC$Z!Li%$eYbPqT~sN_@PS?|XgsmyYdw^5#tAS^NTnR&tk)5O zUgWtQD(JsEdbpq$kAil-aJbAlXvvPYLZd&s*f@~oz1xHVda$6294%+akQX(+$PhgZYk))j&9hYK7>Qn@-6ywo&g!&Q#b zN0I|Q1-{1#N<1Ctp9uQD9Q{*4&vbM$l$W-nXCsfg9h)dLlVxp0y(sUK_CdQ%hP52! zz0N*LYw(L&Wbf6!Tx<)dUW_tiu&K8%^IOJIP4_$L8>#&fyLr2yv8#Efpv2*k_m2gg z0H+do2X* zOusMV1wi+5G@~^^@ywCiwZh^3rZ}Ma_CqvjWBVAaQE#>s(Baro2RrQ*z1+BS@bmdW z!&*rz2(36qZ+|yRcMGm=2Mmo)hQ1tdR*OHVDI`c(Gbxe&o8tj-H zm1F#+HzpeAGIgw;WxV09z2z`R(_V+B-UHt2wBL~2VqdLZ-W&z)?$XEHwa&1 z#-Y_(5X}vGv(Uy!kgFMJViwUEXM5++$~*S*WnACHT83V}rq3evGcd=y_MI>K+h&oX zi_H^V2J448S0iz^jNixI44c6Du?Ks zFV)|;v%Z$z(fMl0A`1L^DQ~pJ`1`gg?{;1?UHebW^3u+DE-)8w(n9ERhpQi%$g(NO|92ijPj}`Rh29t-HFhFRaf%jkm+qcZ=U<{UduoJTJArhdi7zd}ET8H=f<5 z*70gyuSJ z+QEz=D{ud_n=d9&d9mVVn$2ZX#su5*pkzpM;+2e2#XG)q&f3w-ymCBH^F24#)@eTk zs-DA%;DL&gjikIfg2zape#)6H2i6WLhZ&Bh4}f-S`?951wSD9who%pcQy+Hl-o0{c zg0b6SnGEG^HbI$1ki%%={4}};PZl$e+61E+chshh*r)HytQRqX>BS}Q*f-P@3=#V@ z8OlprY-=^eZ(dFhfpBpy85AEnbzN>(o=+QiicHU^{k7f1z3g~%Z+Rj~aOMdbq(1AZ zyl1!M3B|y7<(?&}@xEJj*hyH%tzCJ!xBvMxQp>$qx}^S@=Sw~FNhqzJ$Yx~id78Az zCkC|VXC(FH0jYZLCp~p|?OEP#9PJs;y&1pu)UvLsdd3rHHn(=l{j7&fhmizmj;q5( zX`)xy2j4n(&Nc*8qX_0Yy2x_PwZN*0rkHHyoec8;Ek4=it-ZYm=zezFJum%pRqviN ztKdUqjA|`Z+GOIyH_$pnApV!N5Z}zKi~;!F@(DbgeOQ~sR!PO$qwKQ`h4V#r<-Jqw z|Ng0*B+gWPJN;9yl+>*Ur2arsxAoK`J>SzMm3?+y?8g|rrf<_dJ#>@~&1BbLA;6OW#lrJacvkc|Ly}iLtP-1xDOHGnC)&RsC zCHl0}(prgP=fPn!K_79H6-Cm<%41zYiS2}=pK&VCg^UC-X-YHjxH5+Cr9rSbUR1y7j!E}9};wPM}IBoCXVtX zk)pw!jyn3Zps#fFIYHNUl!tpH2ex>S!`gyA=Q4YxpieuxVTVS~xZnCq>lq=9sU}OL zG0}U5HG6m73N2#H%u$hX50v>JQRWIz#^OY&DX1x0KNMMj8rTmF+FuKbwF7Ov3>s&N zo(?VpvjS+b9jF%<^9m>x5vpxk{mqTldmC+XHEm!yetx38zIoTSh#7>g>SElUP5olw2 z2uT5@C(w?|?8WvPu_z?6e#!T)4fjfe8NguZWN__OA_?R9=npU}>ARQ-$*7qv)e4|3Wo1)cBc!YZmeOGi8H z6+(N6qjg$6XBj8jztZD?EKagt$m1eG4|l%%lGKeD&|d2^RPqIlSjh79I}onxoc3bj z8@pz&6~5OyZPpDAQMb#5L&)qhK@T;0SZ0(a90vQHL8H7{izwOmgl{}6ZKjm>ZZEIW zzQfC)dw+XcmfXynm)_;rb0d><|-z6VfN{mJ1%N8cjorH&pZC|(md z93g1%#S@Zz>rXGM^NsR;Tk3YKWkqgUw<8T=Jl)fV#?0+zlMd*7L9cLhx}f(ss!uw* z-O(L|cA2BQ3VNrba|HcAj&3LD&mG-H&<7lSwV)3=`n!T&?dUW?mpZzSpw~P4DnTD} zbaO#}<>>l?M&!H3xCeTy(8e>>h7-*mvGZ>i4)LC|;l$b3%Yg5Omd}|1ondAGP2UYD zJ|R%NW2rkob984x?{ajOpzQv`0Uud%z+VW;_@3z1j{Y}6f8^*~K^Hm7NRu2cb(GN~ zQAP`Ld#|A1aP<9xe$~+r3i@}Bept{iIQnrxS$U9KlW&p3Sj+cPAJ3Xksf^%bPiW^m2et6t=_sRq)-58wn|w>VHTg~+ z{l%{_#k85R;@O^7gYIh==rw|JvJ3R5RrJOlO3zx?KKTBLpgcnt^eRE;IQj!YqipcBEqt*MC#gOr`7Rce@E3)2)m?iYuqelsK>JX zuJip*p$*TTj$DDVy32kMzM%A7QR5i7ST!bX_^vJ#bgGv@|AlY0 zqr#V2mxD?@yrG&~pmn=%I8u)?(W2Togv@v3x|llS9H4P zrgdwQEN##vS>&+tbP_vi8`E3nf}9y9wsjSLa2@4%n*qJ?Tha^hZ-L_3$}#Hq9c?yO zkxq+BC~`0*aV?=gvOO^gdXj`TW<8y|!`@LFW;Aw`KF^VLA-U>2 zA9j@5J29j8NqG-+4t1OBVxg^%M(=VCYCpuTr;bL)JMH;BzKp+EYiQwdf_}>oMXBlb z_5mdx+Tp>sO0vF=4I5GYQFH8WIOytjo}&wUWq>BURBBI#z4I?Z8#B6ksp_Nh`#iUQ z6b{23t0`Hu@#uAuJsCLZKKjr)SK1LGn#v9kWl-;8XlmWNI2@fk#`*rU zl%d`hgLRS;MUSo5c(L%E>AC4h5bG?pf5W!FT{wijuDOMD`h<3_=cerz^3^_nkkhK~ zb-tsj>w&5jKOXT9$ag<4gVuOgN1J?0zo@r`_KO&4ztYnZM$HyqQf80XlSm61>BLOd z;!BF2Y1~SSFDX)4)$t|RMmyPs#^UQQ|AFH12gM3V6q^W?J-bAIlgcNP?nKOBgpNDg=cKyyTdZ;OE| zy`;&v$l>o?OFoS1^tA5_j(%Lw&pO)lxAd&{i*&x~()pmEO};)mxpbJVQ_rxJ7^Coz zB#Q42bgbq3dNZ5gtI^TluA*DpV99tn96tf$F0_oeS&P`0uKQtbsM0c$Cx;dYx336NJnsdA4o}e z6hiydbK2xvWVI&DZ^PLyoa4HqJA*MsT`FVLc*MP;x3RA`8Ony}yIncUiZ?Z{ptZnP~CJ%$>48!^b|Ne?*D+8K>qAZU!VE51TmQzc3N;o8*X z${r+Zb>z%jM)DmbXWNr&NPXN>d6r*3Coi6{XGGn-%Si0F!g;E5j?`0N-%E{mkVNVi zx>VS6Z#+ZrIpdK}{G%jXppbvwKJqDn@vaKI7Xd&(1tqxPS&YWGitW0tW$ zM-tAK)F|U`owL5lV&Gdh&XjxO{VZ|s7bW!rUaJ0WlBH^G(!a%4zcx8pbRje}`iZ`8 z=g(bJhVCat=c8{0$D#Ylr>zt@4xBQepJ*9BGaz+nU59gO^Jo3u=m|PX1m{TA{`q0Q z*S>mVS8^W9exmga3AQ$&DNCE6UHm%R+XDNzcz;3H+_OEyStrD~58Wf2;}X@KjCqbO zH0kC#A@;^D7c_ikYG;L4NO!)88lk0#7fHVFb9B7UIF00t$eL=YMbBzBZ_&`$%Tui$ z?&<-{Z*L!&G#0+a}0eF!OpPPabPzn4tZKE&8?n0?SwuCv8$t^;0cT?X_9 zL0{wOO@i{&c4%)Abe5yH3c9%uI1?e6ZGYdzT8|dsU=Je^ z*fL3LU8l1Mm3FLiqi=FybM^P#FYn3}snb0*EHhHGq?>z5vF+00TRFBJy@`vp1@c}M z_9gj~_lEYtx5idP?BEhBVJRy#)BK*B1&#IFZG!IRw08-*i=*`?UY7Mslq~ovhZ)Xc zIPo2ko0e==l|ww+N97Pt+xZWv#cb#BBSGKfsCsA*aP&%{J=js@JIB#~7uwhfzod#@ zF6eyc&?ji*cCny`J8hlA1wtD>-n;Fd)Q1^HgI=5?w0~>}=$CsaEqapEeqYd|oHlC= z?V*m=-%ON#I%vZu{cplIo_|y4yEnz_dLSHbut5tTBV|A%@}yqgOC`7PAo6b0t1)E>h37Ux@U zp;O^lOP#}3!Xe)Lq~(qGOubQP zf8rdr5cDBOw-xjkj&3UGuZJvyKFQ*jPP?&ic+}C?3L5X3s+Vjdp^djsy++U*o$nMu z0Xq#%wOjlXt+o!(p?D#4Tz|^ZjRfVnH*lCD=);a~EhwudXtx)1xueqsz1vY{ z>ny{aj&j~6(Z!Bp8zhQ7LT>vCdX1yG6F`meb3(hn(EgXB%;CwGrxijwPtb*qGOH%- z6^=4nC(4`+2gd9~8P7pE9h~TQ9cBDUl(7lg;{`q6(LWIM9~}KdLCc}G7XD9=!(7ESw#9GdnOIjkJ*yTs@2=7TKOO7lS$IZSjP zB%>vB)?-b7J2^BTdeMi;;zJMpUFLeMzLl}_G2LUb*9`306jeH%&sf^0Qjqb(**xklm?s>8|{(_G6o*+EA zURY_lQK#5FD{*<`4;p((OL{d1o$9Ie_r)z1TD)G|qjm`%GEia!b1vM+QJu-^r=!D$ zQQK%Y=b*WTWy90EGq*Q7ty(L1-YA)l8j+h?Oi_y$j6V6UWx2qiF3I}rbc2*Eq^^?0 z`v+g$X`Ah6-D0ZOSgoMgcdGB}>B)=8O>M5&HNx+ga)=#}+!vwru_uu`6`;T8wfMSl zIKk2L1P$rDk{i38!avVjci~(2V}n*@Hpl3o9ZnmE?$oW~=Z#C2VI3nQe7T zS4$SwpxS+SV&SWHYS7k4aBZRBplIyBT`ckq^a4R+^i6quQlTqWfK62@-H^b4<&;ENkhpQwv_97T3$7@Ef&jIQgiL+=rZ@$?} zrZYp#PIV4_Qn#a>gU-@12k5vSqrJ`|;j5_6$LgyHn?UE|n5C7Ly+5R$(F^q_Rf1A+OR|nCWYB|QLL-ir}sy>94Xzz|5 zptO;jmMqp6$|1%F?L*;DRm(A2UiFM90JKm0#Q~1$SaPtV%6E>Vb$!qgCdM7LQ|CK} zK52`{O?9X4kqr)Nk-o$E-fiD$gU_&BsPQ?H+aDVO`ei}K+jClP`KD*3E!GS@!x}uv zbu#Bo&=xUTdTsRi7BO1-MT;0MF%2zZw8)`Fj21bJE@E^o8)>(P7 zXtA8iU7%Q1pu?g4q0?gFB!@mnvECA8r3znc#YC|JLGgzt%4!)jqd+McYmgVG)!d$Q zT6PwaFZ&m8cuLSs9DP_&)_Tz9-Z;OWHX5v}Houh-JXc>xr_FCgXJS8~&2MdfW3*Um zonu}5Zu8rU%x_5YSsQDlMq>Ne*2Q6?KD`?9d>I|I*VO8dkD&o3VPPGugKvKMLOrYbUq;H z%GJJaSl;w~-5(meeX@J3j;STnJvZGEi=8Wtr9zV^neKqha8zl7gT~hF>a_Je*7|Or zMj3=07%#IHctj|fa)>yldW_ac_SAHrY|V?Z9q&E0rjtc~n@$!vunNoGeWdqpjZ$nn zS!5NXavLX$JQj)WL_AD-v6&|#?&^vW&^Dbc@@;c>kwfm5piwbKsg^`^Uen1UGqn+8 z=Pi?iiyR>-pfHrs6dcew^yW=d(=uQ$c2OQ^i>gYMdigmo!{yNg`;^l3z zi$(iZW$a>{&#K$0YE=a7d1Aeu=&iXx(1=%V8dcg@RK%~h(}A@)E3CG98!H+uRByKnq>W>C zQXfdn7p*N?TQJ_lc&4Y7+6m^O9qlxEkwY7!iX2+MX#Jx9KEulG7eo6%>Q7<0O>BbE zoP1RKH*C536GhZpH<}&Yk<3GC(;n!SuAYPqkAT`yv5wWc#ZGaPWa;HEB}vX5tZVwU zwtc_Q=@|$Y5vYM;Wt?I_zAO9G=gU2h+dZUyq6=7!dk4OintKaZDB@mY(cSwQ7<74( z`e`c$`9|vLT`CMnjd#iuXIS1>2)dD7+ye^v$x_zSy{yl9>N2Cq{H7Voy^*T#=KhqI zCQ?t8vVLMfs=nd=&pefwUT)KTr+dDUTJDW{#yih{YgAd!b&LyT1%=~syRuD!W26?z zAs?hNQl(JPrA@X!B=WUt4Qef29%(-6kyrXeUUZ*<@ri>{o6Nl0-TycHS5cXfty_lkeEd7umkT zuB%MGe8-!*m)h@qll!^Woc&Xmb*&rs9_sf-yu#_mr`R6c^VR{WXG&_^yVy`hq&nwF zy-)Hz#5qUm&n0!fr^1!%-|Wg#9WfyFqAnE;qs)i*OD*ySHL#NcCnS;+b`q&~*hN0X zo~PuF^Lrw-_-I0MM-E86!+s~{C`H^$n`CO#K2m=vsc&-5_j#$xv*{w=_Y6oaQG1bk z^ng??^FaerwZ&L>BaQxglx1#u)buE{tgc7&Kzh;V?4qB&s#^xcJ@oK_+Le8+X;;&( z(3GLI>m#N|Xc^w$GQRWcY11{nb-(->N7aA4r_n)M_k!zrshLh&kN2o^xYJ6Qmgug| zVf4|}aSm&d@IBc1>dB~x3Q|9MM8!X1_hiYYxFo+Pd?Ow~*C6o(%*%zg9<_3im+br= zEhEE8o?HESA{Pp6#7|r*=v$ocWr9W-^m%00d&}=bLw9~|%5a^d894)blwFXGqzwAT z-FTC4{k_5U8fTO_Wf&Y~4jQpS`WD@IzpvIf%23ZOV~}2)Z@iuNyCUCrx^(LA*1cG0 zBPw3s&KqwCR+&XDQu`=by#4qh;d{83LHWj8fAa~sa5%z!OC7D(t^PjZItP_xy!Uzs$t~W%T(3p_Np$r$M%T-* zm*jSn*KIpN?{ie&4IS^Y-cx9Ac3OQ4^v@iv*RB3e?>dJAB)16 z?ss&5;d@oJyz%bsErmATPyGf#;~m*5$&kb5LK|<+uJ^)igf`x%tsEZq^6Fc*A91wa zFE$gt@rG;Fl4#>s3GHu&%uTf<^jF`U9q-=WMEJ&A!BtB_>Kh8}<6ef11&z0YYYzzh z-9Tuca1Qml)!VJkK_&U5jpvNC-B8`xXZ&Yihq4mLk=6>-p{$S+Wj_oK>kAruHx!fz z252`G^btq55cEMuw-J=pD;%~H6rUF8R6&3%sps~WPue)y$+E}rUWZnG&1?!C(YZ*U^T8~M4R%q98 zS}j>bSNBQD4zxSrOV7>RVkP_oL4!6|Gu#<#hAV|OR_^Lkm_1}}xqgFhth4%r?>y(Q zP|(4vaX6gdw7Gr*jdfOim7i+{Xk&$vYX;DG6G^VK`lIJa$%cN)f78CEedx}7yZCO} zSLD#fz9NU!aqNpzU+UA{!+Sv4%QJ(#>kknlUiWy{J<~eJMvQpfGhNS3ecMq6_Dyq! zjM=n4zl~((t^2EW&I;eQ&RK`Jlom43rXSq-tl|!_Uw&pfYtL2Rv zr#}^bQ46IF@3^*n>>Uhez6cv;ICjaLCbMqbX)-J0?|A9iey(TNOOK7Qx^5L~j~#lx z(DmESo}11hIAZ8`KbA7=@3gwkTH9&$Og+92IOzDWmD6gEt&i0)!sI><{9-pMcVR$7 zCzWrklImlsj!`jIYi_ZAJ5S_rqStMKps|i!mF*ViV0HH2%gd{+S)T*89_JPs&Ilgu zmU~~cam*s))o%5krTwDC6O>*ZZJ{&z6xSW~fyDl1-MZ2q8*9Bj=~*!noG)mMldauK zo9G-6qmlNORo!l^T~2Z>smCd#-w4{6V_O_ZY2y|LQsmI$K#Cl8XJYL9;PjRj2U27a zGfs;G87ju5zTd4e0xb@t^s|VSXmKD#qgotDkwc3EDRLNH90*Y!hx+`rj(wNW87 zX0+#e7C-1Fjy@si#*RMPL%H*rW@UB~42wVgsYqwAKNU3msm;o4RwnvzlwBB@&B`ot zXwk-Hv~SVIMGkdaxkVe-q!Si&i#9IOsjt2?Mz%#8mvJ{DsYhpJGJ`#7)*#W3ox7y7 zi}=sL4#l=C_9keHHZF2%(Z)p%ElRw|p+$)oIV|x}gB|W1HQ3!^gdu(<(Tf~y(fvg_ z8;W!ucj>fK8AUoD5$TMizkS{AWbUf(g=)k{i`Xx+I?!dNyVVi#QIGl?N!*}DIz&uE zpXq##5A(bX^$0=T?>@n4>(MOree~hPz_p0|q7QL0L?f009ZA$`J<_3_7b$YqsMV%@ zP5b(fi1k>XHue=QiKrfpgU(KK9IeNAYYb&KStDV#= zQW-h|v#mOcl$zaFzVV9NuE)@8y!|YndG)N^ia!S~o|0WZZKv_Sagy*6D@(37 zBSKr(kYR(@*DdvxrLJScYRe~a;f)AurS6qzEmZo&s%)Y98IZNiM?w3kMzwQ*rM>2u zWROnXu5L0b()qIZxth$1RN|c4IU=3W*E+?**I0-C!Y^8c@tmiLcIAdTD!a@D_T3+BvSPq zejgc;F6djxP9D(O`aZsRlVRwBzK`#Ney@G?dc>L|1zMXPaJtu?5i6hPzT#u)fzH3r z_~#0EZ%4;^d>ot`x_5%*O+Cl*bOU^eoEU4qw8b@c@m-(6>U#$2jo8;Y(3kKsj8`GJaHA4 z^>YC`NpA6U^J#)EarvGneE+-i&0Q#Je7vLer@SX$GI*bTU`gpyk>lCU`rO}ma_}sh z9CMspY+1r#YeD0=${L9qPY#|bw6_k)p?-4i)xzNc=TNVOKBGOJuwH-abiEe!CyQ?( zWq8obP=6k_KBGOJ9!#0Wzsqx*B4vo@R@dvM&uEXQ$JZmt>vhv-w8zuq z>l{>)@m%ow6RPXA&{Gp}E+Oa+aTe)_}@&R3FpMQ?=RS3GekXD85@o$7pZ zG=erpqkJ+4Xv`uDB)6fx%ymh=lvP1)L)(a><7IAI(}%SL37S@c#t>00960cmZ6KJ8#rL5XZ*}B)KF` za(R&CP9z{v02PYpXyUW?&?^fZVH zQ9wh3#0TI%>+_zCrSW=ae)HN{cgdr#Euu%WPcM2z)P`u8m?TJpt0Fft$zrI8CLpJ1 z1MkbbGG&bqVKkDt2(`*tXPxj1<2xHg8z-VPMwZ~!8zCwNZBpAv`V~JR{|#bkK`MiOo6eA-F144VZGkBw|#Z z=K|R>oKB|l(Gkryz-r1Y*Ft%jhS$ANmto?pz?b;vf?3;5(FuI;aS|nyK e53)>*|Jw;0=>CV{@FSYyTg<)N9RC0S0RR8Z<-+>_ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.cmp_merge.kpt b/Exp28/db/YL_dec7748.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..437374a76209903b87a50b53510a588ceeae2bd5 GIT binary patch literal 229 zcmVuG0001ZoTZV$OT#b}#_#aV8nvVA5$aJyWizXL0wqfrHD83VaOM;Wer= 7448:inst.A +INPUT_C => 7448:inst.C +INPUT_D => 7448:inst.D +INPUT_B => 7448:inst.B +OUTPUT_B <= 7448:inst.OB +OUTPUT_C <= 7448:inst.OC +OUTPUT_D <= 7448:inst.OD +OUTPUT_E <= 7448:inst.OE +OUTPUT_F <= 7448:inst.OF +OUTPUT_G <= 7448:inst.OG + + +|YL_dec7748|7448:inst +OA <= 69.DB_MAX_OUTPUT_PORT_TYPE +B => 27.IN0 +LTN => 27.IN1 +LTN => 25.IN1 +LTN => 29.IN1 +LTN => 13.IN5 +LTN => 38.IN3 +BIN => 37.IN0 +C => 25.IN0 +D => 14.IN0 +A => 29.IN0 +RBIN => 15.IN0 +OB <= 68.DB_MAX_OUTPUT_PORT_TYPE +OC <= 70.DB_MAX_OUTPUT_PORT_TYPE +OD <= 67.DB_MAX_OUTPUT_PORT_TYPE +RBON <= 13.DB_MAX_OUTPUT_PORT_TYPE +OE <= 71.DB_MAX_OUTPUT_PORT_TYPE +OF <= 66.DB_MAX_OUTPUT_PORT_TYPE +OG <= 72.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/Exp28/db/YL_dec7748.hif b/Exp28/db/YL_dec7748.hif new file mode 100644 index 0000000000000000000000000000000000000000..ae8c04a38934afd2be41f3da6731d153c549a73e GIT binary patch literal 404 zcmV;F0c-xO4*>uG0001ZoUM>uZ__XohVL!$A1v(#F48%U>tx)mtlbJ(3j~6=vixyE zEiz{~PNizwf5+)6io}?>K(=N1J$}zQ&-pM&ABG+;mzc9;W&^6h_qKC5%_d}$;@MzZ zgK0)F;ioA*r6kR81%7Ka;P+C0$0@Gf;xC}^+}IGEDCLN_J%9gX`lQwlF5@2CqRhwgt3n ze|eN?(A1bI7?Pbqp$GuYxi-@&Q;ZaaWSk(%V*={}cqd!Y-HxNhcW73w-@FqS^J;my z607s;W4p0nqW(V(H)k3A2iURJ>)1+o7Fu^Vw=D!fFMa}lZ{g4GN6bM0 literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.ipinfo b/Exp28/db/YL_dec7748.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.lpc.html b/Exp28/db/YL_dec7748.lpc.html new file mode 100644 index 0000000..fbc5ab5 --- /dev/null +++ b/Exp28/db/YL_dec7748.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/Exp28/db/YL_dec7748.lpc.rdb b/Exp28/db/YL_dec7748.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..adf85893697a16a10def32b9c3988ffe5b83d41d GIT binary patch literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZULDF1nN+FA%x2?7s{_-oYQ}n>yUv! z%k1u^2k$Qi&pX*v5C_(z-&?;e{JRCBOZ~qyC(4bOf$Z@WJ*wL(5hQbe(Ma^ z?wfI9Uadl`^rm}KWwCj`bXgaO-I(X`GyP%J$vKXE_gsbZzb{3l295mHHVzKcchv{|xP3o44npR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map.bpm b/Exp28/db/YL_dec7748.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..85c57d3e37058dc83d75588fe09c8bc1d2b48415 GIT binary patch literal 605 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRUSkEC0t631rUJn%2*nV~1YuM#-U&YK zci2EcC!d8~#g5@0gL&q{erYXRg-|Vai-Jv;j^yfIvM7>au|Lb+piprsheLm*M~G8I z)Y@_z$$s;)X{k5s)`nGY{Ar$aHOn?ym!+gUH(|}0%ZDm|xBqeddVTG?zFxQH_&M|D z@>j}QKke}D*gEg&2}8x~Bbh=>=fWCy$vS=S2v=v>x8UhEPRHrRrR@Si<^CL%S{8XP z8Gb*t(tWhxa!Hm(%qoj5E3c=9cV6K1p1d+7N21eMH=otpN9xYzkk&Q!FTTedPyD<4 zMy|2s&!{slDSIZcD?eKuspBm8;l^b*sg;XbV)-i+{)DZ*X?MwM=62N?O{@_M8-FRc zZDp1Y_GhmV(fYsa^-{NwogFRTdhYtVbxxeNG3NV?l0(vu3y&+#ZvT*W{F%*ES>01M z5BVOi&?u|6jqFl$MY(J*&x#l{vvD5jU|Kj_c=kq+D*Dvq)=ZDwx*(W6>MOTpixkG-A^-tcw z1czX80U#q2h#|xXWPK0o=Ls@4F$Ni$8W;5;={Epx!uC6y|tn@DfCOAjoemk$tSh;A=};S(Jx=!WFzT`_{HQ(sVF= zcnClE!7aDlz2AmT_V1lRqsNG2^$f4E!*xFSjsE-!r|NxxDm%;|{S$D2AX<1EfgdH# z_RKdB9^&Z&aLH!bpTlt9XcsHl&~F;=g`lcE%!yNvgAA3L3C*oX%jHTRs8<{v1yty` zhq%bjdQb$1^p-PQBMv~ev6<9T7I`&w z!pvWcYw)Jsoa02I$ZXk1l@MiLJr2*WKgi`|Y^??_-jdTiM;sA)o57nf8>lV&kgng* zm!0Qnq`QPGECwx|o-k5aNjXZKqBY=mdpCX0iBBm>%40TlyBWK9-RoI+XFLfMU=xoN z$0(BZA6YcBY!TgQxy~! z#kKS0f+SIK>Vj2V=!qB7zb5VY_(L;o{LL9Y`o-<~Q8f&5M)t;5{13DEe&tCwyJnzp zta{u2peu6IgzNXC&poQmSRKEL0TO6h&}wCHTZBPcW4PsCe!$UC@Kr7LUIEi-m^Wm4 zv19B$bc!g~p$Sk;>BRH>7-71_0dH_rZj&T`ujBG!WHox3@OkOZF?JXFrb0(9;4j#I zaPKY0F_+;jk$*vwWO8C^Qk_CNX|GMXy}xE<6Kyfj%x~{3=*Nhzk*?v}qD<5UA~XLd zNzKC6;N`E?4BxZD>CJn9WRhwd(ODx)ux?@6)p_Mvw&YzUA!!mSDMelEieilFmY*TL z-n=iG#zno|i$H8&uo`l$v)>etH`)*N+|4DKl_HknnL?OZQBJn{>6_+JeCW(Jjdp-1 z{>qD-Pns69d68>L(|Tb}?n>*B)Xs4(Lo8}zcpvruQxA@qBvTuw+`$rVObQMS>`h>x z3NK&6V>}o4Cr(9t2*}B(irI+twCxstRE4i3YANV_4g|%9Jrh=6*S}IX``UMPQABh4K zlDk-eCn2nJ2a3+j{Yqb(3nqG}WxQ2@t$-)mc~usDk;?u}z!4&q+pSo`uQ1K}!WnaM z>wyQY8^BKnK%fyFJw4uC1>&>;q+1V5C3}F<39mfyg+t^QyeSs1x(V30lh+tJ&+)9R;HYJ@W0!T?oB;nFA2$t`DD>dTK z@mdbGm`*i%>@K!_?vNUt0tzrq_MDjuU^HMe`#{y&YoC(qWvoKQ<8Zg?FA!rgXvv6LY4V6r!0<|{+4;;$A=&D1juaBOU zgMM6ob95!$0CTpjBxVw~C;vMDPB%ZreEGXDyVmY?X|ICz51*TQyWWLdEmWZDBkc|B z9NC{CVBLq()otC^-B7N1mq`csVzSn5lYI~7lE0f*Ud>-y5BB)F&&Aro6DP=e_}hrC zj@4fm>CG;>yyN-VyT$skaL3&+4@Db6dNa+j5iB-^#bTmJ!9Us0ETno{u`eUy% zv!i7?+$*d$B$3==qvxN{V}^y=xSksJ5+vNtE~p|k)x6Mp3Twz4N9Iv33SZWeNVQLb z^MQcF(Lsu%9^BE;R|yNCj0s+>QTuUrL*L${GP;Riiu?Ds;=*zH&3Lr?a6Z=cy#thD5_Lz@bw8(XsnO8@`> literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map.hdb b/Exp28/db/YL_dec7748.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..88a4cf10dc464fcbb3bed6c501b8e4fdf0c7566d GIT binary patch literal 9524 zcmZWuWl$VIlMN7DL$Jl25Zv7s&EkRJ!50b6LU2eR_~P#F!QCO);;sw9-QD5x-Tk<$ zx|*7KT{G41$5i*so1S-X-n=QtMEEyC{x#q~)B-z0tR3vBxp>$)*}15JuGY5Z)Z9GW z)SUbrT-+SooLoHA8er$|)@ER8H4`%%YA$LG5Vbbglv>u@+U1|80Q-OF-n@CG{BL+e zi~B#w%kV$wj&PKLo=zc~F<^w~{6QX1ZR`vY(M0#pGLH%2BY20w!-9PWt@lt!TY=gf z$o;cef%d>R8jLJ+J2C#Cd#e`zsQH$GHh1G>sG>FNx{HutyCa_N$KcVa_R4JK>S4vh zZQ89N_xl#{>FL=os}J*?FRm`G*XN8(OiXUZirwu1c-Yv}-hzUSjRxFTa49m`nI9xX z4rjIX11>36`>iCL(0hTmdvQK_89OL0NsW9zBNepw`eRjTO0|p^pBfS2RIaRS2gfrK z4dbF$SaXDM{j45ZBsQZ0Tge|N3uY??alJMg8<9{19wf*g=_t7$xMnKQeyRgn@na8oQ^aI&~9lt`<+D+5gh$w z7O1M6jLMIZVPUOY<3c67XRk>U8Kmdw-5_@&lkuaCh*Em?YDv?dy@;X6_?YxW3arsO z)c<#)I0Jd7V8?{whYfFDPf^8R&YEvWZ8Y`#YkP$LMb*M;$K~I*KhZP&f5-pBq*2|K zQzBgrtP+Sd4KzNU@Gz^L$;0->^T)My^jp7sfK-FjE{cOAyMg{a!8AjvKV?dasC6V% zx*5>xS-a83kvH$wf-LSPf-J&rY86D$OCHC57HJy13qu;fbUeADOrLn8$is5|cI&OI zBTd1b`ukBYFZoj{`JuA_X6QDOe}#E`x7(7ZuVnY;grbL=)WBK*?+jLV+KT^YZQ&`G z98|~*Qiy%}>uL^eD8G$QIMt8|&H};q!3g;kU1W$0#+;K+cathPuNThYDOWzTBbsAI zPt&N^sry)YAuVul#S*?XTSA~XGV1m*5?AJ;TEUGa5)$iJthnb4xjX(&l*_gsue+b==L!Bp5vj*felE zU6T}-7F8qi$9bI$JeLg&+MvBVwK5`c;J`f%2{;Wu@@DNKSbkH?{bz+mI5kz zJ$5U6-)*~nSf)ts>_B~ZE8Lo=m^0f>F{1Cp3CDI6EL)gu85pG!7D>-aO5EpBdN_RIuUcbMNqGsy```YTa-sv6PG>E#dI%pW(3?FWoYZ11r^tTIH+tVl zzz#u2bfU;rkYxE1+c|F6X_E0gq|HNjcVhp5FQ3#41}z`B7#~IN1^PpCC6l&<$hzlbtGtU~_p2{3GEg^SOK zwK(vq1KlLu%4=-B-o3yx%VgqGZ>z86BE9El%~YF(-h=orw-KhW}YQ9NH?( zfC6i$QCWQ1Yi=Pr-0IDPbxsa_ni(B-M)Kxs#l`yqemM{MuSudj@oP`n0u)Y zor!Es6I?suh?6AW%>VoFhtcC&0>R-v!<~>VPoz;=b{1J@P`9qgrjWD`z)Sqbk;3Bl zLP4Y!5f~>r*Ez3WH0ewT8?~~UE)v>G28G?gcNLon4A#1uUv49u?UR{;O4YAz zh!S=~oJ*;zswR5vT-abaJJCl+Gx5K-+T`wE#8BW8J$t-HZFl1~`2C4Dq(PIT?N>p# z&f{}`4*NE^jP1V>(Jr(!StkV*dAQVh*w$4zt?R%YR~B@nZH8f15XiKn##eo~nnt*I zUcN769bhE)^NU6f1GN$MM`D8}{G`yT{TQ?@3kdIf?cwue4av@!b!ANcj7AhwMB)~8 zQx^bpkk(Y*((;VAi@)(;vaqsgU5=Bxm3dRS^|sFR#Dt@UqT;ZXjoHe=P+?*MCfNWi zL2y=@QtFdNNq`PJ*fk2hS3Nj>Gmu3>df8=K;>6@jhn?n3&P66>yu&I58pP%8^K`j) zrxrM*p=D`+a^paDOnkYA^~L2^auTC-fVlp(lf$yRh$P+$LU*8JX1Lgf+KGgI2e zFS2NBqL|cM-4M3Ru2J48_zW(sNg2}|aJu9xXdG%v8vic|fER^=U+kB=wW;iGfY%wrZd`dJNq%0(9pEizd>cHgUKk2#o{ zBb|-+NaxyL)~0Ft=IQM3j<|b@($bx;{Q&%7H9eO>j-q_{gJt8vg3zn<&66@Pl}7WO z0Vw{Z5^yCj$Q39-5Zj}5&@k9~ZxAPqEUH~=qo+?*)z@sc6Y3oXn*^D1RP;3a>@I3* z3hQV6+r6vwZ|T-6<7_NOQJ6{a(ZfZUXZ^lIcv{#?MI?mQ)#cKYCO_`xjy{MIf5fnok@XW}u3k4noOsZSB0Didkz+Qx&Yk*C7)_LU-e% zu6MqHNK}pf)1NeT4c$7M*X|}~6@WRyNywSztCYd}zj@d7Dc(g!ZWRf*tDzY~RX&T% z1~iZCNGW3xjL3>`L>M}d%4I|-b?i1s zOu71CkE66K+iRo)LW=Mlx6VK!knkEBPT5=S=ZY z{K7G^ak5hUWYc({e9eU&6tv8e2RgJYyLP0`Ogpqtsl_5YQhX)REuhKmkOz^}*&a%=D zfzJ%diV9X%&f9*)7{$_|zN6ihh$JML_BxRJ63~0ArJS30pOyT^794P}3%IZ3%Y6Pc z25b2kTF1tLkbsLSG?HYnN@61vXGj}49cw>Hc9_~HE8wq^H%D}_ZzIT4b71_}ge*fu zfO|$P0+Lk09{LpPHMMIrO zXF8R)1YjE#eUKAGMhis9K~J^aDpM3OQP``)RtxN5@_m|}G^%1{GL`JcH59t)OnY4` zU9B}CFxtZu0Wl&DnLepAe;#>7cnP?So0%)*g!UX&X;*w)4Ehy>=wfZ7-*nepY?E!! zb#N=AMWAHPAMIK6K>>Eic_D^b|J38WSL5CAbV&R>9MOTbc#vcBM=f^3L!wTNkkn)b zQ&S3Q1xVBV=NaFKTwX1wF6(j$3@8oeE!UG&n{HLKymBZS^zSVKg{pyrAC|$aBI!nY zFQil%RCsz%m|x-_z-8H#x3vtHMcW^O|HN-bxyNiE+?1q96%(1Wh1Ucptl{En>gmnS zl{drqzfcqd0j0=aK1k1L^0i<NWu-vejeR8|<93Fga%SM>&}^92a> zk0NIMXHH3U;R7Sp11{Es2M77Uv{Dw#GA!2wbB6CKqb1j_Wt0b?VUVV@#v)}+VGX57 zQA7zi$=aA}k&>H6UrAhkuo+g*0oSxIa@I}MS_d6Ue~G4nOE*~ap)H!u!Wd$zAID5u zN+-y+ZmNO*^3+6YJ()FYc2+opk61UV6UrK1gAf#Gq@<$4sBapOk4LRzcv(usGykAm$(yCaVKJ1IZl%Py$$jZ%J%(@7 zW?)K46rIwsi1_I`2__0c9uJ~h2- zDAXGK`?vd_8$^7f)K_1|N9I`S3msE4zWHuo(MV5`ci5|=3d5%qT-$9HSLm&9D9=go; zIrPmdC)**%>5upbYKYPBzcy^JtWv2XR?2=i?nV|J0Ev4ip6@YYS|((^|krL zVBT2UGrO?|n{ASm?xvzcNonzp7qcQkitSY08`MD+Zlvab33O)+oa_plVaYRZ^-~s zIOv!Vy0f-FLaJHKL!r>{d!>+GxtJyctu00F3qrvCM?4(7uZxk(*`9?A-^lOLJo&mb zYn^ahW8`^BL!&}_i=@^#TxQ89_SgX)l5)PZbmPOYbrSFUD?^_i|D8=FVIq&H5F`rp zkR3iFS#o55Dz1Z*Za@x?e!T43nw7EIvPJi1UzW;Br8xyWFS-;; ztR#KqUP#$j+=Z5>-+Zp!%raOJQ{;JRys{i_?0*A+k;!Lh( z+RXVBg-K@u;SbZPC(rY9^Y)^PUjv8biET>Fo^1B)wd~^$)|PhGNs8@|u|x(>C*7-9 zX98Wl#61JuE3cv4qy$+vf1)LIV!V#vAA}JIP!N*X^Kpza@z0f-I!eHPT#PRO@a7LL zAY0=W3+D<4Ctu{jd3aGd>FOHmJDJy7Hmj!)-XoqnV@Qo$LhX=pRqpcJQ#OI_$06l2 zUwXmbdiRPn6so=941WX%Y8&1g)Qz1S;vR7wU&{L?k3FsD9Ow5~36(RB%^px{sr#ag zFA5AUrx5ck1L~Wb4mtXuf%Gq;gf|IwVf@tT+iR>b$A|o?{D#N_EW-~i zF7uzCL+7SELG36Q%75Bs?u6ew>AJkU&kgikV#ioSK-5^8F>Q*DHSD4%NleGe2+iYn zYSQIuUR;ZIEuBr?q~u~aM#u4MIP4MdNJ*)by^Re#vLnbHxbu;m_}joR;A6(=5ZQf1 z9Iz;X0+Gbp=^`0PCmE44-l)rI?BBwP9HhXH6Cogfv1Qx03$d)_YozyG0O2_&L&@3c zj2HT2Zo5mm<{GN3YuB=DucI`4)-BUT()iFnHIaC0W@k zmvxICaL)s{Z-9Es%bmo;lyhHvrM@9@t7P5s;&#r0dK<}|pqkF#pw>iFA*|cs_>toH zD+cUzcv6k(odjd0*2aH2EvrWj={A9lVY344#frDLL=vuRK5KZB%(L^<`7AA?EEPAfR{kOBtLTc_yzx;StK2ViVh><7S$7a^V1G#$aJCr13Gbx& z%fj@KS=wAgWfm-oD2;>KhA+GLNK|OKyiZQIOzvWnjA_npt4BM=o(_0Bu=Dvop==&X zxVnoeDte{v*v7i)KN~xiDeKN(AR)r}gJs?K7m>l5N$&8wA)d2bCBKF@1dl4KEK?l_ zd){|}h(=-V73!|rRU!TI;0v*p9kN*?)IqQ3=M?sOoq4{6&@?xerP1)e^Zt=mZ_#E? zgx!yNuW6kE6qeC|oPAn=W>q;~lwQO1tYIK!VvM}`)~%$48Ouq|&zDyc+7g>Ir|TE= zsA7&psgg`De6OJoG%mb1swR2+WCvk^Z=;jMdM{*PEZi5R&^AUo_|FBFXjLKk_nwHO zmYIQb{R272K#QN*(Vn#{7GC2CfmmgPi_(ZUSKOghZHZ1)D72XjEwws{gA4*7j zZm1h`>!GlleJ)jUi?l9-(ReP50%woo=9V+w{8z^|~t3q($ zp$l7u!RGtCD-r^i>FNF*x5wPh!BFoWY1a1_rwG+q>r~N~_tns+G{EHa4eDsfNg?ha ztn!Bf+wDB1SsIcAd4NZ+M$t@16C@&x_yH#B>ljv95MuE(jK2b91 z5r{GE)oiNqDpGT;V};(34_`0m6W*V*YGM`28`@(eAl?)Uoh1x5%IzEu zT}JpPjT~UW4rV&HA=p>RCLC{z3NvHtzwWV}`iWO|)So+_Rj_t4Nk+7EGfw;QARHuK zV&1CsC+|Z~1Mo<9pSdrP0QWi2Cj~jm=ol)bYWOjy3_P?)b^|SD;SI3rh#@yx6$WHa zh$#baWtp3cgXjpX{5;x3anLv%lQ#Fq$1)i_SObVwqbJQcZUzFjkAt@rMT9S}F^Tz6 zHp1EjhVEa~oRJx{Qu!R(OwbCm;w}!oJauao42xMMX^gb>d5_(7HMdGcy&B z3h#YSd!DZ#%7CvL-pfOK{#!wm34c7i7nAlJHRg^EE$6!tL0}s_K1X;jOARethNd#K z_mK9SDP{o&ShXmDwElc>y}9}R-c|aLNlW!NhIP?nNbDPL?>QQOTGdVzFnwuf`6jl(bQC z8$nilhPL)bLhH)cFIC;QM;4@x;}z@73FEA^&mvtPLoUS_ApDJMgx99dE=jJ)C?@ zt3JyT^PyTu)MqjZGQNX^=sTwEdPhFqNrAO%f;BL%=&+L(;Zlb;?gkq-xf?t)3bX4x zKEWO4$@*r?iaOjE;X8yCv@h5`uO#FlKZrRrdEQ<1;a*DRk)fTJX)tn#<0I7Bzb1e4 z1E7I(2y-qlA|lL-z;3HCGg7ZHquNqp5pb_GAbKL1m2dbvYK^iIuD!zOX9L4Ltr9l+ z7}^7GuD*PQCK0WUKq}6j?jADv+IK+1Up;@rgK!3hN3@y~X3hr`ZL`7qZHl)faS80g z>ECCpD=y8~UEOvW$D`nBzTO0GZl7M5#z8{f-5YTvJt^`L4KsAn4@*XS$&T;{_uz2Dv&~sas7O90W&OzVf8KX+@ z_%+GOfZNUs_f|X4rQ!uf)ILuiDO0xgnVs%TS8fqOyn>&;+*AEN;Q^1TI2*E zM^~m8WNdM&y{R==hteRynU5Kto*CT`O+ALt;B6(cEG*Qqd56d^HKmSx`QKjc2_a3Hd^QAocn6eL60 znu+i(_6o!&>B(M65UAPTpuzhi-@%JGU5z^L*|tZ@*gNZx^imw-QfrFt`j?_b%4&%~ zkiU7LZkb;%La*IDz^kwm#d`g@tOUM1Knv zoC`AI8caY`;me8Kx7K9^zp^$td8}tzlCuJhR%6LHn zgv#1!gPF;%(*l;&yuyZI7=aB+<}joI;_rIASs#{PsmrCB-ojqBJpqynRH(fdjVZdS zw@cF60>jny>FT(Z@Mog$%ao^_OXOzCR)S!Mzl>wkOAWi3ck$w(U{69yrCKr;WazEl z?Nrt~K2V$jiZMx@KeF8-|2e5ed=3OZkcM^9B0Iv2Y5Zk3LRB*7&!~gEc|SVxtF;+m z*Vfh{RY{N#t6@{u=SL&Y+387GUey`)MZ7gxpED5q^{&g}A^!PrA&3cS%gO=J9|A&* z5NW(8>G~M)86gxo%#mh?^X78i^0>P^4{K}?+KUQ>e>i9LS?!!zKycbs`=>Ahm}CLs z7_}-D8FP7BY}{7^SA;C^#3B3{t{eG@%Z^qaT54)JQgVNC{>rTwAuhEm)m8Yn;f}<*KzjIaMKp4pG){C3SKIAyT9nv0^Gy4^b;2`RAwG z&zhmuS|OxU&nItnrFcNnZ@J}Fe$DML7Qg@X+54#~>|M&+YAD{v(mj5zW1x58cWwWl zniz2qkZuc-S*8biVIb!aC8HR+X%_|ho01%vLE(5QE>l7}_N?EVZ?r?#4k!szB|VqE zsr(pYF}j{W|70C5plU&Nv(Re1esS+2glh3Op3=g@vk5j~v^01s(F={G^L3z?CB{&C zA8c)lC&AkPEE*&{pm)VR>B7EJbn}Az7=Cb7nuTZL^sy7|W0cq{lW&QsUStAiwn8)l zQM0IJ%0n+^zsJZ2FZr;3ol#>Sky5HdM7jH{Q#Yf7Om(bzn6obFD0JsxiDO;rU%6$@ zSr^Z7xLW9DmOuM0jQkoE=_2yogPmqoYL3t6!~=sFY26S*pV$*GvFOocC{z=zwuM96 zVrU#Ps8zf&UH|R~MsG3R5Gy4uU9>w{o*At=80CG)vn Jr~ke*{tFW{vqb;^ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map.kpt b/Exp28/db/YL_dec7748.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..f813cf0ef593aecc009dbcb4097b058e1be6160e GIT binary patch literal 227 zcmV<90383U4*>uG0001ZoSl(DYr;Sfh41+lkG(g^CZau<90U)R9$Ey&Q&_VjU1B!d z-Hjmh$2Yp56_Y?>4Nc?Gko^K z`rciq?_q$&0^`xsOnIzA1fIuf%rWcLULwmgq~nBVNtW^)OR@)56W&DKfMfZBHEl7M z$`2$fBVgLlu`->~-c^n~{Lu^tTH28%T@%BHB0D6eU`YUyTjFntw?ezn^uzM?AIq+@ dEy)Kp7ebu6gXc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*K1i00000000&Q00000 z006K700000001uq00000004La>{eTE+(Z=4f&`L5h>Pe0s?ZTgrImKm^`y^Ogom} z%-_JI)AbAVgzsOofZwllQ6TaV#VNN=sER&gzT$Qh-*}w-fYr~4@#;4Q{XhTDGw=y2 z=5<85}}5lwcao7RZx%+bxGTa+Fgq_f35-J?V4xM6gp4O)~rp;huk zdqduG(&Y<|KeAMy8!JUlYiXw>btQ5ty~=~MrG%-1G+Ne>)unsJ45zTw!^2cKONS?` z6*bH_1z^;XY?Vwq38q@o-ZkD)DI~X48eQWAyCuN@mD=!1hi*x33YAM=gn7Zw!2~r% zSBBMf*wXMt)LwQ0MrF4K8ws;k=Ylz2P@!{QbLAouazepM9hV^#{%vEpwX!Aavu}9r zC~>;;21M4jb3E6o5Rr}E)1Ky4^of>mP=VoO?K7Jj(F8CZR)+Ine7fQN1gS-8I6ds? z9VAC!cp3R-O(R9lGRX;JXMqhmw+u}wc_!Q$s0FMRG1e(!V2;{N@^RlH@GdZ`p|J6& zkXRJSnA(`S$hoDODPOYOc>^x9b`wWPI<$0KNzX;#7InZpJTc@Lwt zat4Ejm#C1Q<75=#Hnh&s(wna43htPYb~l;qyoGK!)eg;3^IDshG8nwvA>oCJkVdHC z?6rV;dwX^+jPp=)3G)+f;GGYEIj%Ea6!8DVODB*4xO*^zE#k6E=b$&J?Ow%bbG2Mv zIqLv_@d6@Oz8ARx?Aqr!im~*PW_TVIov@bXdz9|qpU>~7AJbyKm`9!OVfezM-@K(q zkLd25*#mg^6*m^87a;+e?(NQZQ+nV9vbES-(ERT0baA`8E7mJYY5h5U%`-ZV1s(;% zyY(fyE~ui%tnRO&z~YnT(}L&w`*-d|=rs(dx|vCS&LtfyCtUkCBCkT^A*+S#U;d!> zsLiET9Fat|?{;cKs$)G~W_!=&x{3 zL^HU4P_y80km%`t6FbqypJPHGSBvju?4@Z`_s;hG`2q~i^`?e8eXh($s1G6VPb+mK zHuyLcYj^wy00030|9Ao7W?*CxU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW(EsJ z1_ryBKusXUAPK}EMZuxIzK%hW@ga^*KCbcpPF}9g5G|}g1#AogK()QO3BN&FgrHj7 z9Yft+gQ02}*%=&w;$|Cn?FUi}5)emASCs3Z1K>i!8IpK%7GlXLm*n9#xrs<7yw-v#%AdYQX>e&Acr9P zi;;yv0;q(~(Qz`!KQJXeuI`RLFz;}Il^p%{%n@V?C|JR!xJHD)R4_4U0CgMtxJ82u lfdz`2zmJP+5KIj>0|!uY(#@khAX8uw0tsD400000|Nn^FXmtPp literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map_bb.cdb b/Exp28/db/YL_dec7748.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b7b1243598b80d027144e12b906e5279e01370cf GIT binary patch literal 1790 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR9u5E*$H1_j1xztyL+O8P5Pk*Yn=9Vy zq+JzDjd*(0SR{NPFC8lUX z^L@wl?6X~s-lv|kIQBC7Td(U(PVI<;U3K~qSI*BVU6UO9t#4FlG$aO5n(*7d>uJHf z={FD0zg~LxUH|-yJMYc>`tQkH_D`<2zb92~-?uls_pR^sugx3xSRVU!&i>5NU3I(X z-gsuc{OH4<6=vt;z{U? z{&e~F<2TpOv$K@Dw^sh$wf?f#e}A4Y`#t~twfH&qZywsnFE{ryFaDL$GxKa_`aU_m z)7q<}!{0qSt61hIJ$u{q-s~>#8!K??0cDY z`^%EsSG0pnwtUIbTY7-wROY%zId9iYPOlJs`G36^+p{2srO#h#t}<#iy5W6)+w%lb z$CZy4%d@@eR_EO6wqj~YYhO#yrSdIb-ZCW5%@;X#!6GL!$YBa^p3$2FEzdU3d&G2b zxA*=N#(NHI*eo=QTVjJp*t)$g^JKV63l41)5v-J)(ZsoB-kQHPp7Un(uM$}(8Fe*n z&ZQ+9>OBsOYhPTx#rsL1n>l?kL-P4gSN`1mzsvp3(byKJ-%dM?f_RpscAek7_4|Vv z|MC_E1iJs&r6W6M!mj1H*Gs&fn1()B814E-|J?a-@G&ka%J3#?61H#f8fU zs5xZ)x3M{D`g`NvZY{>JH?xi%k1pT6y`a(kZ|IJnJq?i}i&$=6xDzS2Zt-h_dVv6o vWsQgK%rHE>T{fl5{r|C@@{1YD4GUiXp3U2J{ENz&7n>wGAL|3_qW}K^`6qlf literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map_bb.hdb b/Exp28/db/YL_dec7748.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..3fd38ceeb25fa6c7ad0c013a28d7a63faa75708e GIT binary patch literal 8451 zcmZX3Wl$VUuq`gZAvlD^orT5SouI)jSP1R}Cs=S<+%-UO*WkKHfZ)p_!Lqo!zI^Zg zcy;Sl*Yv5Gs&lI6$4qy16CfZU)L>SI0JZixwyG_05V>7j@AGW zF9^Ub0OSDyLEJpN03925Z#yd+fTo3&1AqshqXN*ku>{Cl+j;(DI*|V-hk&q7@qYo~ z0r!6pnf8Cs2k|Hm)01jAYjpIRE2U%Y$3AX>k7@|?&}16}89$Erosi!le2rEBjEQ8c zFeh?jG>@2wqi^*LArU*>@69gEZj>5nr2tg4Ch7nbC4u^h6Ewm`vSinda$(2g*NwS_ zxw$o6^XWKiW$z^&4SoIRgU6nS%FfQ8Wo7(ALPG7R#z+=|jP&Ax*W9agE-pNBPSk1G!QMI#D`IDW8!?`v#(ZXm^)#i;$#+nz0+i zJQ!s29eanODwAAm(_MbP_FZVUTwAf3c#JykPn6rD{y4cSkcf%lLVwKZ$mY!Kj7(Fl zKksC@g)>t(_@!E?PW*^W=v_cfT>S7hzCYkb>L_FxxdGi0Z|Gf=`2RYH!@)bsS|++g z$i3X(mvFvcVVv;O=W2zcaq!EYv3){USsPJUvtqaU|C#?!3UN0CGa56Kc9}dflh&Zc z$L3VX-!8)F!@%=>pu#S_94|ISps{D!tvqt6-t_fmc^i`#I(}D<~-Gy z`nE88L9fYf9rIYX#_0jkbw=qc%w%-#jvb#dm~#lD!p(*9_ytO=+j!yAOoWW1Sk8DG zGWVAdrWm7$vR|WOPS&P8b^*kkegrmes0c4YCgKT^MO}R9H>6`Q90V@Bp?p53bo~?_ z9$_^psv;fryuHNm-NYZzL%iOa;fFVh#(1zqbM+Azz0h@dgt|&>E=EgulTz6lt%!J-vTo(S zNY8AchY_0g!FPYkOTg}%=e6+bfH*Eup{H-WYVw4ad`j1##s>z5+ne-;rRSFX=uephp3FSwE7`DQK^Ml2q$7@ugUgu2&O zDzIT%i|;O;8($HiU7o`IMqv=8N5(}Lypr?ry{D+&wa1V)MJncb<#FQ-lMVZKmdo`C zdiznq6z2_o4}G2vYy!H}wKwW*zbXrK7YumY%6imGJx;N$NVdM;?3bHGroW;q5fj$UiI|B;s?ZFs3dJXo~3%Yi9nnx5xoliWyhCHgNPL zJ}%O$UWjs7Nn5XD8=U*j{2g?3E2uv3I&8Y|m)ky`*gLV1hDfUxqH0-u==#G5&lwgM zEpqTM`YE!2Wlkbu0CMX@QaydbWeQrLh@Z{v-N;dL_gh zIK$7`0Uj|^m~`KnrfJ{1NO8PD$Y=-c)S;OLsIc!hfSByoRpC%9_qQ0HzIA~#@ZHTZ zzL9C7nAncuR<_c4r^(&<=Hy9!sZzbq@a`#*uk55KYwJPDvd2rpoSfK=i&A4fkHL-Y zi|p`1eg#|YO{>~eIB!abN9<}Md~^@96>c~8`X{c?5FJJWir9|4z?jBVPrDpyFtz>Z z`VPx+FytBOi|b7ngLuCREMLmRSK%0H+`+SP&srVvxsZlCUiy4^P9s3Tf{WkZA8(Ef zx8tIWw*%fScrxi@zpP+_WS@67G&zR%bFe!Il)Xwlrxw~%=tBvH7Yu=?g6GWc; zoGWgg%7nMFKq%TDj{M}z^OHY?ZJ#*u7=H6Qc;9X@u6a15-z;*Nt(x-MPUYrzLUp@U z9sY8N2YCa9&FlSdJbu{I@kBd0`mb4t`8Ly92=9C6vfjJ9t!v(+ZjcsH4?K7LPO`O+ zbRTz{FHy@|Rk@rv7EL>d*M1?N&oMR-trvqSEvakm`mugP8!DQ@%BU(Bz9C;efHm==t-upt6EH~ksF8F;5CSAxW;0xgBCvsVIzuAHzy?(YRo&l6MEe7+&Jfw#2;}ZCEtT4eoZ7Ujk<3d6`qteh!wQaUUj8SL?qI})H^zC z9(Y%QgKo7uqieI*S>yjMxt+|aQTvEf6ga~$m&$yIb(^JJR$)HzU?lsPtWGn+J?-~h z7P-el!t3CQBm-}eU&U8BsPvxd&~uymIOT$U0hneB%Un@p>+OcSoW7(S(7zvjk z(-QDz@7lZJ`Ue{gW<9f)w$)3)%`jkILCIRsm_)^^@^u}bd85X7#u3f_McN88^dGUH zj_o>eKFCVESNm0Im+{|H6bb%*oN=X}ExGy<@4Qjmw>t4T^Oel`HLf{eDr5ie4Sp(hBX$qlw|;klkxS{ZKUMr> z9JW@gxX#yWE0uJ$MrnN+wLIff5LH4n=j9iRnb|%Ec5CW(XsI%MknKosY*@BrYcJbAX7hF> zTBTDN!PZq^Jk#aHPH*CIM(>X6BZdaD#u6UnrzKhM4)01{%M`eBXJGJo7jhkN#yEow zgUAo4_ZS#!Q$*uVfiDNRwM~0#&*vbilQk4*`J+&j zF@roJhVwwh{kF`&^-H+jOZZo#p1It9VhTV{3Ocr2p^^`hwkhYWP*e`S+0bVLAA-8{ zv4XpDV+Rmiri(IG!fZgg&Rjqn*PMXLuX?t?gv}01rvkc_g?Z_Q<+p7fDX) zGk{jpI-KwbJ^Q;YSuc%?iH&OecBf;eAFSS3E`Kelyzp3=c+WdxD<6vM9O{&rQU7%7 zxg_cgWobE)8veI_j=JRtNj!1;{1%7#+bh!i%<5X`%(Lc2aL{_Qna z8q&fyvmDa2$<{kF_7dKgYEoEN$qsmco=a}?N(571PE+vqHsAR7EhO@_QCvp1QZ>YO5 zJg#x0!{vy^cr>AC24v)S(Ie{$wAr|#fDQMw@e#^y>KJ|#huSn-!D-JT9V3tMpxTBL zi~CkL$nn3+8%sg7{%Yy8M2(|64yo1hwXsJ!9R=fFS&}G$&(*X^w2!hk``3KqfAFywIdcT~f_ zF)8@1?a#qSctf27PI|U57iUYgxJ`UxvrO+C0oqwvd2W{Bl(nb`Jk>0sc6S=ZZ3xK| z|APk$+Iezwb>&{Sq!|hXoFKc=L_JEaqHjrPs?hvNk*4}zrF+9}Nc1*yg2oXfi|q;T zX4s*(-9Go3W_L}+;C^|~xV^31wtm!-?^be+H!lS<*JjVWBRf)kK4sv8%O7BfP}71? z9qaD<+mTyTSpt5K;}-jM4&n_w45vyR%$H~E9c={%s}f07Y9P7{`2t&i@2jx8aQXbo z*0k0rCxoQA8g1ZBxrj$iWoB@2EyrI~sWaR9-BWAYxsO#JPb@(0?QvAJ^m8GZ?4AOZ zSS&N)j=apdVS!}D7Y*jL^z1g)HE~zSJo=xzId&|yAiIr8bx|_y0lH_fQ4k1|xu|2r zU(~j7lSAxHlsLfiQ;vw9EmB`J`VKN~=S~ z0PCEo=>WQ8;O}RIo=dhnaa8}iLUB} zg-px8agVE0V6Rc-{_^a{{j`hef|LFG_3-(tNDl(QKd%TAh(dTm&A3B{Uz+DdDr%4O z-cNZ<2ACFs3xU{3?KEw>8_wuTwV(WU3i}}75d2!V`giSBmi27m>v=his+m+RcX}5K zJ#Y*%0lJ8Z>tds?8-x6mntV>3CJi-ak(TmC9=MfjFinZi%55X>K40rUH>p*r-4ZwZ zyo?;T3S|;XRug>iSNM2?ME}9LI8H4Y9B91*08kaJ%vCs+4RXs+b4+B@(MLicMWEzV za0LkTUI8}+JZQ6nL7v4$uQ(3DCKrB#@q(?972lY|M7~dSeHA+-0&X`F*!c;%2qeEp z#K*<|wf{}6yjy|Ok*WjVmcLJ~=H}gjWn^XzBNst*-Pb4fZKToMeX6hbReAc=W0{=jwy|YPR*`Y9mwBTx&27kO~1_Z<# z(lg<7f;g{__y2mTa-|)|oN?T9LPK39AmSi*AihUrmj;6mI_q6PZ5$PF-7^n>bx#Qh zh%~lU6v;YJYAw$ZX{xxfRuHZ7rF(P6>8c*mdb3z|^MN=2Happg{5bW%Rx3u(nOazk zx@?6K9|wn7en$db{j3l*oZSwlO--GJx^J!6DPAH8)n@#CDFvG*y%sj~3{)&nbIldqElu+NXx`(+qzF8CAz0F>e3bz`^GLBOoUTR{ zsOrF$K5~{mo4;p1Wf8d3Ew%~6Uo%u4@}47ph|F6rXO$lA#Z<~(A2g|O*0+0>bgC{i zprESs9&yOBZeB?kD@-9;o}9AFS(a9RN@KA`tj>J5KA4Qf+i^b@u|Ll`Ca@|&4TF|t z&-K1igl9TjdV$-~!v8Ee*zC~Q>`;O(#L0a%6xPv0B?mo5&>NH9OZjAkRmpXB%Lg}| z(+Wu#>ghA)z^mG-A7HHK1T3DI&mXo&5&r#F_e9oM$IXUs8&Of5?TF$r5b5*6rMatx z$D;&brM-&PtK1@^lD$zPCFd?T%c*Q0Y=7rj(~3Go{NM>9#lLE^8+Tj6 zm~ z@+=N|^l^x^ln}lhybc$w?_duo5*ga(k}h+ipu;&fm7dV*8Cb@X;;iItosErbt1aMN zX%)NPqBt&-kPM!NK)ONh1#zoDdP?gZQmIMtlZ?hBbn6{y^82(nF_a<4<2oDKM1;*H zsuL%nt)flkuepiFKa0y9pq(e0Xwe#o+wDz0rojc#qNvy%2Tyc_MJDx5$U~$F8Uhe%z~$O&lpxi=4Hstqs-!2>iRCVsrO~< z-HyKJ$vhv1Ov#)4I7@@TsdPQiQa+oo%`L1HzZO3z0`5(N6)U0n)ea|nEU02VoVs7&Q`5anq#JC=|hd1DyL(H;xtc~|l|0FBO!(P%a^UE>gb z?EQ`9yh6GTm*VIQieagqJRE?l)E=Bh*OR`W_T8HQ@@xHUi|&J7<(Hw!*O<_xB3wX<%y?4)gsU(*5W$IVTfkv}K(aEcBqoaFp^k#q zD@mx{xj^SuE4#OJRp%B34O!T)404b!HlJ^tqCG$&4Jh3e0}IihoNhFKtNkMPVOmS( z`4NkXfd2*CE1-y8MiSK>tYpGLm=QHpO6S(16rjt{!$fF~PHRe48{KEZZr_O;?z-P? z_VxnD5F%)^qgb3zX-z8$2E(j(yvaLc6;3?y2XXF^r6%?76m7(N!QhPp0x#H0`#Y`j z{W;JDGeCPfaKu9GwvUpYds^f#+0!Euep9fyXLz{S%n$qCon^}hziocQ$wPvnF2oVX zQ)9pmfBvb$%yqtA#sMLXJ?3tG38Y^#A3vC$uQ23pxO%rbZHtEN{t)(chF2D^r^kVZutkGzO8JfMCxn z{Fp)U?GnSrpm8Prcx~I-Qh_yBIBX92(Lnl0-*<5siF!%dXu2>;BMD2uaCMM-v=5=`1JgF(x2(d_iXlVT`JFtr+J3;K1`R~PX{9p z2me}1f4=~eyxSkpkn5~h$TR=#FYWU5$nqAX;A-MFfG35~a$gkpB#J-9t3O?lqobp1 zT>c&Auc7OLe|*n_!6o}y14caTl5IrMME(>cXFf)$6~1x%rIr69fp03IU_{Pl!^0y}vkGf=5iPH#sDrtBH zwOR?lU**weYg7bRY6KWnsUwexb;iw-OD-6*%+!Y$%=Gofm(u1+b@Bx@L~a_jw$fG( zujc3|OZL(-BWF$p64>`j2jUHp-vv^EUI1OUrrq4?ql@*gX~JTI=h$3s`{!f`PR`#iBxMK8WA-jU9>Q$?|tUO?0oiY$3L2vuJCwG?&)fPUm{G<~IF2IiX!d*Dp1B zAA_Q-5=ttQ`dKX;fxuGjtbZ1TUqN0uE*kR2!UP8N?2!=)OUe|yk3u(G3^(Cj`7Qroux!z}+wn3@^( zPoK|+2#S}mO!&q4W(uiP)tTN|VF!jM%iO-vGkVimXh5eoclQXvqGD2sK{>p4{$ra$ zxfo*?-Wzb8=ll>=F;6Q`Q7{)sU4?{>O+ZeO2z2}gk8+!D==c8k?PCoe_e5@!LGt~f zzq<5x43vGPmc|~xt=kNWSO3f5i&_m@gr$I$lT|t_jOv9x!Q`-Cqg2?Pea^);KAf9k zf2T+$7Yfpm`Ia?L%P}iwK5@w;cly>Xc|`Dbv0#u1V=yPCtmM~6)ycPNd*L>g$OIpadHbpK3TP7_@M^xRi%=9yg+FEvYN|bHMNe>M>REvNP^5uglX%C) zdy_H342a0QEq}4O3Wi=br%qb@nS_PX02L;o>oG?yi0#s!CZL&L!+q06H)%RP8zuAY}WpJK7 zUzr{lyqh=&(erQoeK>lF$5JObn;3DF6G)GM$?CG!3`GdXr zZ46&D@#8Np2hmTdN_KUM+jRNTJ|u~qL&7>F=TZib|_b-68mH}mc95qG&C zV8=LU%Z8+HcjJQ&h#=f4oJ?am`y@MqwRYJ0;2A3aGvm43?=(%pQ3uXU9FLL^7Z|e| z%sAoZHkwW##m;yogD$UlTlBRa2TtkSb@v-R$&|6m8m~kuh{oaWT!GcF>5rcT7@Qt-4o|GjV_8~GgOCLI6jyct(_31ZWY$(-3?4h=kF z@&{GX`@zvnEqA%oD50z^q%To}d;&2vr151ykw>Gutr?j@lQ(lEemv!!F-0T;h-xyUk_dV478lH$HN7G)glun1Y zVf-Lv)sn2=Ci=I;96xFY66;M!P*$<^M%OzP7|g*XFG(7UJVRTH-`>m!=-YkjRzSMt;{rQJ=)ZZ_h;%) zA7V~iGW2$Y_Et8yNoPKofgC56-m|}<;K)ICXw`S`_4?I{qu$gOIOVBMU&STzN{3sf z1@uRKPy~_Ac&NZ_9SsUx-PFk)gd%=M-U8kVFKUbKiEpU}U0N8X8tB7GXrk$f_RLmS a)DkvWse>CIz|LPmbN6q`UTOYI68{JB4}lZ_ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.map_bb.logdb b/Exp28/db/YL_dec7748.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Exp28/db/YL_dec7748.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/Exp28/db/YL_dec7748.pre_map.hdb b/Exp28/db/YL_dec7748.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7e131ff998e20c7e3648510ad175515141542418 GIT binary patch literal 10096 zcmY*dWlS94vc;XkQe2nC9TtjPVR3hd7I%uf+fs_Vdx7Haix-C?#jSX8cX_?<{dl>V z%WlrhuRh{t~O4NKrU`}PIfM!gu9KsIS|YZ26FOp zaDh3%oLt;M4GR|!8#4=_>Sr@MAQw7U#rL0baBz~;{|j*A@BRa$V8m3P;d#r;D?@$nr-b zyVKM|zXniloR*$4vx3r~L}_2qT082GTE0fhh{uzuB?yhY2K+WU)9OC04(#&g&cOQn z_}y4b=jAZ)clVEuiVELm$F+8MOjDFUF;L8ohzOa~#%A88E)tn6H4P=&lu%qx2|sKH zK9K+K($kXidKcTsIV%2Tb+Iqkp*lfkt0dCCB;_P($r-W3?epKiH>asmXX$osyO2W8$oQ%^qDTGy`jmJ4~d{7#+#5w zqYI%$S5uX<5fLPHw6J}6bCGRBLf|ujMc_yC_M)F%;Hi&K2A@Urky5p*FBp`GrS=&_Is{xQb>)h)GeMz5$=*W z$I?de*tz#PebH?B@2vkSlh3wkAzX?3r3P6WO`Bbx3#{Z&^=m~wJ-5qtB14z1Y*Dz- zt~P$tnOpjX?HA(}4_d~we0gp@~z!e;QYRRk4?Z9u-z{Bn5Gve zwn~hcW6Ha&sxgnd>k8LBGcS$rGfG(bP9k3S6Ss*fRbbf4UziP^E zI8^j^^`>mJ$eqXnd~fF6_%8gTxBmW0-*M@jWw27Zmj#a8N#e4U9`FX;BI5p{_Cm_B zHMVAZ@48rUqh)I)rYUxR++oCt)kR%8m;0r8*F?V$ZQURybO=FsiVGRpX`d1nX0*FcE;Vdq!fW|tE|^;>~tH19Kv`T_7*RYR8mD8^NYMfjC7 zeK=KsYsLpdJJ{adS&Fxn#=yv0eY=fa+{0K0;2Xx`!}9S?n&FgIuw_%R5jLITFWG>Z zINH(yw{&)+#4ek7`~^PIJTxgZzW7q0*z){3_^<1Y^4Hn@rwUHL(1t%`IhyLDX4@S_ z90Grftp#rY30=y{D(2B0*~+U+Im)4-;#TWjC)M-zRjyLJf;WPLZn?=mIYZLX4KdlB zq3VJa+jDWR#E0&=ojzC99!^!BQoOvaAYUP)1h&bXr2|?9wlh}Cq6XL7Nms41-%&z? zZZnat5=FDEs}#fQy`~$VoQG{**Rk&s6=KV3(EBTt4m1fYH`A0OHcw*mMgoj()C^}Gdu)k}3vN8LO~V5Z_6M~p z^kf%>Mw`}yhgF*I&3197Mc6O@PR`i&k{0!jIk8@P;i$3UxYg*V&+$worwMML;-pT0 zEDS#Tc%;rAb=ava7fgQbEKFcDHdox&Qg!8zeS+bF}WV7m{WxcuL19Q!tx=^u44=3vb{16-A z9LV+7C;6mQhW*?uqk%&qok%K%iV zi_-Ty!ib%VIv>w1K^kl)<*{$%_WeVXDf@c_jN9%CxSa|K8HerPFH84%TkFyudvE`s z>J)N(vDy_0vBO&b>>!aHmo%6IB`OGN`T4qCpeSut4R_nY82BzhrP0grj~O+Atdc+7 z4$9nlD2uQ!Ztu`XX2yY+vNl2J`1{@=aSNo+dUKp$6(p*+WB^Y7ULg=%gZntC>`d*{ zyaBx@2U%ntX`JWXIho4grtced`-~Tj;>Ykdu7vetL;Z(Y0YNhKC4u0*z_$po5TPtfIEsSd6D30}@Gkl<_ z=K9GXcAUOXQCs!>-KtDTO8M4nxLpXw>}?->6t`W0v=cu4p?fPs-pr7S<$e-$^` z`zs*PRXfNMOr>Ts^OGiD20ak2Wt0y%v9&oid=}yNz=iY<3~L>4{JdT!X&#_6Ftd$H zcwbvN`Y1M@_PL<0p#|OyhK2cXOW*(XAK{9KFp4$4f%tG%DuEX9j@pn;2hl2JvL}3? z;TPsZ6Ee}IBBmqd%@&32LzYtm5l-G@6G8w%thc$y`dh89rvyZXDY6xZN#=?S*Cu}y z3c^X~)#!eWn>c4VBXNGRc_*@w&u!XcK9q0p?oTv>PgF(YG)L4C2)n}CDe;(y>WvYIEL21<2ID$|AH2kwSh_F3+y!fdrjR`5iB z{{&6HdyK2}GT}nZH=f33RuV@?NBvdJh56>P@)Bh;D$%FnnxM2p9MMQ*e|OU7^Ce)e zx5L%Er0TFWCeI8!)NABtywk`Qb^~9ft|)iIpssZcO_4h-iM~=^q?M(mh!|TjiGfuV zv7@zNr}fBI^Y>YqOhPvO)w!7&@anQIpNo&i*k4yt8E6ICs4rE>_l$8s<>fb@qFAuv zn&2Ba&NEC-@EAtd=J@pM&NIbZZ&V) zDuzMY<>q$%hxW!difha38fR`wY$Bw%lfXE^pT&>*$Ck4>?s6_ED{6hUP}yh5=lR#jlSixjuI zriO=%s+=nJktxH+xjpVV?s5~`5+gg`QOky1-6MOC*OU2s@0mTEL>&2>_a&thqP%2_ z%O&o|Ro{m4fV%tk1{?C*{qx#CuAb7!`|8`z8y_O7ChxG>wU@o5EehFr+h14tge1~V z68EIat9iw=TVyGbF+z%P&W(BP$wo7H-sIhRDeP|>n-qQ|Jxl*6Wj9N)0X;5CbC00R z{oymH5EHA-iTPgTSjpQkef@GW!bYWVJ$TP`*b-fH^)R^b&>UOS(A4ldMvIV4$slI~ z=R$Dt(4NQWsQJD^fpS%Lf*XgK$}c33NX>$E!JXh${YM;0V1b|sVPPT9kiHJr&+G>W zI|}<}`}R03F|vu1)>v5YrZfICm0x^ue&&H`8N%EcN+dFd={_7l7v_K!=Q1 zL}Z%!!{ne}p-_P95#(TVHrp|Q+b2d-)N|mjLrHl>Hv`&)lEvEGUN>4_wX)=v4sCa+ z+BnMDfLu>Qel^~NIw-Cj(o0M(Tf3-CX{OgyM^z!rloXtiP zaNzOpUeD`D6=tJVrz@S<_s_(Mtq8c(H^1Sn&_$hLW`6nk&o)|ER-OhJJ>P_x47q2N zRr&i)*3N0wbaWF6z)t)!<7Q$h14HtU|Hei3o4Usjjh@MlVjh$W)7?3wHr($s5b(l` zI!{F>%8mA)#-4RM?wet3^Xvf~bg3Q}FqXRwU$*DMDGD3^Jj-X1kg7O8io$(ndF4#e zH3g7heXhgH?ewe4kzEVU)5KYaiPUrOE!)D-N}g-I7zY(uhRh<&kfSNCW@GxPTl-1w zgzm}39&8EL8GMv!d!6Ho*>HI}=&Tp{<}?zZ-eta_e>8ThFoZ)sSCoX(>w1w#u@;c{ zYSWebwl%bHv?kg#sWS82TC}iWyHUOlo4?@xedC#yXVq{x<#c+zz0YUk*FKv^{xEha zm4~SY8hN9Av8&=3dkW=Sy(#_BN_=*4T>ag;SPQ>QOUv#(tZ7OWM9QRUMad#j zg_;G07F#7COGJ$sJa4;vEJhbo?oqYHo0cME4N zu$~8BPy2|~EXhbuYau` zyewdk_xnwvA`Hrt5sy%%5Oorz&sD22N>@*(N}c|har&2%X2B1P0D5%5XBMJwkq6*3 z^RU|;Jc&R^v1Wcuw&A&G|8;Hxm4b{^>$k{Y+X6sF$ioDl6z8<_ZxYmaD7#BAsB#!p zEP);jnxSp`BOPQZ6sA1iFQ0C)~8S%PU=%(Ne3Z zVFt)U(WXr_L7*H8n?kW(BhaW5J6AHsb>zGPvi^#CFCZY=<^7w1G)fHG3Kzb$Z}Oro zsr<4yQi^6UG+W6c+NK%6UJ^1q_`5s>k$oLQ;#V5L4C6j>J(jX2PS#Xb^a?MZV8}b)bU*5F|ZrAGu1%jVQ@bt zbPTnl?A%{x+@iuZI?a0Pj#Gbhc2Ac(EJOb+q}>w6NtbmRhI1GGtrC`yrQc~C5Z=MVE2rWDw5uGhe1a!Uym)#5uEqAV$sZ}sFt+Eyxw&F{C=lZwZ} zYGe4T$LU-qILIEjH5MLHTsY-zUileoRm;Vj(`ov4F8A38_Wh;$A$|^al}h@)x|LI`nbC`v>pEJmF@ zH;+cE;YCs)_U$h;ji_`AKTZ<9ZSpm`pSwla5ZwNPRcFQ|L{N%1{rW|ziHR*DoM>ZR zsvteg^HWmYpSMcto!(4&XzT13k2q;H_{%imHW7Zk+CGh@UKMoeJB6fa_S~Oyb&GQe znx|>yMPo;DGmR#5ZmOAL#wz|Gh6r$Cyp96$He`$Aj+JXz12jGS0(sIp)Hw$ zu}P>66h&o549$(qvql4$kH8xTY`@hWTX{&$jg)5{;(erHnF4yvk*q^rK=bGr)U@OX zmn3FC`$OHz6=HZZ3her3OeuDsBeGz#6iLyFu_L#7a;oUxQcG~NeKnz_prnjMPf`uC zV$t|V@k7LNoMO>hvxgv<_~-688RJ>m+5b9btRuwC;$-J8W!UBPN5`2o-b%8RT8vwu zcQrfnygB$vb8LtNz5j6KLmkePM!Zm^`+|#Su&H2X{!75qcyhpIhINICIML3Q+w({I z!8m+St(;xi9DjPa{>;J2F^|0Dl0{IwwEsWqUevaYbZx~9KcZfwjIgy5B@AO9CAzDi z`Dd>lI3o@&L@b(>DkX{>T@TqgE|J!O3^RG3m`crG!qx4 zqunh-{)}}4YmOnF>%0oQLuEcZ2;RXHKbGHMeBY)M@oXhbRa1EtI7l-ZG(IIY5Qx}& zQEYN@OV)qgjd42oiW>Byx;(qGk#jWKeCKgT(C#uQahZKju8#)0(IL460X?|8k&vWR zvSE5GXkeuXazgQTAC=pf_b)lMq96l?u?B8unj5tzw}HiKzHlcUmi(FV;O2mbG35ktiIuM6vz& z+T#qQPl(mR*B^RNEB3i|MkPBgZGQ9WYIdncSM1gyVgC?IV3lI|?3G6?If*oIdNw9C zfRQmFpD?T^P9E#Xd~NyUGWdX~mrdEgpF!A;+R-owd)KXbSESr@Rw-9p1F*B8UKokL ziSlcNP@zb)%L@thH10oMwHsNq!xO_$N68)?4bd5t$M@l6#cB=9b1iHZ@wt-t(@;a5v^Fb-Ijg)f6hD%ldj(j97gkf> zL4aX|FtucEGPTM`e5u7SH+aWe{5YUpi(UdFWOp46t)^F?xjrY{w2Ueyln;KW&M?hr zBqd|<-13vT)e23%xk2vAEPMkIXNZgMSiHaaZ~%A*SDat+X5ZB)9DWSTy-e|llLV|0 zLC!8&58go|aVf?UkdNrpiXdl`j7^|+N}_HsAFd19oT}$tWqO~%AV~=4f^|P&XlJRy zj=yiEGP$oje*2mFthE=m$Q9M61^m~7wMUQvAAmCnZr_(qL)uF@fOGixo(6bl7QE8{ z-Z3#B)-fMmuo%_~`eJ%A>4}dr@zPqr{+6Y9fGmD)dRa->`GDXJiiQpvRl$qwavGUL!v~ z4e~BR+?#lpE<(=fEBNWwrRVr0XbF{=h-!HO(2_^v7$~y}-}7f`PtNJ1$Qtib(tR&c z@GusMOFWi#g&W;o zwse&lpFe!cwjruAX&H_1Ro?T$owV)<()8~?-YZJFr#MCsL;`kn;UI@B-<-p`Sn>u= zID3Gt4!NTGJMP~UcO1Yw6hW$6TYWGI-%Iyx=R*DlM2Mto;`~rFR1k>N(Flc9$4B`w z2hGumiM%2JpMUu?oNk{Vly`}3wMQi8zW7=+bu%iQmmopw*0$%7puGpY;>Yj2 zeTDlzxeD{*o+EeMx~8(4G`Y|kFeVdxJPo@{h~%z2&%Tw}U1OerUkf*q?59!CH^{ms z()158&R}PN?;unNf?i=t#{5BoMqUD0rA9Lx&HE3v?B=ntBN?bd=fOc+i^y*2l@Qvh z5`_rufAW1qT0{mT8dRBo3F6?2c5a0}dK-1Q*7ceLO<1?Q|A@27;TuOlb0@|puy>L; z7xF{w_7K|~o6PckYcZogVXh>VmN>yVoI&lR2Kb}~+(BDDK%}fSCdt#pe_w8@bH4yi zPy+8zlUyPJ@k>N6e2(P+7n(ia3)mBeG2sU;b$6slF6*(pr6TN61|0nzTViAmgtTEy zG_4qS`^!;^h#`=}q!V5WT*bg?G637KGTx{CAh~b5A7YH)|CBXX^_}G^qOfT#(!g&a z-^kv7&}T>NszB9%aSav?r=!Lp*y7-`^+aS>uX{_LR}45<${>!RJNG`vRu?=9xRNHs zVV|L>s$U{~3N!Y{0djR6rg&ctz7a!6;z#IexfD5xaOGDF(~ikb;4>n2I;vv5^ssEM zF3=>CC%wW7ri6jCvxE@lGXeku0=1h;nryKF{S1wykA-X=mVjKuH6m!q1CF?3h`>S` z(|mXYl&1k1kN`OUU>$x!kX`t+#XWk5*e8Irf+K{oQpd%xk=LsG3ZJoxYBBt;bCe=j z5yJTLTS>=m8X25u^pBwiTTn8Off)WRWzPYBH2!ruGZ9w3rIOfzRQOU9%-k;0D-A~9 z2*f=_R4n7)0F*UbfU|Z`S*r}!j?sG{X}`e$_JBU1PI;$ZRJ@VixZ7VBl8ud2qlt&S zh8thz#8r%AF@-M66D}VU>WYXr!jiKe1-+6&dV5Ir7wl4n7}A4YYw zsPYLEz;?shm(Xt<80J{-p_}wXg_Mn~S(egL15zMU8eBivyiredreBL!Sqp==*c$gcy zkAEinCESg3{qDA9UoUn_<$eQ!r!@eZ@pY^VR&Cg^oD2@r0Ysjga(QCasu|u3k`7+u z{Ko9l5=YDNYr=Z)6xS4MY;5NF{h`J7kokkp16iT)0z$75;KjRy@pkRn&4b{4Ca^wn z1M>dVi}?7cC###CtvZ^hKmPIGv^VR~3gr4+#<5_+x(LI*WNXA#^yda1XPF*?$W>3m2)V0EcTGwEw-AM8={}5Gl}l> z?3c;?@Tf7Hd4SKbn24jqQ*#pY>Q9`c|NZ;lZKucz?8p1PL{En=-&BMIR-U7+Lu)p@ z1b<)tEHUA{|LbE2V=}(?OXOBroB!}4+e37RPEF}`P!xONzNr47)w4CGxc))*V!BMd zZSUqo@^*#%`ULz$strk;&dw}nn(4bcO6fx|;ZO**zx3o=4bY|f%_8PmoP)bR-)?5V z4TAypE1QR~jb){>po>1pQQr0WNxq)xg#kU3OXJ73j~w14D^9hiaKkv=6ebT5IoEeX zzjKzRERs*xa`Zvcq@!vR|#dQ}HX3tb7QFTAqhqJPgW3f8Q?=Lh6^V^eqYzxHZpJ5s|4#Jq*@xQ$+ z^N*gVQm!F~>}i(84r9NE%Ifg<50amtq8MS6`qkX6^PaUnF?C8r&qhGNyi)+ zF^1l69psLPlT58MyhZQh4aX=$A3hzipk6HS5JLwygLSJXCB?FJ9}mVj^K4t= zC*YoUSEPj1`NK(Wh0XG|CqB?s>rB^ar7hK8p8S z6h0%rSBg)+r*_5|!7cCY=e=SXM~T(^0JM%v_YqIT_CEV~o=hEZmB`T|vO@ARj*Pi+1(v|w6>h{7p zN>3Aqgi+fT_OcZt&`~GG=&M2bc@prhl9JOaMDPR>>2XCpFo04ZCHnq=uGyupEb_(0SeqaN#G+ufu!j@L zw8t+}3BJsP8N@=q{~(Z&q+>zw z|Jz_?tG`+0CTUjuE~-Fj$Duh8bA*e|GHV!6#5_#mO5}Gp_xopiYC`bG>u;v-;SH9I z9`GKekR9LHmb>u92z;Mv+c@0=mW#wU7ne#SxSA7p4%T2c_=PEe#{!G#ZE8}Wk91;sfZy>`RVxn(iWJhk`0tIv`k37<_Vyo@Gr4*ZhFX2$gpFZk zXno9Pm_Uy+xp?AXc}Xnuui0o-6AG$l2=W(2EUMpz>Cift%gRFf>;)|SzI%9vd@RTR z`DM)8T8u020sT8V=O`s1&l&L-D+GRc!(>KpA~2&gD{x>p_YmgXBS9B3#^x4+n9+UYl&eAoEJNA!B|7qhN&jr4mQD}nT;+%vHV9gvJ4x2!EYGiMA% zL|g1jExrNGDC7gMUDCRu%q4I6iipriJEH^zorYGq)Kd8I05fo_rqSUCSrQgC9s~+G zlxG`w+=+ffHdMQf#gC~YW$+hb&O4C!b}81hCH}>PW0W@`wWMxfTHnB(Qlw0?z)s7M zJV7CMysqU(HDw?PCnZL&P&<~qYHglKQdroX1*qZqcLW}bf22l^}<%_RK~{} zi^0N|qPkz@0XC8h&X_iBbe;!R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.root_partition.map.reg_db.cdb b/Exp28/db/YL_dec7748.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9ccab091423ed0a49504edf6cea4e49aa0acf038 GIT binary patch literal 215 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdI1dqf!Pp>!5T_mhtd^{zyAOKU(cqI z&}_KjLX5*kkNH2QTuCV|7UoW2l|976qrd}H`Tu_fV^T^&%8Y{t4irS}I3nv|c!GK3 s=I-E{4ZD*&vTG)+USB_BHTQ(F?>u~kyj*?dg-k1x5}1-emi+$@0Be0g`v3p{ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.routing.rdb b/Exp28/db/YL_dec7748.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..19821ed5d679aa018eb553ed7a3153de22c44ed6 GIT binary patch literal 6386 zcmeHMcT`hplm{76ib16bLTQD2M0$f zA1C{XWZ(Mi(Gn4e^z`!usi=aL!73pAU{4=c5JVLMQa*WH1#%pstfC4sM+Am=x*$Ms zCl_y!3dr0DWQA}B8Mt}|u{G~3?P8nZ;D8+Xb>I-*w$8p*e}50-TpTm=1C9i@I(a^h zX1I&oNRp*iR*&jS^m?HkVV5v!<5{3DNYP zi6~aq6qUZPR%kkaGTSw@u~sOh!)U9z-t(k}K!|2_g|0tkZETH_$C;bz=tnWKZ!asPUY$vtr!dE9WM9JOLg9Fw!Rzdv#d*b20b8SQuEVVu zesKEW8%(N}SWSRzEMJkDe=*iH;To{xi@xU`p!~BmCkZs97H-3Z{wwj1(3KR{*w`V~ z==|1}(rURc${+Q4YlVMbw8x$|tM}w{UbkXQe6_1Y08p&alkx!BGzo#yc$mPm)Ol}U z$JbVvH>np^;<_P@hSaU}+Gzav4^$9Hz(I2I1Zy{a;==UM1c4D4QALzmmModnRLDm? zVqrQ5Ha^W%F5L7ocx)jBsJ>KV=>QnGRQWgO6J45Bug){q&FRxi0_4v!1isFh&Jew7 zjouOgw2hoC?l{^@ZsZ@$dsa`#5g^LJdWC&)&2ZBQXTq)(KZ$nwSH$_Db`lZ{68UF ze++w1N@zW5lR?L)ylf>))l3eCDSU{es@;SRTt$Kbr58(QRe;}~Q6C@!Jd(ViDI~aA z^-$g&nD^y{aDH?|N#l$i(B`pCkBdgX`I6e~WUu%@gk&?Oz^hzS6g^lxxOMdhIDVuvZ01CW)+ZCso-gUoL5@29ZIRNaKF|_Mh?WVbhhPL`?!;hAn z2)xFPZ)lSSTp;k*KHYt2=r2KORGqCZX!9?A`pLVy1*29%(N2Kf1s0+Xcxce(mG^8HlAc&sva*gW2 z+%4-IdWWeK7n?xJK2}&$3-BmA+qw?y_zUr>SI3$7&CN-Napw2#{FMvZ@Rnb?KNkFR z!@uD;PQHr@33X>|+{yZ_dMqb3s28YIK1}_PL4Tle!s_r;BmfbFcr63y8fd2#z{Kox z%5TAtYLM5n@UvDk-jls{Nq5Pb>3=F7g9Ip%lUP5d8Us?yHK(%l-8$z3F60t%d|EcS zlnj`4zGm4S*tw&XuLEUqs%^mmbH!%{tpJGNnOZR5U`G2!2j+PQINudu63~X6t6k1Mg3=LG(V=XkO z1EO~<;!7FO;=|*G$?L}+tw!v_-wDydS#b2P4lk$^EtFoyIN!(MS51x60<+WiV(}dg zN~+e1<>jKM+5*MM_o%tK(=Ukm39v{sm zCme{U?-?j5J83UDP;+vp4gLmHtzx6a&52Mit^nv8QP-MX(Sar0+FRCc>SRMU|YO z=BXiwiGvJRhY*n%l9?zIFEjl-KZ}$yF&kIv9$d3F1`2l$Upkg))oGC!TK7EcYU$n9 zv@}c}wZg*rDD}Odtx6m_1(lQG)sS;$I_I_nw_o9fS;26GG~?aULAH}UMbL4XJ?vmn z62M@XyZIohql29&gUe?&&sEufV}}>ffSB`nzL8?FosPuY9<0O~V|%tYpO^gkNfxXZ z@=u{Y!WZ`~Nqq=;#>Y0al!?K=_@-;Q?d*{JqpicAz`@%f#vnl_Ew|cAw!xh-s6w3? zEdsN%U1OWj-CDxBlEF^i?czjgibq3#JbQbD5PL_iP^56%+i9Qh2j;$OS@rBqFybqw zC4pnQSZwF?(V$r`5j=5m#rrCWiyXk{jjAM_!tQ_C*+F2<#|~@R;p zu)jk@gF@fPm1|+o-`Tz@)E=MStKXO?lj5QICd)@Sh9t_A*;DVn%XOTTcC%8c*(osa9#?g3`2GPV?i5N%7 zOoeFXr8ep2A)Y+XL^L@VpU(lBo+n9lL(DCS5K?&&)&%er`(402M-GR``95^%k__7X z5)F;-OdqH;p?S;6r8UdRF`BL2I=rl97}e?N?@8%Gzrzt^+8A|woyvHgU2kj?@6xQh z$ZJdA3`2QZ^p-f6fjxzjqt`YnzD^OQ_-w^&l+!gH-x2JSG-4(%AK%3XNT@=m2JM_r zRIS&LUc!7O&oKilzBn32%AU8nm;8S~RFk$yGt_e0%k7l?Z#(_1BG%w71=oCCQnx`N zQ{7J_A7-ty&!E02>z>TYIXxFRu@T&ytD&Fa?E@y8=6#laf zIIC3W#SG41RvH?}6Ds*Sn|b{%ht!PqfzGDw^F&89N^zr%~{vk$m zQ08o$79UFA+{8%AJdTjky_yGiCz*NNK0ohr`}_I_>jJ@ViVU6$Dss^#`vgrYJ?OY2uuFxM?x|`>_)(*dHnew9Pri9-d$kX55qPbe$*v3XZ8_%r?(!UI~Ss zmnl4wHb(orbmr!dBYNT&slGXjA&>UiSIis}8xG0g&xgHVKK3Ga5jirtUAvEp&Vb=A zE?$P4t^8`36!ptAsqzfFYbFY$iENC_kCg;o)>L&WF3IyKLqfGbPG`LLyTqK~df2>& zTD@hvZhfqqe|2mV!A9$uguNC?YD`bH_LCmTK`FmK37r2uW%;3)-O|JF<(a16&Ssg? z&V}~!mxaJ-R>sGI9~&QIJU->YZFNePfh$U@|4<~z{BZC@EbgEXbD;11*jyhEYYy<> z?Erw64VsTb1?}qk;xUXn14Onmv>cr&IC%wWHob3Y;Ug9z5cJ&JKvXh;-THmNo9>|rc4oz1j0ifl)+ zdyD37BYZ`X^=?mrqAKIf%s$CgV4q}11-?(w$5TZA6HIQY?{!fXS{u!_u=xB0pY4PA zdsMhlLzSQ0l5`KdPvJkM(AAXbCjH-SHcW)wHnv1|TyIm=|H-BI-`9AOuVGm9+RPU! zUbJ^t^eI^3_uQ@XH=-Yz(c)3MBmqx>>49z?njN{!O_v?FrV5<$V%IV|uFDtp3^m}K z*aAh$i}L)D_)~0lqT%_9D$(*;NN#tMbkY9-LLxZj~QwCJ_1o2SC-v|v?+9KsdKvK;S+ z4+=PJy+7k|Lv{~!ESeuwt~H*Dn|QPusm|fA^5{vh(7U$R6yM)>`^zZ7sK+{rmRrH=OBtL2I%C?FX{U MG2GifAy}+`0aAx@ng9R* literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.rtlv.hdb b/Exp28/db/YL_dec7748.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e7bbf2f011b52fee06c1f4c53276ea87c37a807f GIT binary patch literal 10058 zcmZ8nWl$V2uttj8;qLBmNO3)+xE3!IDemr4X43e@*HieztINwRUu%;^yJt;^3x|a<{fKrvmZ-skrz# zxq+NOE^ZzwO$!$fYcmTfwXbHjRNPdW3RF54rc^TK)^7haXZin=4hDvk`o93C81uhT zf$_i53--haCEZ9SB>)9l`1^b4D{P2l#DuRWS=jT`tC05;X~%~U#iXC4xP!6;D7Hju z5HNb-mLJFZNa+|8I!zXsG%FHWfCsq!G4i{jL_E2Af~fgh=ULVB5yaOq$LFUr$i4im!~J+|?YG5n>O8h9vZJiw1fK}7t);QC?6gW?30;9N!4Oko1@@9} zW)*y+o%biLcxWht92mG^HT?2-66*_Wtg{@^sm0H4Aaw^J8tW=$WqZzGikv=OQyQlh z>d5qP$O67A6HSkG-`iZ3cHn#1_l9HK~v z)CKxy#HRfR*|XP5`~R);|41YZ{tkQVQg3`gs{~Z)w)nYaF6kFEr*Mhd?jG^fDyY?Y zgEVX7H=KE7Z~4@i?|F&p`DxSW(lfh$eihDLB9S6%iu7XO7*VCYWp=VSI{%JrEz7X* zuwQrZDSsT3U&RX9fQS7)bPpHrnBOKj!AEk9wmA}mR}jyBfgC2UXt94GoUHM3Vq>^+ zfYKpaG7E=)ySDfzU{^7y-N}{~Rn!74@jIX)S8lD(S9x5$Xh3~nKxTr%dSCnxF==yp z)RY%*&L0YG?`#k1Pt3eBLsk&t7vmZ`$-N;t0jSlGY=)7aj(TT?s<{{}TJQdk7*3ZS z_bc7ay&K-lbA!R#euZs8SKA@dsN9)^eWgx^$B(9ZBVCT1N!?_}mm|89SmnLKy7aqw zIq~Fr&3=!Im#jJ2o;vwmW|Bh{;9}OfN_tCUDJaxeHGWptA?K7GV4c1E<&0fy zlC}pxHbCPcCh}g>%w1LK8&<;J{yr`6t(uYKU0 zW^N{yM_=&6m1wFHH}3D7EbjzTx}^X|lZNAy2){-jWdaIEOIBa4B_H;sUXKr51~DV% zhv|c(Ya|iR-Wimj*C1CMf;!Xd9X+OHxj4fGd$#MHV&>~^n+vgtjZwjx-^Non`Vnm+ zRfw>Th6Bf+uUteSY%=TG@q>3rUCS86I=PKoQzx~ELYIPO0Ff`zGb|Oq-*%brbYT~L ztp@*UO$S;Qo}I}WeF^+LRCV_di6@#SN$|{qyjB3!8ul&Ut_ih&_|<&1(vD-%?tl^! zQP;M*_^tfjz9jI*Fm=&v`S*Y&*S*Q%yf5B7ks;h#r~npYlrT0Y2-Z>VRSwvi-ERnc z;;H^dMw3T#xza7i%@UvW1RTJ~zo2Cl@db3raj`JUWL-a?ewP~fiHr$5Ji*2>tL4EM=$YN4pZ z@-8mZM$Pzz@BfpFUB(NX1sL492Ag-wG5xcVYeG)8e>RX2ge)ecE=iq8@*N=y7&P*c znnQ90{43N1RyzziYFv6j5v+w_D`4?gR(>s1`uEfCIhrZwPx^Rx-}B3ksZ-gK381X( zIHZM*`EieN5_puxnpU19GrlM?Cz39kMOgTFrr+|981P2Xw03F#jY7~EDu)*$+P5b6 z;9nf{;D#9RxPYo4Gthj@gygl!mrL+M1Lm!~H;S|D-|um}T53KM*A5al0Bf zye(L@8!MA9hu8@&3NV)4BB7U)VW^RPufGV$_R|@uXtr(exW-@*bj%dc#LCsEwN=Fm z!88rIb}3UlORTWjgG!)sY~lvIs#)DQ5xoobP}DM;3v3W^*PS(=n*nWyhknqvZjnat zuR(n#O-Wbqf-j1^zVcJ-d68dleuzf$t`JvEW(d6szD8EJ9I8bxy1Bb%x(-1fODk%m zTw#}xa<8k^2nHDYJ(4I#c}62$M}x*E8;8rhTZElJ{ky{_8tH>V_qRInbVxM3{qGnk ztLq3K_NU0Jgdo)Nx;WBEpei4Y&lviI(jIkrYQrV+0Y<2Jkijl#{GRiL#g3;Wr2QuD zdv*;6kA3>bBS6MFF}LBBWiZxoMdf>sA29*3urCSM-f%70(Gge)^&{qIG|39;>WhMPVhk5p|UL%ha_AT2BO@MuujL39I*09GVX5&jvqh6G(%Dz5qXK%mAZ;JE4 zg$`k=!lGp9m5Ah`M`bXtngu8!0=iLV{4TfeKBUnY5O8^XL~7a~Vy($A`(*a|wMT2p zV3}B`LTtywc8^%#;MMTavrT=>?_W)fZblGjj{Z2#^60!ai12oLSqW7narn}^Cd+rO zE*DUcc0bX3B^;4W=xyKaqx9G)AHbL7HU@cd*!?Q+zDnpc^0YHtL*<0&&$!hCJ&#NN zP4q|ubARPLnrMlZTdA9NMZ_HE0?oUHMqITbDhkED!z@AM)64>VK6smp_R8ny4*bGV z_)3+wVUSJHteKD`C=KYzznrP{!`u|gtRmR)uEgjkO{ZwPY{TNV*vPcZz#W%}*zs7f z_Hl4b<~fR&EAck}>FxHj+WF3Exv*_=GJGzzk9KraIV$sLX^DWpamM*zAFA=% zWtq8AqvA*@iZcOEhd+E3X8GSVk(|IxB zu1iGH4zb&JS@IH&Q|eiK`1w_Y?a&dzyEP2I4} z7a^+Wd{UflCdjOM_sgTbZ{gt2T!EP920YL7o~c^_DqRh#{C72sukcBYj!3h}r=PNf zmdzF1K9%yeHqO*PF6!gh-qBCDiPn&I^GwHM^USK;82-;j zb|Ut?os@~oCPj;0pCzw1q*$iX0*d@DPy)(n*%)&gPySuZQi7@W7L~nW?t@1-umc4*Z-<=K?&!s|iNwV#(raj5 zxda=0ahLaxrwB;%8isccGEV+1Cvr5k4wRHut$`*Or2efXnjZoY#unqo7I_WOA>|eo zouuX)>+>rV4sbmNHFWL&V2#ld-JX}9vbiEt#slVb$)(Jd?WTZotOLhmUVRV@;^u3pdjwbIE3+haHc_Zrjk|lCRKk7`zK&0An z7XwYnVFq3>K*2jJ(2xVHy*YqF)Xh@Y1dZ$i*l7RTR?EE5v|=mKWGiKDqa@P-MNx=!wx98Pv|>^6#ZQO47=+DVh?OAzJj*QqBBq6Xg*I zHv3#GVF*v_>R||VEVvr^%Q%su+*WG3@hqtloDvmupTmlCX%NJdLcu>$(0dt*qSGVDPUd8f${@Y+X$t{QTp&&i9iWa zwuveXG|YS3y?UrPgl#)ChFy`-=IXDC8lRD~uh2HtkU zQcJvb<q@H67Kx}c>3bzfw0*9pU?YN;D@@_7Or-PHkFgIelc~>pujfTG0fyw?5`}D} zdShqV3zV#;$uBk`;Dp&`N1loZ#LW0yQuyfw_6;I0J7aBALLD=5&bi480XZzG11?-( zkZA|yp9Qj;S*GJUfA>4OMh_`No5Up`wW(PwtKtu%>tWfqlNtAbSpg#YY;jCvHar3- zfh#Co<1HHNLAHdwuLtC3uZYu~eQ0WWyT2$syW2?S-DSj1oe}9@@?^jnaFR-`#a7}| zN#!9-D&0HAS=U#c&Un6Cp+2|G0OTgUrxFVyo4gtN`&^+WT5{NepPsp<@4ee1uKbNg z?8^_ZyN8{*vA%UaJPVpB8n`Z2BX19Q+ai47ZZRK}r(nvzdWXIAeTr}PPG0VUbVMK& zT7mWLp0B9hA_&Pva=r>7SVq|QB@Jw!fgtr<9AvIZi>^N8I)WI1ZpflmB4-Ud>ded1 zb6Tmt-Tjm}|4bz3HkAqmg_ldrX~{wflDwhFi>6nC3aK>BGEHSCr7i}0#2v*#3X~B= zk`BYxZI~M4P#qq|;k)=-BCJrmy36>)OEm|LusCJ%D0fm?lgfY7PT|xe*PdiIbZ_Iw zkFJDrDhKF)*sJsZZMX>R(o7gsViu0dzyMN0{iv>kN05M&3lKjECn|{J;%08Nc7v*hR3r!{a_^^s8+%D=Zru)3XAuRhX+Y(k3?)-#i>& zWDcDSS|Gi_<|WM6CHy@e;)FOw2QHyCVvtT9j^_iKvs2w6R;6WRGXh)Xzg?jupfnew zdiZLGpM*(TIbN=H$ybwwQKTbn5=qR2a?Q*Z4{P~YK7Pv=3yTSMOrd_KlIBRHCWJS2 zyomuO`C32&8HO*1?B8n4LB#RT-oWnKlR)^c%b&)ln5^P}m8e%NnCJY4(&o-T6Df}8 zr)RA0_=t*!u3N6Zs2}ExEuc|B3!^VM04-7XCkAab^GtL>x*)gPTr!eU8doN&DZD@; zE|K9Uk4h5&#h!&fCKlCg{ZY3Keg>^MG$Ck%Gi_-5AV_W($_Hv3j9&~|@V#6GCUqi( z=1CBdFzuniKfZ0P6jVzELXv%i{IOUrXi+7@Aa84}uVH6r8sjT|#>}^dZ(nA%?wt`4 z(L~9^-Y|>)MgKCk1vdzHr3MC>a^nUc5mDhf>M__fundrcfHCPBw1=1@^_-9HP!s7c z$5$DpU3XTAD%wmUv!~tHriK6HuU(*8iMoo=utB^UeA4 z>+Mi{05OnC8WDDrl!K_GL;AbF^!LZuw<$l&_SV-9Y0)w>8~Jadlz?X~7a%};6t`5% z;HzXKzDiY7_j5(n1+3NvCXa6WjP!S`yR_IoJbqmzzB?o%iv!yrENxD+1?_N;-RbhG z+FGAt0&P|^ZWgUT8odzx?u$1tx*$B@PbViX_OJyvD~Q1o&Y7sKY$ofR6@82px_y&A zFxW67I+H_ra(toaE`Fr-Ja(k@Mp7sH*`*3yFO5ac_C}rRXGKFjoRV)r=dWY!lH=e_ z-~9H1^Zpd4qh3G$pd0w%lqJES1>r|Ss`5W?Vw?cmRu|z;n-W5((nn}K8f*e*2xlU( z5h}P1Z^~co;0Sns0(v@0nhoNFCkj0D#E%PRi9Q>!fZ_soJ`rCfqkHRzi_l8vBK4k? z8kjTeQ0bnH23?S3o3XCzkwo7VIbRTL2&5igv9|T(zE1@kre7{SV0mOoNB&n#x%tJT~!VOm67|e)aMHYkY4T|-6Tg8O=SJSse>LEiHGOklg*&TY1n!~ zE)KDVW?BbIxfMuk%WF_i-e}SqqR=Y;YSKd&VelY2u}cuTpjtTD2V};=8HA_ruqLik z;|&q8+_>NeQ^NvA@fn^89Xn3<)JXEj;3>o5-i)*qQIQGy)rRx<3^H2jHD>8C6fJ8N zz7=oSLT-F#2>2ni5{hLGwFmBa5W7U6w`zvJ007Si4+$eX1Hc^);wxNYmyc8)_JJ%s zt%QIzhA`F=C}a4u`4{mtYAl#;N5L9Beqg)#Y9Z(o(+i(Twl!h3!JnycUzJoZm@zBi zU~T`N%Dtkg9r6P>A!MpQ9axAVRmYqDzB=5>OVmM<&dVbH$xO#q8H2*+&_6H*{om1^ z6iyH4V+JCRMoV;w%bCCXTr{u5pt@huYG5x z<0b{R0;h|eIu3V)78sCZIBtIWl}tG9537jaRcc37St8Te9z-~Z$$Y@wmIbR$^qyj| zi*&p&#BXO)iA|*RRo%N;!S%1F5rjXGRwyUg3`pFo5fXF}G?c0; zuEQ*?Vb4c`9V5ZVpx#>vWd1*z;Vbmg6fnJO8^*&*{Dbik=1|-qwUnos<2pu}Ud`b2 z@HQ<5&JTcLvjjp5=rC{xpZGU1;LmOADnD_-x$a|0^ajgHo~?#-c!( zabU`f!w~%EQpBcC+44v%Tq^F?i#NGZ&uzWsN18mMHMIO0=you+&+P{Z9G_X%;hm^= z6flrVM;_ZO-2{_rrm2-<_2UveKgOY_0r_AX(Go!tb~sDUAaaqYYjC`h0ZwbXDkvK{ zbVAgKCdnb=QyltX3bj9QhmLp(3v9j&YjQ&IkqIr6Q(A>!H=Blr01Vc&kXfUYXbacK zQxAXvj8QKHEO5`n#t8Pk&GcPi4V{S5NrziNt%2s$RPC^Rv$_SPqW+|lG;%AZaw}k3 zhZ$_oHrlhEzn2`u$6pU)jJBY5%uY778;cd(;xfM}o&RQU26a^kb>|z<(~O}5@b8(z zAryYCdpNdDL{s zrMl*!KW3mm#<_tZ|4yysg)#SN5?(bE+S$e@kz*{foUf=%{JwL}ZMh0DDo-(NN6kU< z+Xwfs{T?!qLD*}x{McevN))P;W;@w>N_e`0=9q8dx!t{7)3`x&{L6qFB~()Bsd{TW zboD?u21#T1OG~O9e~fWq=#xP?+o?ZiDNTcvL6CgrIWPI4ioo(>Rs$LUE=i2oB@w+< zJ$!{u+5xtAE&m*<1YwniFiHo&Am?Fb8y*p5f6VsLcBvRSV z0uD((L6AO_gx&~G{c$qg&{tH&=4>FCUXSx767c=_A_)?qp`k#4BUz>xdshz^VHol@ z1c^}70w_oVqa#1AL_vmQQ!wwfYiAdC-5-~>?H|mXJWldgzn&D zUzUTtltFfgQnibhy21@uqqy8Z1)#^Imb1G^PlZ^C(Sf`DvtJ?hy6Z1zdrzM8^ZVDU zVF-_H{hh-fXZU`83BGc_)H=&Qti>eekbA~DjZigiv*Ud_iY`rPU7<|aZO6yAS#c6Y zq#<2RZQI>7{wk<)SfNvGDBNzddDN&l&tWv!0q@6D7P5~(O!tn+JAMw|A^mzPle&G4 z`_>GDQDzJu`R-CvQ!!D^UyQ!3-TSp&cZ3|iG8qpNtAO&_vxl(BLKUh4(GPzSrYfh@ z*Eh&K$~55fOd;ub!BEe$4c@2*zZKdr-L2lZd8AxS1^Uo-{$N?TnTI&l3y=n3leleC`(eQO{sh==9(syDDFR_ru+c1RW=iT06q_!!R7MeP~*ZMehx1BUCg#KlDNre4U zc52MEB37ezM4ejH@n?buZ{2GERjaClYvRj65YHcN^*AR)LO1+Da{gg=0z}jCy@t2G z-0p0S+A|?%BFcK^yM~IUQZEM9;5<#QOx-JIbkuV&JzLLm44?KX?xZkLUQ?><1;2^J z^4#U5wdJztmTVr+{HDvd)y!f=Td}`NDZb9bsTj}H78JO@Jo6LwvrC4(xr?vcW6dZg zw^xQlIJo(pmS((FYK1yW6ZUVah94X8a!N-t20k=x8p(g8p=k0RMojkJCSH^!=QH-t zPPS3vE9;e;rq5+i*>}(UtW#JztH{bIp2R?&rJLr*{}nQbCXnQ5iB zO!EHB#n7mtJUy_EsYCj5IZA)Nv_X{T;nMU-sE%aZb?H`qtE|vz0-bgQWKjqhJ$z9Tdf0x-Zneu$Ww0Fr1V8e6Z5et+w#T*nKEZ^mP4OZ=pZ7jsM6y zQk>q?5s}aq-B)J<%}cm`X9Z^;2kI4xTnvwLJ!HOkS9ky51Tx0RoDT_ASl6IzWL=WI zLlW&2-aqx2jD+%5r~TXU-i!))%T?442h zkS(rlclG}7^TPYP7wk_R13P>fqTJnY!=tIs$|CtRVKl`o?Dot#^}?3psr7`O_xZbB z>SZfRksm%OgwRkc@G?k+g1H$UOsqpliVBsZf1se!^#-LOVPJ_^U4*2iKg`(NyuYt5 zS{UTh+X3q>Eg_HlodGzEDlzpZp^BOU8Uhvu1PUv5llH2J)L6r? z7;|SQOLZ2S^V>PC>_)1MTR@*wbGoX~x`ITfUcfP%5ieiC!SSF$X2QImvUjk-ojWz! zXX_7AwmeeH;kf00n)ZddQ6h9a^<-V9`RK2yYLx~k5=MN1K+OZS}I(H5PjJpph;@KIk7q4h==My;>; z^5Boto<0?VRFX6cciNuTDEYqpfTpFb5QRq?3PHav3-hek3+fcPP{C?nP_o)d1MzQR zP`G5%%f*@sKDhho@i36I@ab>(5b?FD90(`?yT*%%g*)72$;35`vt?(-epXPm!0{g+tiZtXVRB(Lpg%!=puSjxQK16V~x~b%>GYsm# zR%6IV?Ss?`Tn*P%>WMYAvy}dJ`u0=Ej2kiDqpU(nVELY=zh`Zx^pCo`8Hc9dI~M@F zXo%qToII|%KUB=1M^;nHTA1!QhXD8ay8|x!+dJf6h7z=>bd^GT97KExp0GIi+zZ>T z3%-I)y%Q(nc#&Viyn^2mn<=kM-v^adgmAWG!`8G zlnF72)nX8eJdmjPCX;UbHged;@5Q=2L2NByYQ-ayMZTcoqZHN0;M4m{0k!`{W?j^| zxW0PN8~_mA*2Ooe@GUnEZBrrgyuh)?-c{Xw3lQN;UlJGflh_nkV3gyYlkzcKX>}(n zOuH>9gx)VZTe0vCA=7d?u@uQNh7gRFxV?v)pvIC8YKJJX4d+Y8)uqQ!^ z_TQFNvwmM2PdcI*g|Fz%*%7Yvsr6J{4KT8gJt3Nch$E^n=fQZz3TyvfoUuKzw_J?v z-$8%^)UIu@3ppk~dp>*#op+rMt{k<`?Or5(weyQ%6e{--@SF{(pZ$=FqU7qyZuHmh zDSKEaX~nK^fim#lYH24v)>5W!XG92LBZ1Q3t5TRAcOaed%6je|{PG_*ca(%ISYt)s z83T&<3))Zz0YJ%6fln*#;h;P(N%1(^q4k6U?$5TgzQPrh$Fk9p;yHD}8;~!_wlWHe zxblUK1$$(&Y8g`Z#pz_~sEnQ`HP%+WobXHV)F8PuNfhJ?geq05vN>rnyl-xoI8I+T z3#_`jpr1-ct|c1wrbu0K+tuoueQ6a26le|~J)C~c2pS4Hcp!-uiO($qUZ>&cQCpl@ zqoeU-m&|JMKX#0>ZKY`|Vro%MmR(PUC-t)bbW_}%V3z=8&_dxY14P?ly)@ zTU4Ceq-6JTM?j12!}m4^QSznpDJ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.rtlv_sg.cdb b/Exp28/db/YL_dec7748.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9188fb990704e0e5ed258e5d6839c2eea67b6a5d GIT binary patch literal 2657 zcmV-n3ZC^5000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HS200000007Mh00000 z006uK00000003bM00000004La)S6q1WyKZ8Pq!L1G4Y1RpeTa)pa@RS*gg8Rr*)Kp zV2g~;c@{xI1s}V=gI~foQC~y^9}91Wi;l7q9Ua6FdLks#>+zuCwd(-e(-d z)zqn~RsVIVRjcaM-lq=_4-YR`B>G-$O71Q;)%aiTZ>a5kYN!8doH3*3z+&-T($ifi zAjSLGrg;A%wJAofSh7Z$?O19r8tQ;keEc@GDMqf#`=!R4|436TK8RH3W@O$}ti_m)V_no8sZjsL!UHUEm*^QS9wfD%`J^&kw?-xHSqN&kR zKq3bPy$5q55EQHz!k}Qi7zPEW%gooD!u{u};DMrmRE4xvEM+g&2x-_Vq#`n_3d(O+ z`Mq#ISG{xM#L0Ueef+7XQ_^29mQEy2rL~I03y~bpJn`gXxP65RI}#V6Rzi_@Isq3c zlMYP=d`~S&_?*qZJ^Nq=ZpZkk>wmg-c$E*mQ^JCoTV43HCJ$y zQw2Onl685wpX$a!M|=4yKx4}+IHtRo>~c{28hoIZC^!?{EnwV;)Di_}q8{P2R#QY- zQxok&JjZGZ)Lx$xxEQJBGJLapx}fPsYKej~(f%BnP@E?$e$NA&o%Nfc4*rF1Q?yT3f)AVhzlGAh}t(vckZgJa7hud7y<7si$vU%6FP!phgF&S|!Su1$t|Ax(uy~mSpL5G0})AsKP#u2RP_z=pn!p<0t zkMoV^r2?k2FU1SyR63#I*V`H&AcJP~e=yMK{M7NUg?Q>7Kgfs1l%3rv4?A@zQ>c@v zqJM(GZ2ftSy9v61bb66B%b&UaM?WMxnxDKSf$;s76w5C6sg@|_8!~%I#?FH!uozk+ zBd{-q`T9P}!=>V^g~01|N~b2JNm0Mroq&`kMLaeHq%Fy4pjquz4>;(5N&fhLsbHlW{OIs`n)4$4bmiV=kSgbZ z*K5n}OxDi}fia5uUzTpir=P-B`vYG0$qv2Au3PUdMg4Ci-wmH$@~_s}7v^Uojv-W%F4dH73V7>B_B zYluq&;xk4kzsE2>Jm(vu|LCsD_`e5zV09PS*N^mdTsvQvN?*~hJE6@Zn<#wU<+|Q% z+n|I@NJtO}=oAQ=D`!Ht?}q<)xdRyJr>U+?-s*!J$q%S;57{$;m}TisIldf(|ZjBK;o(zl&gO(ZF{fclX`B zcb6BU7E1bvPGX8g_=bUHJLkwnT~S5G(T$9lQ!=t+Wz)8B-O=$xJifqU3ILsygq@y@ z_&L&8pVKV+|9Y;FCV5xQ-zvV@vk^ul&N?cQ*tANadU z)0YfZU`!$(m0<7p)p>5w19(NMCd{;{sNYAhx|zyY$@*>e9S%k6)Vi>FGETUigYFPl zQ_(6%1^}_L?E?n^uTcBG`5Jta1v5`FoMP!ZtdB9oqXC8w7_MYhc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F1*00000004yn00000 z003zL00000003VC00000004La?2@}`0#Oi#*BFV|_!1$F?ShgftGOYF3*t-I*u>uF zj<@6g&2pBr=ct8MiVO@h^Eub!I_F#~%d!XQNq$?_%bT2|Sl*NTI2A_V*3&_jC0N0VxDKf{j4~ z$a;1E)l!g%6c8gE0a6WdHc&@UBuD{+1Q2sDbO6bX*F3;JMs>KKzl$p)D?&NU1pLFn7YXa=&il zD3X}#mfIF$*hwa3mHU6by0?2tt6&K#=nw@8Cd? z^9^|P&r5~Czv}>i6#4%FP_X?Ue%tjAV`RSOj;Com?{h|FL#j_IWS%U%uXNNBYfW<7 z~}+)O@pI_4yR57_+cXka zJ04Dc)Pt}=UQ*w54XLnc+~DMz!K5>9`foP-f~O`07j1AT=A$oDVq#@;caD{;-wZBA z#6q@S1^N@3?C8b5O;zALX0jSzTppXWG?18hftibsh4mS6bwtb;bW2>;W{3vn)c5Bw z_)bC0gkoh@!|jl=o?Y{0m@$T&@tQ=eMj@4_#*3M1yzp5L>~T_sX4kqqK5>&qG@L1$~2Oz>a^e zv!-O9eV4;`>PLq%d?g?7zqF$mdd&R< z2!E1#TkiX4dpmXM@X7g8zr_bKl~}?&rHjWft2J^k+hhH#ki2ICivb3Sm*|>5KcL0v zNOZ+48zy7X*BQRc_4$_XmIjFsYW4RnUzdmAJ&J^R_VlCC&7#h_A8_bH=Z#9c`37>#ZHr024$&Z6Bn*03O zAe>~CtW9TgsS^{--WE1iz?7?3da~9-IYpeeA-50b@yQCpF$SMQ&-8H%Dn@`~oy5tl zge2)?*VXT@TVtj}Ukc&~-b~;z&5`KPk<`5dIHsa-OvsBz4v0V97p#lc1&Hi^q}`>| zB(VvW_k8aGohsiKhyqA**6BreM)HLu8jxi_8+%yfxAk6yhpGo-#p0xxD0-Z+9Tb>2 zWx-iAy<51g{M~mbRapv2sXU(vm~+(bTpe!T8^9;s>@cG+B%xe~561rZAs_h*@IM z2_=gQ2{aSLIL)5}zP9@Qo219FV3E;+X0GseY@`SXwV37j%mNBNvWeJ3=i;VVZBcGx zr01tcnCRt^G+NvJ_zVidq=AF^iWVRfZ1YKMnsuL!EeTbz(iZ=$Oze{xaRwJoljxO} zRyJhl6+TTgV~3 zs9shsi12`t;Fct-`=K4hgA0#Ew;Nm*mluMCy*F`fTf>$xO~>>*us3*}PQ2q~cZWeo z6HU9t-;`#L=+0htTKUuoJ`<;0L@^j>@4p$LiVk3X82GUeziPTo8_;ZQui+q<`gp-G z_OXjSnEH7brP510G+f_el4aq&{bKKd?F!eXvpgx? z`Q>>W;*r>X+&fv(V{D}^W6ajIbWtOvO`2Y-dS36=DkVCzIO7P0S*sVZ=YaAvk$5Hb zUV{H*Cu+OL@2S0ycXs-@MmBMaj70YWlv9M(T4se=7@fs2(<0ATYWq9lW3S^aDgRmL zW)JG$lB?4SX412cr#tPhujuzZcUAVn%rzOLi6r6Nix^F-klUKMSPLxtiRYK!m$TpqZ_{~>pcjMJK=wDs>5PeZi;g)=<05V zrY>{pK|Tyt^yFUF-kz)ZNb|600HJXGC3Vk9?BftIa1m{Sx=C9{ltBluG{#O<0xC literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sgdiff.hdb b/Exp28/db/YL_dec7748.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..95e5c1f312e378b96fde151757c726dfe3ca9524 GIT binary patch literal 9775 zcmZ{JWl$Y3vvx~?gS#E{V8y+-yF0~QO7Y_EP+Sh~6nA&m!ol6$-QB;w@BQ=LA9pg7 zC)vq9$;>9RyIJ&4pFUL}e*V`x{%a!tP~FVI(ZbFa#KOkN%*X-~akj8F1+lWRf|xm& zSXh}@nOWFCs%8!@7A9sOB_k6n5DQ3E2BcwT3=%iBaQdg2L;D}PPoJrtsf8+?fvrUo_Ijgf=f|mW=f{OHJw15`hY}Jgz!XuT z=v0`s5D2aQi@JB)1i>zr7$3KqSohkT-Uclrad$xXuh4L$kiOFol2kBID;3>9U4wIm z-?V*vxH#0nYXjGSFcp}Z*x`IKU%_NV2Wp31*rPBYhr+unCB(D)tvdA zRZ)Aw-!nZ=cX(B`XS|SJpc2}+3qlrA^*;^TNOGOIpN=6*2QMwAEKDsgKM`K<)ZwYh zK^buNhiUzc&|`kEAD{N0ExQb6O_kVhSd^?ISG7pgLb&<)TP5M|biRcv8lUEoG7}|h zj5?F054(V5DV6$*VkJgCI^4u3Q-P)@IiW|k>Gz;0Pg@@OLF{ims0^YP@=J0vM?6{g zdPS*bW6g}|NrgB0Z??fjMq^By{4)MU-xnUgOlS2D@N<4mxA)2Z$-HL))NWj7(;JlU zZQh@rcFL+ZU*g*(hVaLFf*yp<{a3%V031;NKf&43mxA6YO~7X9#A5A^M!BBP z^5bxUrA_w?9kinHcOkpmAfR18TSDHR1@}Mp6R#ClhQ5E~Wf|k~_sfl5+5ZRce>Q3~ zM|BI%s}|`tXQ&pbwmcfX(mI|(c+-S`Ft5^gY>T)xCFkk*p6*6(gB%Bpn*=0%+ zCdCPlOt8sH~_)bgdrr%tiD=rDl8Y+%T5T?u}l%O1R0nmr@J`2_BKFXTyF zf#py!#iv{)O_2c7V*b4Kzn-I=nK=C6tOB@`qv8TLjBb{QIF5J`2UjcB0(ZsJJMQ_M zrGf*^!NMzn&`Dv*E9Cun5uIBRwSOlRh9ik3jp?5U9KgTxJHz(H*=3elGfi8q!`jud zCkk`V8F`CjHYn3uq@>5dE^7vlXjKfTNFp9BVf*&Bsn)5O4S&_ozd$xuw+|$A-x zr+1m=B+?Q-RH#=iJ&!Idzq7CyB8yl5;o`}AtU9wxV@vh9YUGYIWeFlDVE*F#&D?gd zMXOxR8;>!LPN5cNxo(cH?i%M?3%$yBB33gtY13MjIV#nORT#1t$=?8p-;%Ogk!wJ& z0-n$9WdcWQcUw1gn-Y2_du&>EkO|Yyp5&AIt|A2=|NT2_V!>>QywYkCfk4~Cv*^dh zcj561``uQQgPXvVa+h2ANblNg)GC2^i~e;iGmjUt&Wf?@VxN@rD^>~4q)MFj8dA6R zY-!?{_q$`En^IXOhWM^6TGY|Aw3XSJ<)!7|9WgAZH)2({7FfOKCP0lU!LyGdbL+`z zpj(HF4V@3yrDR1_l~|@`49Lw2ZAqPD-(#+%KKX_8;mdEMMN}@Tt!p+GMg6Gyj#~(f zAlTgjY^MK+U`k>L+0W2eVX|@Y#x`@;M27NFO`_MKqdR$M(m8#@aH@S5zH||%C>xdF zPA94Klh>6-4j7CFOE}LZ3I5%VVG??<)n-faSiTsc9@!fLx$yxj=X5R}T(wVM37u*x z0yc>Pq&jNNdAguGw~SrRYMvsbcHCvr+GWvGkDSNOCSq(32lUrb3nc~JIG3TUIM@5Q z1~>7?-xhJU4efawqog+Xin~&@sek?;Uz~}JHp}iE54~CJ6<5QiuDE2&-yRmRiHzUe zEPx$u@HR(Kqu`Ea5?`%SA(Gp=<1(WXRa*oZZ#Go#Pr&VwxA6XV*nJ z4cr($2%XJEW@gk9MrVsmDy;j2-uOWXo|-4B2AFJibvoQQWLy-jdCrzVnS8$NPJGwI z{aJM9^b=92&{z8GRj1rHUc_%7r1_-BMiDT>g6ajarkgF_FZGFHIAgdYQut$$0{3?~ z+1&mf(qiA*IDgAc5M^vZq4Erd(vWs@CdocSVH{nyXe<@<{v(PGZmg+kys9Zoa^J}u zNgEhEaH(e^v9hw#n_r$?YN>DNkgh|`^2R8=cmbC^#8wqfJY;$w_gp?FRRGAq>2#CQ zy3qrD=D)p1k~7&3G7`;&B5UZuUnLg6#)s_uz9hO_`>9%`EuORwMJHhn8WzR&Nx2?Rk5lhWU z0R0kFVel=DW2|FnwYix+ zl4Mlfy=%Tk^`0F`v<%0_GMj>{En2$0r!!e(d!4#Nr#obgtPRd)$li2neVDWT(A_~N zH+&1dc{*-E`@nNeYx?ojACT$k7u|*Mm)|bS`vx=<-vP;jdVALHDqY}ft>m!fZ`d}c zaTYh9PcG9d?np>9$0=tLIhC_pOrPIuW@H2BJ5vNC-W-nHJ3DXrHWrTDD;+A^! zj)remNIOs}`I1L@uKAou8)}%|wC{QbAM4tfGoMDwj+l%(6Ao5HXlWdC-Puj(e?-Xc zkLUrC1(Gu{E3#$xzm1!07+!KAoQsm|4mdCUAt@a-^|?;LW$NN~W}u3z%BsGuzs!F% z54vt2%zv)OF(NFp+sjl`G>_eZE4KKOnR!rhk8%*Y%2e}yJ)dkjC_J0&reSpbtTpC^ zZ53h@@9Jv#=B2}fxfAcR#Nkr-uy$k`VRdf5bVSRE?XGGRA^RhXv&Gw$_PJjTa=W6( zU%!78hT^%SIm+7_&)K9PPUMx!HB-Hu$|o5fO>}g2UOU6CUT9p=V-`VYm)qFBx~J=$ z65gKN=Cjh)S@JWlB=*P>u#n=iCm);{HKf)By5<7H_N0uQWS`*InLVGxuehjwZn&&x ztI2kGzkRt|_j;x?Dk__JvGLr-AGyLoevvRr#>zvX#!*G-mw zUVb?6iT*ch9!TlJ;cnUUN=2=crFxDKBt}uF2;@`uNvEB8&>ET&6g;L*)^|17A05A0 z@irz_c6(|qB}+S_c6){p$>gQw?L3U4o) zi+2krpSb-&4G*8Z_spX`0wO`Sj`yXrgQ@cO9UYgaqnq?^0(B!B9QTs1zJ=PpdDl%1Z*lJuHw1Sv zY67(rzP4wMkJzsu4&u?fG6J{wA(Mc#+?pzK;fhk8h)azZq?}2y0G?|u_y%HY40zdz zT!bK{5rWqXWszJs1G#QA9O+qc4tn9@?=}XN7c(GYizyfCIUWsf;po9ox#EopKP35s z5q!K}=rhyA;@W_i*w_Y0P^AyKHVRAmO{AL|uJbKWu9!?4^78e-O)H>Pq@M1g<905c2o zE@fS!^xb8lXG@7v*3114#0P^@0-nY(C?}Wg=gFtxE_O5}X< z%V0g#sleYdQ?=^0%M@*qHN~m09{pG_7ukvHNs#4TaXv^<8c{%mY-=}r0V8Zc0c(m0 zj2t{(k(lEH3FwcVY(U0DDP!2~8i7#9De?M4pT#IuBnhAC8wM=T2~$KD)(+M$2PH9+ z+B*buvJ?^E;MAxyQear3Vg{Fzy*5yg5g(zqkA}Z6lahV|S8K##;Ls?45=r?L_rWjo zXlm2D)c`NeKz3C{21ZNEx^&h>2My-6BSKM>%X*;4#>>b_kjQGLp`}SlVJ%6(TaMll z2zVPdr3>md_jIYKp7l1;r1VdI2@%}>O5C*{$1-NA%EKbh}+Z>R(9TYa!%oo zj&>j8iSs_uS1%y9D4~$mXi2rqpqw*V#Jl;|lZC3xwQOG>mFSzEwxB&cmmX4jqYH&X zN{jK_~}G-A1-secTph!GMO9Cl$`8-h&n z@2{SjIv#6veorzlnS_ljiGlmCC~FoI4~IM-e~7uPN|FB9JNL{PILSux@FgmwqR*^{ zo|(Rw%i=zlO-}6xScQq1P)XbuG@vYZagf&drTnD6vt*o!<_+vrP}T=2o?!@>l@|TG znHG|V#9}Y)-BJyR40G>>|CPlqu1LvKIfam>C>(a$QkeohKCzRTa3BJDX3)?3mWN#+ zLFY~~m(UGzzYCs!E{761*qEc(w@oc|RO=b~Eym zIv&^ZYo#?sr2Xs#{_wP1X=l7@OpIxI`WfnZnm89Y>YK1lBMD8y_RA%Ok>8!QQel@4 zai_ngnn6dQYNvK1e}x68p?zJP@J6abYvu0#oTBei^P<+M_SH0Ih}Bf&KE*3Ct^wi< z_NIMMZDB-QG8Mjb3VPw$pP`iCo(Nqa7rhTTof!g|#>oFp(*1q>)%mt2b*Dza1X|AN z8>B&x-f}9Y6FsAt=vrA%zbO9fad5Vkn#551abvR*(zvSX+PNnHc@bI%TnofL__w3B z*FO6R2phF2O6ZP29{yK8-3rkDalzYGO}@Z0m_*d&bmpl(Mw>E%;bF5Q^}cc+px^m| zjWQc~5{z};(%)>mm-=j>=!Mufz^qPvDQTiM$>u9OB*my}O8D{sD{5bnxgSsaRc{dh z$8Z>0fNu~j%xHG|aEn(`bBF*+m?D&A@ALw+=tiAa;fK}y#9j(WV)L)=EdU$6TGQ}n zP)0m`LVM-5e)>bd`)iRl#WN_fU0EVJ0i5TTuLgiQp2GL)>;5;&dMTxAXt|dfgcXF zw?=3-hw$$chDf z(sAXyB>G*#jtg1*EUqoqC8R}Fm$}lKRN^Q3Mlwm`j6Cv7Loc`O1YNIE)=5$CHoyZ( zOEdXUM(=jzI9IVe;v9zIHc|lOB~+kNffS=&+V>`^C!rWBURGQ00|X|3Nr6DvUV~=C zl=~PY)JP=UHUM1&*Ch_peT-U#iKQ4$2VAHpEjLh1@1}p{grT~59ja(nXOrj-$Bhc% z5}wViU8SHe1VA@vgh0TkllfLz&U4G%-FC9TlJ-61d|39Fp}O8DFKMpKgd1Vj7sQQR z5VZBC59B5aZR^S=#h^1|FB2m*_vgYIQ#)cpN%)P6f^F|czip^}L~ulhg6i~A(n@rv z|B%V9{*&=DPs4#i}Wh(e9 zqoji*v<*D*1-$jT!hHQIRIxL97SI~3PmQ<+A}8>NQ1##r1u(+oDg-+bBzSm^nY$pl zVj+-J0y>eH-%le%Vn7;rYcazR6V_U33F2fhmFccD&>c3kE!#FRSSet$W#Q8nH`kzg zO%W@hmE_KdRgXPAC$@&Y*;n>|>E!B?3o=DZ(t&=q{}OVfQp=i|DJpPDRssdO7id|n zdt8CU{i8V0R0}WyA!T(aj>IzCWd?HVN<_zkIfo%&R)>U0LI(0d2&6{n@<5Z|92`s^ z3lAqO-Q5Xz!d&&(<4#OAG`O%kh4ti3V`rk zm;VSEV&2s7K+$Al+PKuTHdDAFYHLDZ8W;6E>*;qjflmZLcV9!9^f~(jfLKIgvk-*<1;Mo*uti+L zHW9pHy6Xab5zT#l|k;sqf(fj~`Ns8Bu2 z-`&^Nbs8;r@$isb$7UqMTchKG|C!znN+co#7geqG^dM?5Y=0C!w8f@Tn}i8N9t7Q0 zA0fh+9PC9ely>SGBZwTt)Oyk5OlZsRjGXi`Jh!EC@$d$@u^fhl81*p==8EiN z9jbqg)J~oXiG6VAGt_%`<;m)vdAUBjez_XiQ)nid_suT~obwrcRLTYz2i%9~=qRR( z!=H0@N_Q|GU|j{Mb8UJ6c%CWJGExf-^+=ct*>l`!=OClSW2|+m=5f~qqWzg#UJn$~ z_I;81q<$~2Y@v$Rk)Om<2HBkSd#p1t+IstT)H6)S+s&2VkdnI_-UDKYia6O7tM$*N z-q&fRr2*Ywq{>v(#OGPeFW%%Gn$S9{X4y%VuxGZRR$|-Q;ARDiN&xBOsSB`0RN@?F zyYjNil=NW)@`d#p4=rulnxABa0wV@sxzC{$%tru;P|NQz=TI8aAB-~Meh}BBksdpY78ryb`n@83ryf>uRpsqpqIfS zq~L51ZtnH$zM=)57~KzNB`Gf={IaaxTrbNZ$MLp<-M>Xb@+pnyIBb_%N<9kN%CCdU z-N&cIP}ammW4My+M>ejID#vUnM-4?ed0Kf9+qOpIQ?0@GPypq&tW^u(@N=R~;s1*} z+6B>!4378|DaLW(-)~A1j%Fi}TA2Ui+0BlErtUuy6!2ID$S_D?NQnp;C%V1p_2QLx z6#dXpI~(2g(L&o6*3G6&)DDRe|LIb(USHrqkIy9&3jSNpAPDHS3SPg?b+GeN_XiRAz=zLe zIkqb(dy0-%F_a>`a|-3#-?sTlXMjDye_m|lg0P6;MoVmD`nE%$^6%ruCU4LTgw z*g?!2XF+*-GKuxjr>?Nzul+**wQ`HFglz&i-M^XcR$dFzWV*Y;daVa)=l$hS;OVwi zd{@5fS(~rpmw#`chi*I8y_)3TqQ`OY0_=bN6xl}PLyh4Y+8-kXmwBTAe5#3Dr6m6s zKJl|&V}fkE&O)p?Yr3QeK^RuTa9JHvSPnJxyBNTyphz!aiAoq_tp+xUDd*JU6Eyt7!ZYn-&R1U@zGZ_3 z!3ke?(1cId9b4|eM0h{)XQM6#dlR8W5{f4dI63%xx@q2DhMU^54Ur`ENoQR#o@g0u zz;{IoVvMj5;cnxFv8Rm@2zhRnr1&-qc=6v$kLbrFyULg1qr~t<3o8=ejv>3E0zb0B zV0Q?ZfCL0 zBL{tXb}VX@9{F=Knzm)4WD6u?hh$u}N!*{v_y%db$ByStBqnrQf2@T&)2ZJqeO^yc z>$wSlb1{G#+j&v?fEa3|9=W{*eJ~1%o+Jxj8Jr{ECbiCr(&RnTY*YJaj#9yDQZsnL z1gk8E%pvw-gMuVFRU|qQ#2Q3BB|WWFbwZLV?HI&#istt0r{ z+Ewi~Q1?<1OTe~Xjcm|UR?2erRX=-xn@J~2H&U2+l<)~FDb|sVA+J0#aFw0#a0FBW za!A09ShH0mih?m@(haOIyRiNy@oiqRD?MWNtG6;LQK1}}TGuFzrXXoI`J6WY9siitIgibp zF4uMoiRqj{mB&l{kOrCZe9YoyWN!LZKlGg69*e1tMx#w_je$Qt7{sLN7C^seiWEKp z!5DFGs{2vuYwQBpnfX40cL5dHel$K`W*qJw>N|cMFUdMMbyCdiI_X~6m=$>GaO?2wrMgeM#*bmx z^{?|zNoKJwtB#}{^P^N7k)&Db&B(v=BxgEs0%3l&yxeTA)O#DGw z57;^CXyml{un^52A3RE*4ql)#n=cvpvE~b!j5rBOL0P?3-nepEWPU@ac4Lco#lCm5 zP)n(F_68ogmBqQLg6h2geeeRG=tm1u`Z@qL6RbE?y-EU{;~z|hJGvhcuy?}xMuMW1 zG}(i+gpbCK(_*KU40XTX)1X>fMu+U^hKf!FIbcn`j_!DbMX}8U-Vja5jbI$WYvV*m zTHxBf%Iu-QSnRVXNws?gL-zb&Pa0kAR@!S`!p2wk&x=-m0r?}?;?%nr4W+q^ zL!FZt)6$i1m9CRacN=sy^f!~d^&kP?@%<-TBPZGW;OF#K9ErAq`pVkAk+(dx#<`0j^{|cbUq9PRKYNdqA$S?9Y z&2H34ymCbN*01BQkHs+|McfzNdrF7vlMT&OSik9iWZEFadtqkv=fma|rj)ioJRDiX z;P=yPZ`%@mw?XH%3sd`|+|S))F^+9yVuuV$$!KHlM`te!#IN|x?An_icqO zJrj9A-Whg{ZYB;~e3^l8;!|hczI);H9tDw)f%R6$=3)$d23qYbj*#~1!y>!!?b#qV z+ER-%fut`dF-%8|MttlhN^T7=sQ|xT-@P|Du@(C-5JS4tSW3DJ$1YKiRhgaE0Hqkd z_8WO!7H<8VWL@uy*|pjDrs^B!roE>kfnW`ci83yz5G|N``*PIh1rGwBflwM*G9p6| z6nk85S)<$wKvK;E56Vh2iHL6Yt8(!gG7$s2pHhya?uJ4gQ1yGdTz8izU3BijZ-}=D zXU$iksQ2B?uWkkQjznv?r2F2w)X-UQ141|%D^5r~wCsVoCn3tIcWvxht0 z+$@bHKkl*=a$(8%J0>i zYSEu>FuU78;w5peIRVD~dO^)zwUxePp-RD<_9TzwSe=dG2!Gl>$^=prsOj|iGL07x zPK8j5Ui$oTwPEN4f~NlFX`y(W?LLt7&`psGTw}6|e<@u;KO|T}9l0D8%?$mLJK{et zk>F)HVE`#Cr{yJ}2>9jjHM4dny5o|{r{Ex`6=4XCRXB)CdbK@GEPJsidk}r^0y2qL zRzd2?TSj~#92qW{S>wOish?maE+dO6mD|vHFB|!XHTYbXg*z8Z;e1hNWiiMAwjLl7 z8qFApfu>HUojs|N8RA7fdbmxw+1o2R6&?tVmrFhH|2a~?xy$FCYeyXqNJrQ(C`4!Lk*(FwM2Aa)7a~?PE=!<*(G)w) z5G>mC3EeCjgqrbocj-Z>jOAVNUEBZo&w=5aNYD>AY1hnH9R=NQTs9~dOP_arcCr|v zN9#B{CaiP8bGRM8S9qc_)n72*Z-5w;su`8BQ%^y^XNIiMgI^@?4QW&=%+$NRDX^Rl p`Yh%ZI(eF2=ki(6`Gp!el8>8OZ%`csr;&5Z=?zF5UjOSE{vRe{87}|; literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sim.hdb b/Exp28/db/YL_dec7748.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..9006c2bc40ffc3ba9bf2d41fb977b687718d81a6 GIT binary patch literal 4639 zcmV+)65#C-000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IYU00000008j~00000 z005B*000000018n00000004La?45gXR979xH-H6NOMMq~bas4=P92iXgHVRjyX-Du z%Vsz1BZ!I%Bmtt3ggkgyZ8~+v=`hvSY4s2N%V)I|D3nLB)?$lVTmNuIXR0$kT5Zd# zKp#8`EyVBd+CBR}300gyA(GKXnkift zI%T%O5WDm-iN-rkaVnA*CsMX#OGDNixYL`<%>cD{RzXm&2cNy0sJywI7Y^M69 zE!5rl&Mw+TbB&v1KGHWLJyvFobUiR#bdS^Zm}mVr*xE>4;H+D^$XS+#(EDs1{ zsq1pt$8dM`$6II<4L>UwA4B4Dv3acQ@ak{W-~WE;8TgN;>~L*D8CSn!)$D(_P4|{E zbPv~-fEP=-5%?kS1E$-!9|vCR8qP2K-(y>Q=$w3{=EM|_^$zEQ{nKo9g8RSg#QJH_ zG1+;XK8rj$r?i4WCv_v$KB)&f#n~}b8?qiNrbwJST?;!XP3KitQY`I1dga<5I&IpH zrq~0Gz`?7gnJZgY-4L3HQ$RYavz^)5iOvyZyALFZ4iegBd=4J71-f0g*bY$nDA^|(2P zCepnxLkbWJnK|~JplpLatY9lQjYf?j1&D33(s+{MKql6|z%Oz@$nj#1vroE?!E)E| ztGS$cEPbBbY|w`l#QZa5?ql$n7@wbYJr0>NT{(Bzc<+aD{h}+U^O-qU9;7ZctbP?~ z^1dvGw5JT%C6}3P$PatIo8{hxCS3Y9==x75lYKOjZ7}_EbtwpMXS~aFei;w4a>wp?XuH{l31fEb*Uc5)pJQjIX^VJO#~|leL7eOB#*40f z<;u$KBb}W(Uynw)a&_wL3}o)dVx3=f{cxqobnPowrfYk-I!B}2Slg+quUy&a`Gp=t zdtbwjHw4gRXB)owsRxEnW^V2(W_fxaoeML3jVm ztdCv}Q~TB|_aoQ#nX-E|<=od#oh_HEj9&kE%6cSUMX%l>kkbuHh%VM<2uElM;eI=z z6uCqhV$N#~Q<^V^ptgw8TBV4hRLM~Mp;&75lcS^PF)qp)FfjC2ybeQgDML}JWY9~q z6oyemu|rX+G+(~{Q>sPr*n3Sg2JP5IO5h~}Z(tZCtiij&6qj_|NQO|;X(P#U%aBrr zV%Sxl0e6ZpTnCYZX`{m1oZT=gK2?T;GUz@aT4FOyu|pYj3ZaZsg($;g^imRqlE=zW zDy}58Iuxa&l5xN}#pr!>3f_$?*AA2`DGfWq*jK;}twv-&i9~zZf6gw29N|7== zrkoclN|g-7FojSu6vLDmr4&(WQ7lz_s?@TOJ!maw3B?Y@Qa(d5j4yI^5shp&DE?UL zEF!kQUuvaN zrCJmn$|&68tYwBXLvfHY8^m`f?RM0Ox2bG)JYdyZGE8ah7-op7&#w@Ic^!zypB?0uKZp2s|(b9tc;2D?;*z*H-kb?x+aKyF;RO z!?fYk;+BfMadHGV4Ja+|S5QnhM0(eC)yNwoW;yYBr!8FxIaN>LEXe8BX~z2TIlIhE&*hce!Xxu|R2S{!n6e#GH? zyZ6$(nhcLD2BPnuW?sn>R>?9DbZah|ZYt!9iFiZ8-V}R!C12etAaqAEpN*z-O_^-o zUf!Nw?H63nSK0@Jx+Gf2XY6&@(;Iy-5ioQsG@ootrW@>~L-PjrXm;W+)VyTq%sOD+ zG9|DT^0|m(b1DlxZQVVUeLZX1J1a9CZSn5*4Q-V@y?w2lEBiXvRwh!3Sal>)nb_1- zJ-ZUWrXqh0h19r(mB@4%6mnI8v;`a4rVk_0_8rqS|RM!E7 zbggMAcJ}pl_4T?cLgspGjIP^(&~vy#E)i}>W#&gy;ano0M}Osz>l*GsE)9?Q(VXYd zeh6~;q3fiS3kSoK(Na%@eEU}#>c7R}fc7R6?^2pzS z=$rF*UdanQ@*hb5GwCdkoa2%6JaPeu-uo9l ziNn@Y6gvN4h6m1!tqTt$WwgL|I>OABK2!g#6}x%uXr zLDA6EEdaSj&I7~r>a9TBdsS9P&(riol&@w!5VE$e%6n|YEH%}2%IJ``#93PsEU|zk z8bENaO|n{UV~Ir|nC?ljN*Z}3X&%Y&NE44N=8-HA&bK)*`Vp7sD{AJEC2T#PXNjdO z@de(HFIq^d;CWK~B_OnhG-WgKLM$K7C$f!lRygBjyt*&*NRdaD^T-My^t+ch8;ho6 ziMZ2NxxdmU*W#0F^~tsQt;}%7U$azr-)6a;CBDuQ-(ZP5SmGX*_%=&?hb8U>!5tj#^TB+Vt?7Oc%%S%I zR>}8xCEw?fhuC_y@=A8_$Rj+mn@9HW$X*`VXCay^g{Oh&PXeCd>v@((p5u`}c_Z?Y z$GQGa`c_^ zB&2`oi?PglcMXnq`X)^Q!6<3sk;Oc+lSg*($X+1&2JPdOJjNsYdE{{*^aH|6lJWe) zqUTx3uX#;R0O7{?Z+tLM`d|+5=KR)&ny0|%`{8MpILH#e1HlZ=-}A^Hc;p!#`6G`! z%OlSL(fe&W#Cl=|OMI9mdO$Fqy^B@yOOCWW3nL|%_lSIVkVuEU*nJm z#8P~prLVfKl;ueLfUWU|EV0ufydO*KvWObbqr-j>SQ5OiNDtz`K=)eGiD)*QNXH%R zDDD0SoW2|W%MvfK#LFOHvF>5xD?D

-XH9ASy0EOCq_US)~nEOCM*PO`*nEb%%^ zyulK0f?#_06szPkOPpbev%Dd1u}aRf#M>4natbp6$5={{)_+CB3_|v$xo_qPw@fx4o0z z9iWGjA{bUo(N96s@jaI*WMc`+!BftXV`!hk&p^?4*P2q%SfVkJ&KINUcrlwP&>I7S zaQXN-3c2(THO~qUf?zuN7d-MyAfg{;)z7M}s;igUMQrQkt*ku{gP^w}vI)`9Vl0zh zkV?jMjogMJ^u|M>DIU!y{FK=4Zidb39W1fasx_BKKSZdY)-2EDA(~F)d7nY>K3T%X z3s3LP#WuIB#mfQVWO6k2X!)am!$yysD2=gh&_XHGv_{J`eXn1pr&($28?;nXK9&{n z@9JclmH?Tif7&I}Hz7^`hwI$Mfqvu}W3gH77^s&PeJTjxMX; zUH!7<652JKHU#Y0%pskh>0Co6momDEDbrpty}slnbLRgK00960cmbqUO^g*q5U%0R z{Q-6#{|n3dK*e2IT=cTIAZ)*R{pQW`re~PxCE~$Wvxuw7Q-dT+qKU*P(VKw;E+%>q zNQf5|?copT~d1 z4R#|n>owy^Wu%%6Ors^dJ;X`E$=H>q;kV@27;#xXe5`$R`bhimRCT)j=3dgk-=>n% zapc`qsT&4SsHD>O*4$sxJocZOMUwcQ3Kpbub4lmu9d!z~VMOdrl18HsMe=Up4=g|- z=Ty4d57oV+?uMB~0JnaA@^=Tl>rXuteA-0X_$tvt;q~}I)IzEK9)xG`hdH{1|E|K7 zj4BZzPBlUkc(DcIT7~CvJw0*iG_lqp5teS-)MX@9T`Jd?2?_bz!n&^nPfya{UL?Z% ziQANcC}~~-pP=;2?9COpLFR=>JXQ7r1B9(Gj_ZxCSuV!RivpYI*yvgj;dU;QyQML8Hi;vCpPkoPP(Y-(Zs5X$YhoRi%5)TJwQt`CXMiHOV8dXvcbpzlh? zlM@~6(!jP~UY&m=4YXv6kI=FVdJ$VWSNV_>tVOz$cg{N4g`&=rbJW+cCWRH_fs2qt zunW~a!hT#k_R2EiY(!$$^I>93wiyZCBmjR)VI21(*S`Lee9vmCu)rWvzJYt}h3+pV zh_xEYD#uR9MvHB*P89XRPF(jnZ$CldWeui%Y+hTg$hAJN&XF|9vk2)rxz#P&spKfi zN2ZTwe2Q3;BS@N;kdA%jr8b?lIfkw^i`B=Om?~M713sN+rWRt2q=;>dxPEzf4gq=f zMIy$zq-E?p3WLaR&;4|Pz#`H}5eDUB4K zL!(qgKy}O(T$8l0omqQP_}nb_Z(~O(3YFRTcNG8I&f{DnGav2TO0ksM(G8UrzDnzf V#3KuHDu0OWLwNte{{sL3|NjJf2b}-_ literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sim.qmsg b/Exp28/db/YL_dec7748.sim.qmsg new file mode 100644 index 0000000..4aed724 --- /dev/null +++ b/Exp28/db/YL_dec7748.sim.qmsg @@ -0,0 +1,10 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588496462869 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Simulator Quartus II 64-Bit " "Running Quartus II 64-Bit Simulator" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588496462870 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 17:01:02 2020 " "Processing started: Sun May 03 17:01:02 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588496462870 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588496462870 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sim --simulation_results_format=VWF YL_dec7748 -c YL_dec7748 " "Command: quartus_sim --simulation_results_format=VWF YL_dec7748 -c YL_dec7748" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588496462870 ""} +{ "Info" "ISDB_SOURCE_VECTOR_FILE_USED" "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_7448.vwf " "Using vector source file \"C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_7448.vwf\"" { } { } 0 324025 "Using vector source file \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588496463297 ""} +{ "Info" "IEDS_MAX_TRANSITION_COUNT" "" "Option to preserve fewer signal transitions to reduce memory requirements is enabled" { { "Info" "IEDS_MAX_TRANSITION_COUNT_EXP" "" "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." { } { } 0 310004 "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." 0 0 "Quartus II" 0 -1 1588496463394 ""} } { } 0 310003 "Option to preserve fewer signal transitions to reduce memory requirements is enabled" 0 0 "Quartus II" 0 -1 1588496463394 ""} +{ "Info" "IEDS_SUB_SIMULATION_COUNT" "1 " "Simulation partitioned into 1 sub-simulations" { } { } 0 310002 "Simulation partitioned into %1!d! sub-simulations" 0 0 "Quartus II" 0 -1 1588496463396 ""} +{ "Info" "ISIM_SIM_SIMULATION_COVERAGE" " 100.00 % " "Simulation coverage is 100.00 %" { } { } 0 328053 "Simulation coverage is %1!s!" 0 0 "Quartus II" 0 -1 1588496463398 ""} +{ "Info" "ISIM_SIM_NUMBER_OF_TRANSITION" "224 " "Number of transitions in simulation is 224" { } { } 0 328052 "Number of transitions in simulation is %1!s!" 0 0 "Quartus II" 0 -1 1588496463398 ""} +{ "Info" "ISDB_SDB_PROMOTE_WRITE_BINARY_VECTOR" "YL_dec7748.sim.vwf " "Vector file YL_dec7748.sim.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." { } { } 0 324045 "Vector file %1!s! is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." 0 0 "Quartus II" 0 -1 1588496463400 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Simulator 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Simulator was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4484 " "Peak virtual memory: 4484 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588496463461 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 17:01:03 2020 " "Processing ended: Sun May 03 17:01:03 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588496463461 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588496463461 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588496463461 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588496463461 ""} diff --git a/Exp28/db/YL_dec7748.sim.rdb b/Exp28/db/YL_dec7748.sim.rdb new file mode 100644 index 0000000000000000000000000000000000000000..156ea15f0d933b0ac75a9e4272018cef6dee405e GIT binary patch literal 2597 zcmV+=3flD%000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JWk00000000jO00000 z005=|00000001Ql00000004La>{(lHBexZnn_g1&g0@NTMa!3@yQpnl)?ItuK17l2 zg@Ls#)Y{z!eF&%-N@jOvI2m%}C|D%_xdn>ex8ET-nvpDx+`YVI0C~va;m5;s4$p;t z@#4jc|N378&(>Ds`*>Z-IFGoIDq(*RsmctEEk9dd#h3qJ^1t!>7k+4ef!7xR`6h-u zh{~2HQh)2~_(PNE_fPzY3u^xZzrQ(%!GSpLm2Pz4rlZNNi zi+SL9VvoRJ0tq)S^N|iRnOZyQO)?>9@$EwHXHYFvBiM--Z#lC<3@)9#d74Ht;G;+| zyzL6S#GFfLn>DYcxq@gx7E8C+ZR~*?HY=1bA#MPZn6(06n!`ezQ8cTfb*ghR*DU5q zKIVbRGsto@EA~N32a5gF^s*q?!VY*2PBQr!$zE!c$x&`prgs?|M1o_%OpIY6UdGMJ z7Ux^DjE?in+FU3MmyzCW+GFqm4jP@2lSo~&%RG*GHd{U))PD=VEgX}^-*L9+x4r{H zn$aNW@8IpAg)uNRZAX^=kPlc7w|<1Cxn(N;22!ip2KkirfNyZbZJ9*!12pGuja}Br zdT zJ^DBl!T$cX1${g>E&L3@02nJzKCQPTmrr6n`5Hcd!(n|7&0;ro2m5W%EwJ+jJ6H-2?eyn( z_f?!m!U)!BwRfKIC>IOj|076-HWWv2u@q~KjX&_^X~bu`2;h_*TLc@yQ^UljFzbdlBnGKfcG(QnFj$J!#I!F4$gJL&iIVA z@3GGQVY_pPMz`H$Vw;T=1}Mys&pq3QxUP_#7HySFa-{$ zabfdJ2SFaiFXlejz-^F_vk;jud!HFuus~6w{o7XiUW?eCZ^F%^MC*)8)B{{UbDxOi z(p|f6FSAW@l*3u-1wrMIbwCb~WKb@`E-mW_PoA3Qwpr?RvJ~fzk&l+7B%01{8#RwZ zrlV0oJ{KlJX38#+q&fmhvpNSbc2q*{%MyO!(%m3si40Gt-QI1t*?aEmFYp>FL#10D zkC5V`R2XJF(XMiEL=?=r-96W-h>)V-hne8dQj|Z&GeP;QH!9lF36Evuvt80ie-=b4 z5$yET!4b`ot(9D#*gPI|``z}*-oajfzoe?Qo9|kfG0!8zD(a!gRuLj)4<%qViy$_t zeQP{cnPy3uiQlJFZPEML;zB6Sz=EF@Ib1*+}eVD6EN>ny0G1#D{5f&v5; zTX`Q+onLL=sbIzPF!Y*$+B+`+L~i>Ci4H1yEli%;wB(A4Rd*Vsa>K`UJn~4hP&%ap44fJPQB|onK<~p}@Tg`SD9!)xgK} za62=lC~<F8GX6SvA%go-d zcokOdvD)rpfgvu-CP1D#|3K!>GpXTr>FUH?i=-luV~LB4(z%iIIz1me9)5g$^zaxR zJgdI_3Ey#)titQ94TeBANe&;P z&S`D{*YH9v56@ZlgVkKPW-2f{Vm_oKIiI;rK#kKPXIVJ(y&U4o*}P&Eb@>%EGW?b;819^gMX(kJgX z%xg8G7w>AsqnAPSx?hdhe;Gu70#+mT>JeX)`+sGa{{a91|NnRa;$~oE5MW?nh%&TH z22u>%Kpf=a6d&Xo;2#tM5@BEhVipDqMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j z{!U)5&JZmUKm}|J89=orP2No)Eh11Y;f`UhZvH{OP}Pj=V1tDW*O(!za`km`b#ZZZ zfvMtPPyp(W-SP0%W*`l-9%5~9NRX$Wd%UZUtFNmc#QO6YgEh8&~0npYkHcMX)pu8Z88i;QgnHeO2O86WdCxhGvQ{v<5?&t$^92Z#0(QnTj zfhxd(3AV{KA_S&_i9rLX+t|k~ni;49<`y@99~ajkm>O;d4xpMzH;?jwOo2rM)D-{# H00960E1Aqo literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sim.vwf b/Exp28/db/YL_dec7748.sim.vwf new file mode 100644 index 0000000..a042ec7 --- /dev/null +++ b/Exp28/db/YL_dec7748.sim.vwf @@ -0,0 +1,437 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("INPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("INPUT_A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_C") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 63.26; + LEVEL 0 FOR 49.803; + LEVEL 1 FOR 100.069; + LEVEL 0 FOR 50.128; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 49.29; + LEVEL 0 FOR 0.582; + LEVEL 1 FOR 99.931; + LEVEL 0 FOR 150.197; + LEVEL 1 FOR 49.803; + LEVEL 0 FOR 99.487; + LEVEL 1 FOR 50.71; + LEVEL 0 FOR 49.803; + LEVEL 1 FOR 86.937; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 261.167; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 49.3; + LEVEL 0 FOR 0.7; + LEVEL 1 FOR 99.794; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 50.206; + LEVEL 0 FOR 149.3; + LEVEL 1 FOR 189.533; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 111.414; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 249.297; + LEVEL 0 FOR 0.582; + LEVEL 1 FOR 100.121; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 49.879; + LEVEL 0 FOR 199.418; + LEVEL 1 FOR 100.703; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 38.586; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.576; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 49.765; + LEVEL 1 FOR 100.114; + LEVEL 0 FOR 50.121; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 49.879; + LEVEL 1 FOR 50.121; + } + LEVEL 0 FOR 49.765; + LEVEL 1 FOR 88.659; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.915; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 49.923; + LEVEL 1 FOR 50.077; + } + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 38.085; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.165; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 150.0; + LEVEL 0 FOR 49.802; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 150.198; + LEVEL 0 FOR 49.802; + LEVEL 1 FOR 50.198; + LEVEL 0 FOR 138.835; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.907; + LEVEL 1 FOR 250.227; + LEVEL 0 FOR 49.773; + LEVEL 1 FOR 350.227; + LEVEL 0 FOR 149.773; + LEVEL 1 FOR 89.093; + } +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28/db/YL_dec7748.sld_design_entry.sci b/Exp28/db/YL_dec7748.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sld_design_entry_dsc.sci b/Exp28/db/YL_dec7748.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.smart_action.txt b/Exp28/db/YL_dec7748.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/Exp28/db/YL_dec7748.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/Exp28/db/YL_dec7748.sta.qmsg b/Exp28/db/YL_dec7748.sta.qmsg new file mode 100644 index 0000000..4d12c0e --- /dev/null +++ b/Exp28/db/YL_dec7748.sta.qmsg @@ -0,0 +1,34 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588496429018 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588496429021 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 17:00:28 2020 " "Processing started: Sun May 03 17:00:28 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588496429021 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588496429021 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_dec7748 -c YL_dec7748 " "Command: quartus_sta YL_dec7748 -c YL_dec7748" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588496429021 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588496429176 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588496429471 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588496429510 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588496429510 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_dec7748.sdc " "Synopsys Design Constraints File file not found: 'YL_dec7748.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588496429605 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588496429606 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1588496429606 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1588496429607 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588496429609 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Quartus II" 0 -1 1588496429623 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588496429626 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429627 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429653 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429656 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429661 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429666 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429668 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588496429680 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588496429682 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588496429695 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Quartus II" 0 -1 1588496429695 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Quartus II" 0 -1 1588496429698 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429702 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429704 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429708 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429711 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588496429716 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588496429726 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588496429761 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588496429761 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4541 " "Peak virtual memory: 4541 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588496429837 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 17:00:29 2020 " "Processing ended: Sun May 03 17:00:29 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588496429837 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588496429837 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588496429837 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588496429837 ""} diff --git a/Exp28/db/YL_dec7748.sta.rdb b/Exp28/db/YL_dec7748.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..202c4f1734d9d9380eca742082454f6ac83f042b GIT binary patch literal 3881 zcmV+^57zJz000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Dqg00000008<800000 z007eg00000001cu00000004La>|5(|+(;4M<&gvPhNF0WV0Z+QDqGpNZN6}h;|;!x z9mnes?vqQ_%C?AB+UOC-mrC)Czm%f*z$d8UdEo1wX=z5UvDU=gT}rBwcUtQCb*rbl zr==NRTwGlI7tU6z^$wh^cDwaG_&IQ9_M_MiBL*$UJ7F81>7IXJ2gs8@+FyYy{{fH> z;rut8|AG@i@kAPb@mg~J6WafP^RE{dziYKyUxw}v>=V;vyME+Yb{-$;_Fq2JYHj-S z^T0Vdjo7Wt+pN33-d*W+x_!3cMs{GbO+T3Xff+fzxAeXr2P_V4<{y{b{&~ozcIcdV zEb@IfTxPC+;#llB_AKljvL(}-GCw-C0~Y$n(U}?83@;HoHk~MB8#{Y@Y;FdT2aEz^ zDFs>PJxuSM`EfLlBX;b#cF2wce+GBp>M;WW?AQD`HEL&$R(V&O)xZ<$F^{Sc5brGw%tV*aJ||0}C%r;-ksaQIYcu%e5=@DiT4dhxMoMR5SOJUUOF0|y;Y_@yPX1=<$; zz>GnY!1(}e?}Sm{9L13zga%`Ku5E(Az&-{KAsa6#kC;9hSM)dzxX(@P$aLJ$SmL4Z z4g}?opj8=^Y!c6AW^m5A>CboJ*9vU#hr)I{^>1^%@A4Z1XtM@E$1f&t=j{@Z^_ z7)>!Nt~`q!cvRKdUMdhm><*xS&rR(qq+FajCR_XWwkF~MA*;f4z$_=QVkZjDA>Ql| zGCNEs$@~QQ4<=cd4Dkw1sHw9m8t+fuLpkZ8XdHx3XLU422%+)208^&%zHQmg^Q)@c z{uaPK_F&F~>}@(8Jkp*Soo2>&2rM+du{8&+MKaA=xCDdi&C@Mgr(42qV*YHVaQa50~M@1o$0j$^^y6GxJ4R zjQtYWGJ$dojLF=THD?8ya0OHdTw#s_RR|hT2d6*fKN`95P?#cB$h(n zHxo-$$n|u~nd(H9OArKeB1k9=EWu+8*k%vcv4zilM}x| zuu42CtJJvOizF>-;HqNo?r*Yp*$Usv_44NC!v}}Go3GdkEZhsKkCd{@BUyMy8SEBy zz$HuG?BBfoDkrK@-!VZ_l)zvM!sv%bwUrY)fpj6Hs4;pMBW-hu~}%b_j{f z=nr!3U9#R2+XTnv%yH?XJF;$`!!9Dv21Nxc?yw|QiHW;5xAuCQz0P>BHrQMhtf&BO zJ+Rd}V;}5a6+w z?%ERUs5&eq(4H=F4L45g#nYZkf`%O@5o}$Atr}penQ6h^8L}3xQs5>NIKB?& zj`@NwzJd<^S)v;9bdjSa_iag%FFXno_c!2LpP=v=lLa<$)_XSQ|a0Sr~@9*B(xH^H4dXI0ySvhm2HapmzNU)C4xeDsylvgV@_`bf8bEt=zUqi55?ZzoMZ|nluS*g>sd!M28Vn~AmG3#QWW6V zxW4=QN_#BZW99mouA>8Q@9sT5I3(x8;ePn|K)HfJ2#KvXqTySV)`_?)wBwLnqdOvd zElTS|Y-U6Zy5tx5ax4znBC#ot4)&htOkIYn=wNB& zB{RWvM_JgEmYh1+`$8wloWS(agX_ zEI{i-kQ2&BCS&wEYs&LPOJnI^%d=v~SnaBbOrh(+szV7nCQc|j%N8F> zg-55YI+PuwzfMCb!DNeIQ=X5re7gbpX=Dsn$)pRB(i|Hi%PDS0K7n{cV>PLl7b11= zfkdDH|#6^JTz*OI`~2|A{!*RC`Z;a zNygz;dz6|5R83N+GdY~VTkB9MB2|;E9E%QgT<&ngq`DMPDJf;>K*`~#Z#QFzVLej9 z(@<5p-Y_YuQ5vMadZZ4%F#n0?7>(AY%MXo|EHg<_=S+rM9c06~9;wqg<9chu417&e z2Va%z2AQE^87jxg%rg*D__X+bUou_ zov`*7G7^^Z>og}Pq%P^#FsYwx<#}|l&{QEyd_y?+YhPI@kR|mgkouiiOUG zB4U9rWL$*8uM<(2C3$D~;L?0CJ2Q)V-&$SGf~d@elw1|pqe!K=Ocyhytz|3Eql3-PoVCj}5|Q%jL{ufJ zrhKEd-UZ4zY3E{v*pzROg~YChqH&t?4Rf@TPbkCiKvv4JMQQ1f#Zsbtwrt8bz73}; zE2iZdrP!2~`CVu8rhH42K=GqqxkEPP8>R;7Ub4kq@DozL-2fe%1y8Ysk31VqGBnaM zY9UhQ5Sj>-siBaX2)SACth@dxty`=_=!&pu%@xiZvkso7VHw1t@2FYuBqKHpo(11% z7CiGNNmn?9)1;*2lQCE8|9Ao7 zVPIznU}RvpdicU7Rt5$JQ6LU-af%Od_K6R1bn*ao&qZ3W{?1CsX19v4x|vC0{b7TmXVdg0BCC%o24&M1A`zC zgFTKEMvTl15}uadmg}fjN#1tmNpoXO8?pC7|E|o8lS~0#m`npaIlv r?Bf>A29$*bu$#Y+i)#=}4G#kcP|c*9M|prs-~kJXDMkPQ00960`ISXE literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.sta_cmp.7_slow.tdb b/Exp28/db/YL_dec7748.sta_cmp.7_slow.tdb new file mode 100644 index 0000000000000000000000000000000000000000..43302461bfb4f9811e41c60d9a317fb7e02fc226 GIT binary patch literal 2666 zcmV-w3YGN{000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IXX00000005>300000 z007wq00000003$V00000004La?3&qc7gZF;r^TYEsDKJC)mE$EUYlw`L8=vA^uaeJ z#*m0U8c`p7lz%{@FFv^?#Ay5zT&M`{iaQZCZn%JDaRV2mXn5yPvr;X_}@-CrPsE`qj7HzGh&cfAO)(t+=6YsIPZr|Kk3kf#a1YE!HzC zY50Ri$v-(O$8X-Brc;GC*5h^?=~T(p*{Lgcza}HsF+1@@RzI}nz~trt`=O^9Vtg$v5#MG79ij(pjlCfX-Zp53M%uyjq{C@CQ}j=h zzr=8n)9T0k>MiAF?#kH zUezvS+zw~TuO@$?;UdWidVVzghyb4>ey`ylieiT}SI-{9MGAbL@ZAyoMB%$4_yXZO z48K&o+doD8_lAEW>iDU`zl+#8P58GF{B+^pMDR0(e`WXu;@y6i_%99rLe%kY;p9aU zkpe$U`OhQxIl@0R9Nz7mE1vdd~^HEjSmc`Uma)e zy&ti|+YEg7*t&zKSHBdffhH;@>o!dExlw!kL#MrG6Vw{`CmXT7InoZy!t& z*7B={zaxtM(cv_`Li82GeZ992Cdrk;Up5>)mtQJ;v*E~qw+|-CGSN+jqv!Hh34h6O zWL$o^_>G36=kkNXUo;#Um%m#4u;J*r{58Ti7>yV2 z*_eEYbB>Vb?ARIc-}Rlk|DJbRg&$`=_<7>;q5t9D6ynIb{D}F+S@U%hetKW|`Q!G( zde08(4e`()&O7p5dOmByAI?bZ__+j63hO;j`4|pXhx@t3S@uyAe){-&JmU3oX2bir z?Re;a7%PN0vN8D(r(ei(R=zz2{(F5+&oCeS{B(S4P?tmsKf^dI#EDN_H^jRG-4Lh0 zV)E_}vLu)B`Ie(&II)z=g!1894sq5`Oy1WQvLu(8AMha_#tq;>lIl7S^ZlqIoc9pD zCy<>8O9)@}97?}RCx+uMp*M*pljuT1UJ~IMTL+1*Iyq-E-q?b8*A;-6MyxK6Zleqs zuNfpSn!Ic?V_9O!I5%3&*gfa%k}W{@aj#xhKWl~T^kq^#yTHK>`rCQ zR|X@>OL1NcD6iUMvvRBq530%tC#%Y;^uSqvc?|?zAh8@XbF8eK8?Q&d<-Yi+O?8fO z$Zc}Pz&hfJfUu4u^%p(5(JVqw36~O~B~J;g$S^wIURInx^oFPG`TzhykAKPglP@m2 zXK+F9Hy46}kqV1c*C-ejav$9qc~W5spi#(O87J6SzPa6RsSgkL?b2Iykr<nxFGEKdb0}egCW4*Bsxi_RUlKs%X`|W&Cbse&CfNOe~)S&#_0XR?@@Ubt!lU9+qC~IR3obB6aFS#w5ZJ7rS|Vt)H+9U zF}k5fzeCZtdG%$YwEv4@zO!v zBFs>%6r*+Z&s6)Ds{NbQ{ur(6|DGEC@@TbktsZFgK&uB@J<#fbRu4?L2YPil^JF(r zq1Mbcdp#LX+7kPwVFeZpU!s!cQW{ezs?B^Uyw2RU`l2qC^~`ipd*)g5IlVz7KPb%QHjM8b{Jz6& z*djgrvcqK}IKSy|a|X^gqQgpXe0bmtHaW_SE>= z!PO`?b=(drBFuhB=1!UDm3w8rh;X;eRWA3-d_Ccgd7hq@lXA)!$#;T~(79b<#KyHRTq&c%c}Z@n&q`^` zt*gVdi5x#PHtB>;Tt%R$o~>1c2QM7i56=i2gsC8~ZlK*c>xZ*?lcdCKJVpI7j+*aqBe^W1edaEzN!X&dOc(CD^4pupvsQOu+fDt_lM9B~G`@qs=!bd)Wg6ii!X$mlu~G zpbnlpGRJ=LQtDAuCh)^K%iW|o+evK77!=-J`HiopChu4AL(&-w2fjb8_s#e;*+vhAWqp1nJh8}JmnPYOIW zRl>`8$y3lQFRfR-bJhuU#z^gKJkl9_g84BbXB7DXb|NtI{87nktMO4vFmifdt_AY3 z0`4X<;T&gVUb#j`(})1=2{1Cowkt!z%CbFGGPEH=Z*f{xUCJ?H+l{fQR^=FVbgqG@ YJH7Y_uR-JC9)%u;Oa43m0{{U3{}&iWX#fBK literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.syn_hier_info b/Exp28/db/YL_dec7748.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/Exp28/db/YL_dec7748.tis_db_list.ddb b/Exp28/db/YL_dec7748.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/Exp28/db/YL_dec7748.vpr.ammdb b/Exp28/db/YL_dec7748.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..904539fe7928beb0f4136c7c156c107b4c33376f GIT binary patch literal 257 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR#(+!%g3Az!K?h1RLd7c>fBpaezdn$S zjm=XbEg>O2At5P&aifGI3&(>8-43daBJ3SM#WKzT)%-tvn+a literal 0 HcmV?d00001 diff --git a/Exp28/db/logic_util_heursitic.dat b/Exp28/db/logic_util_heursitic.dat new file mode 100644 index 0000000000000000000000000000000000000000..011a8e441418d007b16f35addc5fa92aaa6a1e2b GIT binary patch literal 968 zcmb8lw*i14001y{#4_4Z4*>oDIA0){6GOYMy@mw0hdaO>;ZAU8xC`7B?gn>R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR{s9^S0&5@?gASCw1*Iz(fBpaezrK!* zjm=XbEg>O2At5P&aifGI3&(|d=UFzfowQr@qrUOozyI%6|N*Z2L)=kxvH{rc{ck&&S)%l_PPKj-vM zx}##_&@s^fprxs~DG+cv9vvABu&}fMn1jrK7G@UaKuZ7u6`O!Yq5v*|$Or%sfH(*6 zKm`F{!D#HyrYPpGb22g$yZ#56e7V1QN%?Ok%C3-{vJb;FGQKY$;d)`L+mCjJ^1Ut3 z$-SJW_#9P!Szw%cO$}NPkn>&g-)mDbU-YiQl09kx&R%p~9Qys>~Oes$tNCa;|rkUJ{p z{zA!fpGetLgLbm+di>M=@2Z+fP66_DSNE^7uEJJ}U)g#pEVqmPiT-2Y|H;6o1YftW zQSn^Wt+3*daOqS~=2A>TRJfD4g*r9*DIjFqb#RczrENUeV$@r+Go+9a-FTcd(xXLk zGk%%(wnBh~Y9C}04rMmlSv8k&Da+4uIvQCuK`R$T(wg3;Nb>xED*-6zeil=+^rAnI zV8v31y2;(A#O~&93amHE--=@&g2^6K&+&N`7k{^us9b}(l&xg9Df5$YW$;P`c2h}K zqvDNhA1BKey9EVye>lgZP}kU0NNZvA=p@YMJ`mh3SexeDAD#7pgg3!ihff~m(S;%8 zR?WZ`jzjMd_?=rDxaYbi9J+)dm=@sIpaDHWPS;E5+ZW9V-$2zV{$vP3VZcwuNDyDeB*RG$=h$h#A z$j|ywus%q%Tewk56XJ~?sY^Ivr=3rq<2o3kT+SHxJu2CFqVmR@1S;e_WMnP-qs-?F`T4Eku#;P?p54AeU5l5J}S$@K*$2LTAn3 z0L)99G-W!E(vOvlXNv-UMJ^c=LDD~hpSNm&)hK2bMsK}g#1aa&mTCBWX~KUWC2ZN1 z-IoTj-;16~x;R3-Wp!)WQYzVl&2~Y6amy5DwtzQa32BTz96n`Vw5Hr;^)YVVq?LO( z#mi!09&#YAMXZ4qAV$C@XQ?RxMT-s&eht!G9CLQUsNbZ28jSK6ks2n-M6l8h)d;6A z$n78XAP(Gq9_=`h%t1(iT|r+6rK+x~jOyYy9#c>g|HUhYLM(20X!XmEg06S%g#(ks z7vbF&a2prU4}u^uh%>o%ShilV)3r^zrPZucC{v~S=y{D2_- zqH#g&v~%MRmDATTdxAIbysrAOA`fiQ8URDI_Puo-=Za#<h(f#G)kO9{gsS6Zb}`^C_B$D=dZfh(`LFhpe%Ct%$gLe=^^9`F%f3larXT0Fx%?Z zwWQ=+!2^0Lf-%@Ni0__pC2shceo@en?Q${SqNJPfq= z|LmaITRQz9ack&xWCWq$9=-~23%@v$<=A~ZtE?s8ckbG0HdxzT!>9207kIf+bsr37 zf^m1B+%1=W>X$dN?3ucH>b)u(<*!@aLkf+GS10r44$$EhSpE=30QEfM5){MFye4^1 zJmsQVFqcNTdA1kgh@pL`q|xu2Uo8<7>&cHa2+!m9!^35sZPCLWdo&oD^e9z zdOny+62*tS{^ZuH^pw*b_6DHIx|4Xjn}v!Roy9}A0$+N%2-v?r<`V8;$90$Ae@KSk zRuaKZU%Qk`6w4!dO}AwscBwYcBV806H&%}zbo0_2)pp;puCDc)YNb2!#fJGeUx85= z1^(l?nl$sW%cT!rqaF*!+S<6Shtu{PV3Z`$Ppw;#Jx7t7N6lhpTIGp`cZlC^U&Z6g zFWkCQ@t?N>O4U1H1%lAlR%Dm+1Z!tSOj=?Gwr23C_Wn^7ha7JiWtXOhglQRfooILH zmXf^pyBU!9d{Qn~f1Y63r2Z)e1lRg7o6OXZ$4)%mSx+owq&=tE zJM7;fPCxZ=+eeLRaH8gkG%1k}l+C3bi z5}E#dWbjj{3@_C!H7|8n`X|^~MhLbHj(2*A8qs2PFr2zW)MvMh`feAk?)mHS{tLSU* literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.dfp b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.hdb b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..04710c043ceeadce1b16b045d0f388f76d7a7b35 GIT binary patch literal 9681 zcmZ{JWlS7U(=II(cXyZK4lS;WyUXJ4?o!;gNP$wkxVt;F=pu`|JBz!+*Y|sW+?$)+ zoMfJ4Ci6_rWO7bs1`7rTrV8c5Kk5GONc=->OE-60XD3QdZZ-}!PD%++TL%kDE^aPL z4nB5HE_N;sPHsvKOE)iDb4yB9Q*(PtPD%}VN*zlxN@)vQkAI%gB>$mcoMadJTvt?sO?7E~UAurR}|dqI0qRLm^3U>@8>w_*!o4IoGq1 znQrJ2SZu7L6L|dozR*)%(Q!O?oSu=9;rAgk>VnH*)Q@=whgm>yrQIl`plZ0xx*#R2 zcD(OS2y6s;b?~vzwhh4zW0!nbIMJW-|5k7aPi~Wi`NB+GgV;q~ZwK)z=qJcg z_Td9~B`*+!@*p<=K|9Ng<~_#$kNgnLCEYSKg-rh(np2*;iO`@r=w%B=N5l5<2j27$`6 zrUSDKFHNd~>xHBs0teZ|ao-0+ES@bChjC<&DK_D*dwC<_$No0{zFU&%XClw&uq&f1 z)$b1=^>w9MHDi$EXkw?O@rh*2m)JA|!Z`m>X;4bhr(9RC>K#47ohoeew{knxQQD-V zo*G{#oRvcn#3|tjT6ng}%jVUV+bNeRrO*rC#)FXcy__L^*Eq?BK&OHKS(z}+_{N65l63&G8VuLINpf?_6~clg(Ov;ZRE zfLpO2`$~DjTYR1yk+BGej)nIc?**gF2)>Y9j z0_u#|3(L8`AsFyD#=4Fm%)XKuGx~KP#d`9m4HPImK*nq*_0HzJ!rb!vpmn{`4tILZ z6@ z>IAA=`&A?RV{-+1a|3!aO?F`Lcxi}zI)AgR8*mR4(}Y7ZNY60HytP@&AmbwZKyWA% zeg6G)*3H|}HCV;c#l$AuTqJls&$JsKBfnF6-H`N*Xz|3YmaudrVGNuUYS#n+xt@3T?<0EnL-_fYYQS9M`;kfYT>-IC8SppPiqZ|Gv_1 z7Yz^+mA||Ut1)@2@S@#a#moIXci4@vefw0g!38$@w1gl7Z*rg^Q{~Xi<)}e;-VKB;cz~9_vM`bIqPVpny}aL1hj$Yh)Z0Rk9j6+a|Y>7+z$spuJq3w8d)(0 zit4i%vG{CRVLXN3oQ|K^)|meH|Dsm=AXpJfW`GSxD%ishg-Ssj@SF z(l?lriX*tYwYKXIzX=Y)3UbVlg!jhJxEkP)`Gfe?SLk!l)l7sElS&nSGf@*_ME9!i zd1@J*R=}x;Znta;skebL_0Oge2VtqF*h&h7Q&9(DKQ_FHBQ_T5WjM5H{?lIb1al~} zW*OfLty^pNh~)MJ1kjy7v_6$@dM1Ss~wLnU<6**W( zvJ&bKKMzC{FNygQ9Nhb2723Tk`SoD!QEISE9(-^C;p^m&b$8oYh^D~WyPn7m+~zpJ z3J3~-_wKcr<($#{Z3HbCqX}gfSu4_j39JvSzTrbNtJJnrDGY@+)nf-F#nTiSf zP7x~QRNedM#9VivXsN~Q@$niW>aRq_v#Mq^mt=NG$LoOC>1(6gWreFw$+T3Wdd2@gx-(-Mn7r}zg2*stndlfB)JKlGtSU^w?8({(yyfQ1H1IV z8{hrhQDnr~r_ywD94+iiSg!P(oougwp4K_zY-L&FMnCO&AX*4Ix$b-8fL8}E<(8`Y zl(eTEgyA%j7CxF|&XB-VuA++V}mo zWg8$dt98Yw!(*qKp!c5J^do0>b8V9|es**H^n;~+#SygedthV9 zlu%~X%%yJum*Wn*qw8MVP22LM*2GVSro5C5n~n4;KCfGnObnY*NC~t@q&7>TnAy2_ zC8;?)5IR=YopPY86YRd%2AwRe0dkQv4baV`9@ru1yBGGaUTIFdTQ3;COf0pIkkSQs5-H9Sm6wTdpDhMnb7hd*}C(e|a27fn4Hf9G?li1AV_g zjcKuwIxkj?x#vx}v87kLFa3){ceC`p%)EFsx5LlqutD99A|>#(O*6P1+;(MndH{AG z@o36rxt`VRX$9~39_@rzkQw@ZkFTnB>+mCKgRa};j9OP;tL)|JJam4OqG}y-)GV%8 zb=Vz`gb+7rJmLi1q;eB&Jf1yH55=sq9|gHK`RBB_KUYqPY#g>WGN;(jS_>+)0U$@& zML~i;va7F6W$I@v<0^Vup$q@G@pW=WCmey*Y6ga0`@TmDW@*NTr?m~cZU3=WoP7sp zeRo?~R!-{%TwATM2RJUgJD>#*Rjz0BMm29zv3;@3FKGoKy+nKs0|GAAa+C>?++mS2 zP{TIauZT|cCy+vz@{AUo+5g;QaP)_(5iD^?`+y^y(JkTMZVG%_HKa}~Xcy%Ae@-OO z4j~}`km|_lP>vU{M-y4K=B$NVwIuJB;WzLKep5IWqjsZ*_9gHQhGFW6R#)X|(_IYE zqq-(Z{@t;YFf`Dz(8k_w|IAvlcv4zGWTv3kCu`KIp>LHM9Y}1?IXh23CbdVbYR}Ha z?`EI~rvRTw$e_!7PzK)e{3d>JIZ{Q8i#00t(|BBY3LB*fe~C}ZFwh)v-(Qr_jJjEK z?&mp+X)R9qu;Q%ryct9B)RR|Uxtk!%R#{{$tEG9}fM&E(!usis4cNCFgbntd;dq!D z^uaXCtJBx&Z>1h`c2$!K(3bc?5sM;vDiKU-%4y2eY#Qa~ZEaXsq#fxeX|LGdr1g_r z&tUgq8BWp>770v|qfRpVjZbu%@Jx!jI?k0hRT#g5x3Ls%XCKO%R9{K@b)FP$+W=WjNb`lPpbg5lg`)U{r^Z$a1msF;r^p2eWi@8A%Fg`wH|*5Udiif$ZLIqLh}sa z7ixrgfcDP8i_OpnBWEc08+{Pv*h{)ns{H8=`|K(r9GYjC)naxY(H!*$PUc9p&!jsV zGF&Y=F6pXT|&-_BGtF_QE`8E0tA+i_O7&fNOhgtEzF_@lXDj8=QMK$|*`r8m_h5cVPObD$o0imY9TmeMVsH~Aikt%4c3jJ~Og3^C#|JG_M zbD$>2b+#e~++v3dz^7O?NrRj>Pzi`j+FezwhaX7nkl^wtUOepVm^R!fb^|HTVSOov zpT*V@u0&LwDK=l>Mo+;0^Q;*Er>!*6x$I?hSNU~(I$_K3;tj6*Ze5v;^HMY3>K8;P znEp<{`Cy#0`VL3n?*ww6R~VNseZZ16;B7YTtPdD($Ft7n`F|P-Xs)L_zTMTyt*AzW z+850&3B0}>d)2R_bv9eu`9Dv9ghaiKIw5(#n%Qo%n>q>Iv4#Sszk4zw7pw9re$6MG@UV(-|E(oQWHuxvBT}{PGs1C?mj(^? zP$0h9IZj1m3`@j^R@v^`smK3JS{NDTPXWVMvMGCX0V1u7=q2o8aXx3v?2dJPF)+E#O{q#V5z0CBy{y`{&gYzu4VK zabzK#1}(($9?vV|g7@sm&8Ggq6!RM3+65L1V{5-2bRn58i@-1g#rPv=llF~a7NeAwvxB#9oExE?ao7#ef9C8l13MC=Ji zXf!OzU`v#9pp$@{#5IKEWf9H;NqEQbY6>6}ln2Dahl)a&b{1s;RdmZEZs?fniYW-l zVFO8Ye4_g%3Lrk)Nwk zhNdiS#sKofBm~P{Dx$|QkPr)v3XJQ$Mz^=23kn_L@aVUTp=*bQKlxYDQ$N-IjP_j` zIx$u52^-n@d?IXXI3<(ga=PJ7uNmt-fd!PVc5~I|f=Ero8rzW zxEYE%-gXtvtzt1wMuyT+xYcnHz9bLTyMs6nV{RQ~MhfKP7R;0mlrf<^mD<#kSNwcX z4{g$3WnI$NjV(HQo)$|US!9D5n*uIGbf-)Y=Zcr8O#={QBmPoeJj4>My%)yu;I^je zKKgQJUQU->7uFya1(WZ8s)7|2x|z`M>??i9O6RGWfDQ})7f3DynDwk=+5JM~D~zcx zRPRu`3RD!K&_TOX#vD(lz+!zUQ}z3zMw=mq7<7agVMveiC>zeRM4|hJg}8BAiK1&jT2?bFs(w&8eM#NEVfE^MMB*tILwo4|I0rWVYk}b`?fD!d~M4(42hXm zRGQ6zHxiS5*V6aVEbSd^Q^NT+J^CX*SoGb`sMD)s@9^UyZ7zPaOQjv>Zx|8;$^$*v z4;zCDA6qdH)5OdH=5Phm!@Vvo+Lu!2r!BHG4M1jn{^Cn%@O%sxo%|yw%pt(_ zZzgj{#KgX5+wNmy(kSW+Z?^}qoKgrWL=Dkb5k!*$7J!d#@pZMGLt17HHmh#&eMpd9 z)sbXa#8cJ>w3;1bs&JPz|VyW^H0bY zohLSS+IcB1ez~^dJpaa=v_(Dke)G}&OZdDQiB0*5MYY?yDY8eV5i?*K1>};{*b-cL z*JqDEhrj8+V!dL9x7uHuCbgnUWf=2HQzRuNfQN@HcQv@KtciTF5#LB5L@FZ^Iq-iv zNDb-aC139{zgzcSj?}l6O}0pN`N>}c2?OzQ+rtCPFTcV(xG%m4)kktafOE3# zl19w4+&{o2oXjClVl6IQGWU z9>ua-q)i1yNe~vF+^A+8>f+*HAMNd=hHdbM-%lm_70AW$Y-Mr>Ykn%JKYE^ zeTp4LR;qIT+{n|U3z5^N8Hhkh)r1&?d@i>DX)OD4IEe=o%9!Ha-CXrQ9xpilssu5t zm5k`4eO3a}Ms+kTezu>B1-C0DAM?9jYS}G@&vw;CXj<+;d2vS0AC;@|{W0FI?~>PMV<~dlg)VnJ@lqyD;`W%oQZx%ih*#Z@I&u;B^x|W)(o0 z&9T2LSTe!B-m#b0z>SxRYF=TuDRndDUQ@y5uSPMo0_{8LZz@8k{CWdGyBp!x%DX+z`t8)pL~D^UBo zWg3fUvE!u7I1$St!1*csas7;x;b$An$VbT$H>1PfK&as1GA=GSsjE{CLhl5UBs|vC zq!}sUlDK}ZB%e5kVFQ{%@7Z=$sH2z9%%i~yjJ1g2oqehDlw2p~YH3;>HaKep|QyVRgKICD0kRNTMb|pt#$J0j> z7R8=g%?qDD+rW#lsTfxsI**rHED>9$!6u0A_m?(nkTqn&4rainz>dKPOJqY&vosN* zwtFH(+?w`p`@FmJ@7+#IVwM)x8Ih<^Qk{DqDN!?I*{lQ zLonZI<-DE-%F|^42ttyAw)MmkE(l);6aDh1akqOtX7(387olW)o6C_nO9(F%n*7X( zA=TX4z3!une=Q5onGh;hr=u78xUpxm7-&^b|6FrJxwrrqFHD`X2rA5b&`A#`4RPpsa--9Z{e8UJ!mV z58RoM{h<*fhsO+RbLKh!ny{|HW5VeUZe^`d7&?{M&eD+kl(6c!ai3z-b_b*Mh2sJ(k*!ciJFAVEy1^1*UEb%{t>SKRMmJBI8ctZ zn+4k#E2bv4e?e*+KWP!RQ(aL|v!Qj$tY#fWVSl-JaGC`)E4HGmsxrly z*;=7QNLWQ+eu?GsXnAyCHMhKuGj3gg@Z9J|=5AwdaY2o3i~ncb1Sd_P0GFN@tV3os zs578G*O@)orL#(SD1}uvVI>`78o}!De(DR*J9lTbYnu7gv*RHB*}s&BY4DX zcCB>Wx9!y3=}q+C=KKBK=R={6fdig2Va}&m)_b=ee=!!^SX?P&N5+$-hJ!3eh|%g= z*gS$xk)cv7TnwFf5+)rXHkCv;A)1J8#&32ec{33G=R`~zBXS<0i{E`HMrPY#jD)W} z!QOWsD-sI7EUf(Z-}f_v-&PbI>ts=JBplu?7YJ^!nr5%lJrZZC#9Csl5?M>z0OKAX zAv&-5L&>~?E8Y%Ev)y^DO+~Lq^ej8t$tL>Z?t$qX$x{nN8Aiq!P=iZW$Oy*F| z3j?xOZ9bp?qQt}S4DUv5RfvkPL8WH+qTk4xBsfMcDH4My0#w|d-mA&VThfsjLp3`T zgZ^ar?zNQAC_h#hzoxm);_}j4`+0)ps@PQE(~n5Ube~^uh+bn`xjVM~ObWb6)|RKe zV-bR40X;sTL7MRz(!QFJ*$`qBx5@Wfbl2WmQ8gV3;HfAiIEVX1lthy!kzbq#@^pYb;+9&>O|5zGbsix4! zEIGA3!6T*C5-idDCc#Bg*Tgwm6<;xMvBTefp`jn2KY3xWL`?+(Ec=#X9<)qM=KV#5 zs^V)E)g1UveIRCt)EHq7bh}G;U~3(_c!ZP*)aTOV?m3299yqmI1F2Y-;~Ki zLD6~+05ff2Qa&4BTEdtHJ769eb4*u5o8jZ_Qp_rH)DlGMpIIvjajGVfo9D4@yhbv; zV-#pt?*3@xPBSzsCJ02>dYQLJp{!IVB@m> z)fuc$wtl@HWcZ!9wckX|RS+Kfp27)g;BV%m#I)ehZMMJr7;m+?k!{IfvSqN#IKvm4 z8czIlxix;n__)x_qjR5BAnZv<2S(z#+ul!x8Re0OqlGhMQwP1i+@|}x%E^VfbJ1K9 zMVW^C}gm zb!d^bGKSF~{92k|xz&p-yfl+6nsmi>R%B|tU>Oq9d8Bbl^rdISi_^|zO&&hN!?s)+ zu2u&(9&jHDW((Ymw|Q}DrYl(Mwx=Lbt6b4RvTL$sjD7hC3w9F`+atSJ(H*UY=>d&( zbX8-d8l~|teo(+}$M~-dv22=; z5xPe8TWcTU{o6iPtK|TZwbOrfi{Gp3#@K2jPEI5wS@O`RM2qbYBTa#YIqfL&XeoOB zQunVC)@5OGl^=R>R2nvxsNd$uAe9 z#$qzjRA-lM!KB4(ma^dy8+)hnFo^lz>i`=i4VUu=k{6?Z^K81UjiSJb?j+uP1lU<( zCUqVr>+bhNkvj6JYg)E;F=f0Vn@Su}mcCb^Sb>Fa7gPl{hV78EXOugFRJk$&9Puv^ z#&CNK#xFQM7M!dD@|zm8ha%yIIoyhYPv5+dxTn{*#Azz?uYFgTat~nuPq`bgIo0j@ zA~sVBmbSFq#K#pq;Rt(b4~}VaVc!gXj@R|HqYvmab3RX{}WFlf_|`iVmi-&k|J*69SG4 zf-wpT;8x!lLH&#@Z8GN?tCu1(t=*OSKBZaY0+)fd(@JL63VT1-@`%C_X{_;U1TMz* zkstKd>tAcGQmmR6B8(HNhy3&=pCy@Dvv|p(><>O>l$vEYK9-wGGs3%?nuy-CBRg$i z>~Frf3_U9zuG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Bq>00000000>U00000 z003M800000008a<00000004La>{v~26h{!P@k|^879a${fCxcw0Evh-0^4w!^{)Al zB8+4caZ9dTtVqc*+WiSR^0V>-a)CGoWFQfVFgDn(yjR^l)3dV}qgW0f9%*)}YieFq zy{hh=9#vJru?OcmFJ7Fua(C{>pMD;@+-VF!GhES* zkKX%LmHO%1v$t-~e%Ia)IT|+s7~j9jd!OUHag*k)93mZczWKI2b9wZK^K-4K>CSMY z=IBBn=Z#(S&X?XdbAUrMhj4b3}mh~Gu^pfMVcaV>8M>zqInNF z+Oy4>_H^g_>a&wHj-tADrykXKr;!|k8R_4y6YfMi{B!Lx>a(B2v^arYwla;%cwrnkkq?$C|{3`#7vLeraWGXhTly zRj{?$(~8P+qGmasQ`WBE!)Ex37AOT>u^jyjsWW4?%K%VudAl)<(9DKR{@;Wg^yUHR zl6ems#?5K++M{o1$S{yt&g%i3!QFqo+Irv#At|*J#m=F&74u!4v39na_W?H0EzhKn zqavfwA6xE}^t{P2{>Q9!;N1S-Xv-rJl)a*H`VU#lHgX52V~`7Bi!*%*ae^kr`1bFAkc<&Z~Venavbd?xY$D97yWJdyj5 zcY8$2E-oi=L_}@^Rtm(!hPbMafq29aRUhHW5knNA9Ac#G5S_qdW0wwdDvI2vK;y4ZUeNeiwPZNfo)-2**h9KI1M-z^ zZqpvybHZw~>M_C@?mD|+UmFmHxWnsW|C1jFXISYGC;6O5J|mWu__+nVqTgxZBC1B8 z$eBJ7CCI5BQG(utlP*@(+(pA*{{MtHPe5W%F(Q$FFiR7#$~iR;EiE}0$@4)$T~Iah zytjwv43TnHRvFC@X`RswjMBE6RfhQ4J?tWLYCjp`50S=N>62?F=Y(C%Ze~_oKbV#3 zOU#sz)gyiFvtov-mlz=|nU$L-W%r~Wv6@wi`0FvHh(CBQBTd0TJ=YX$)oX%&$G z2KaG>{r4{b00960cmZN(U}8vMU|%m&sI>_1gb5y%7S$EwH0 zH8{l6&oRW)-!IM9DN}URs#wE00030|9qst*Z=?k literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.cdb b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..d8b82668e936196f79fe457fc493ebcd95da541b GIT binary patch literal 2605 zcmeH}`#TegAIB*tr**oai;Q+u2a#*YZHvM|ZU>_=OXac+3k}PelD>{w%{Szl+l1I= zlo^s?<=RXZlj~DhHQ5fs&TQ=K`#t}~_c_n!dET$*`8=QZ=ZE(%pU*)hB_-+}m{^dRg|Vrz8ORBXjJ^&sw=f5pTA7%co0yxLS%Cb);}enB!a+V^*U%s{ zke@p!F#IaW`8x9Ew)0WMf7dA~^{W04O3^BR^9fCn7wvJElk__~Oq-I9eV_Et=syYkKP9kQQ;+nRY>zI1K*^&WYe@tL59%V; zuGt29ENGkw`ygZYjBde%i=oG*Fy5k!IX+$H@g_hE68}EY=C^9TaDh2rIy{y5ajZf- z^m+!tHBF*Pn8E6=rArp$S@t)+ykicQMTgK!3p-vn;B20*F1Ic%f5Jf+zesPh@o_#R zm>x&62ObT#OaD}d3~ zGLM#Td9mU2Y)_4D3o1C7t*5g$yzQJXD2zs?wr zY=*l?=_i53^uusWk(J`lM&GOS@!7%fB{H7G^qITuGEud4aow6#qwsC98xhGNhmp+K zd=rnM;8rJnQqB;WuFH()N(GoKbn3(GM{Q z{rdS9JbDfmH@O(AlDp^a6N|HnDM$T6Y#dqRL9THN@`uwpJwGKc!mVZo347fUY-Dg3&7u}&enDOwK*n+&=CtsoP|hE;;{`W zWtNJDROWFmBP7+Io0^A4>+$+7qbbR!A#oQbqCB6}Vm;Hn7QrZ6uLL%`W(u{1?P!#1 z1lst_^-OTzNT+)EBL#eJYK@S$+^a1)vVBw)ie%+`{*GvM-vgyFYSd=87;?<&FoE>j z3I8gPW#+5md^Q=A#GTHswsBBN;a>3OtEqUy905Ac?Hc!P9k9nW)P$-S9P-xPQZYH$ zo_RRZ<)xcjr|L`bOfjaT5N8psL+k=7O>tAc_;ew2PkEm)%4Plfrmas_WCU*w`5H@8 z&ko!*@;skI*>%&XyyaAH%c0Ab&z;LFGY%TUE~Zg0eO#|X6@(iITs8unjZN>xXI^fn zuLZPezSB)tH2%~T0x19Mq=TaoSAVk=_+yF+^g!;!>}Es-c#Ts5%X*mSUJw*@W+$hw z97tLcxwA}T6JZ$|!#QiJn*OF0tz#PM_b?G1T=tc_j&!x6-9~+L##=XJJEnc8c+G#O zHL|8AXM@YEYP-!ex?Q?eoD4P5-OI<)0J>JC{NoFM+NV365B{SgPOBcU^XDqxdY^%o z3Q+^+vYeP;i7sZWe-r2eq&Qtu;%bA!ayIwL(jj##S3!Yjk61iyW(yF#$C@av#;IUy zu)vw!Xvh8@v$~AO&HGrcDoqK5nA1_fQ(?k02I(2Vn)P^eZF07^!|=08|L&txouYLb z$Jp14bTaplfv#P=;a>EP8Pcb&X!3nPZOVDaAT?;7n?Mp7tU(bEhn&w$Sj;)%)8xA= zmST-4>*Xq!U%JB^KD&GWaxtElnsHh=Zy+EV5#l#Jrv1erRc9K1snZCskVOkS_fq|Q zT4HrGF2k-cr%?T6b@IjTOJVs_DN9eYEFVFByhDQ&LHfZDoL1|q@pc_>=TW-swyYumq^Lh&EkArD9Z literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.dpi b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..5458bd0a031aa243fc7730163b039495e26bfd19 GIT binary patch literal 872 zcmV-u1DE`)4*>uG0001Zob6a$bD}U5eebVeeBNyUsoG9T#}C?}W2>v!ZJ(F`v1S^9 zhS04u`{Or)RZ(PVccwe*>Wk#un|sN*CpQ4Rc%4$|1+$0*{&__?mMaqW-M}OM<9TId zU4Cs;F7zW9BE|_9K9PPTiQfuH#|idG0|(I+kbuvG*o*l3UHUI6)noZskyr|*pUn`Ne01sxNIWgkAtPD(>Eot7zi?Gc7l(l zShs=@g5)Y|9HS|rGrj%L?)GjC(}ZAwWZmX8=r>o@%hpv>YiR8{1PPS&iY5W$_9R4n zqW^?oeYla8P#k5kCB6+Orht)0;v;G&;p&>!ICi`-1X(ddcUIjgWk2#F0MsZ0qrv|iso90qX`K>&&M4mQi6!b-VDS61xD9s ztBL}Q*HCSM&3pXIdOGpE6YR;=Q`~T5jMaM0^-fMSN0S>3q}AlIdKwLl!AAv^Vr$M2 zY#t17Ld1q;uK3#sy0qjFl4+y!Eqq4&h!?ceWD@qpIWx=hp?Ec#LAo?H5F2tltaw46u7*rq{K4quWk%@L>0Q z18Xh5-j`jK!Rmo?IQ^vd5c<^*WZ0p;Ll#UR^ak=JpGEf_`bU~5awS8ZC~Wr45Cv2 literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.cdb b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f49f3898936561eb796e6fba7a92c092845604cf GIT binary patch literal 1299 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+-45k>OnIN<>FqzCR-C>6Z_n=s z6=fBrR&js7&$EA$^4Ifz{mEa?rXT;~{AlspcfTra_J2*1iMxB}ZtVU0aq)NG)E|6o zQ7iSm#(sZx{X5I19&dKpKk{G7Hf;C!99MkI@Z7zke4SHjYZ6$sf9*~P+;90h;`pvR zvfZ0FwIdF8{nLxMl7G(X+D5(d+H>arE5;#-Ka5pLzC#57w?-~ z|2|ujEc?2seAKAXu%cdKc=UUJ-scT=pEveo&#MDwz5o9!7+V5u{SO-m>@{QL5><$B z;L%Yqys)ro?Wze*k6DzDb9VkVz3WuZAS`U1^nibZk)hFM!$qq)?jIB?6DVg34DCGP zdH#J(UEQ~vr4dbP3^B7#$_c&^IOlXVi?vY8=<>G8@L%ohy_r=A3x|bDcgDA~GiP1@iQGY+Y{fL1|^%jmeApjl4wi8?_Se?P?J>S6(+|x5wZ4-lDVk z9DZMmO`p!|^ij|FxZa@#mvh&D>~-4nb+_Wnoi9EzXFYyyepTT6_)~D5`&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.hdb b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..72fc16a6dbf645cbbb50a81e19d152a4bb1b4378 GIT binary patch literal 9220 zcmZ8{Wl$V2*EJN^0>vE`C|0~!ad+3^vbZl=+`72CLvbxGrHhn>#ogWA-OAhNeSUnB z%;ZdN=G;lnoJ{7<1Q!ktt_B1C-w68GCH|of&<$kk>_pAU&BnpTNiE@F>tIRE#mz;{ z!OPCc#m>dS$xW>Zbn~>e08*=&S=du^Qfn$u>jKTGr7dmU|1p82|49c2S5NW30H^-` zzlccpU-X7Q$wf<{lg=ElN8V8jhL>tDLq`1WLGpA)IR~vitUY5YWACU!>90Dm&j>dA zc5oQ1o@l0iPczJH{Ooyq3h{Uk4b{X}Pr=0{@Y2=s$|tJzb~71@<^KH^XeFbgBQ4{n zKWoIj>ezpL5)<6y#WzJsnDxQ~7^M|6i8M`fl6` zz)y*vqDkiArq>rp+tDjESSzSKSY$#bLYzJ#I%q>J-^z(u>G)SL)qT+E6ok=x?7kqS z)2#r}WFFYa2V%*hHcPRfDLF)m-iR9S4d1D@x8dOv#ZhheLD%^U;nJ+skIZ0b(Hjy9 zhdLji&(^ZJR1ymQ7U&l3;uFa+T!N&$w`jlbpBys^e6!+mpP8O z@=^z@@RSXK1!~TUGr= zW~G1%)lSqOje`vNr;7aS}X_n!0`IY+Fqj{LgGIcs@=z zpNdSg^i$Vj>~etE5oeu4=@rSfZ!CST@?Lf6vcJ_)!~9dfaG$URDzhNiSexs-y4J9q zZmsI|XlpUeC{o<1!>_I;dsp$&m~!uidoq8i@@7+HaI`-~+icutJ`1dO? zdA-P`)hsS6RHSh1tXzZ9!x;%g%tq)7k8MrhYjEH8C}|A_U0iXkhH!;j$&T#^F>9_g ze9ZX*ReXq9 zu%F-%DCsL{WUXnS`GrAGdOzMfj%sUzMgELg^-&H+ko5R=3Y%n@SFP{Yt;xlij?~UYQ#`j%|twOn2@XJPA4c2|u~I0}1!?D?N?1Vfqj(R790lUeL%!g*tNI;c^9l%51A1AGHF_7qS{Qt$a2Frov(DYckJFp>$3><#kHVYew1m_H$myZ&m}b$ zUKbRvwJ}KzZTgRhuWaf?6$*7@g7qI><)Bk_`)(!?1?%(*N4w-RWl7>)il)C;JZh8F zv(n}a>C`pYhb8X{^4nd2f~i|VjSn=JQHk}pIhUX+xYvu0#!KME&(D=mnJCHGwjbE@ zKYWY=s9`MWo*9TE|kEB+I5%-S}}o_aE{GSWYif@VLxqw1N*aQ!%$mnk*z7$HJNzI z(qi%x&0OMrkGi=Q3Db8S4ZzyejGKFc%V36}vUzo(tEIPlagFV(_w>buoTj4Uu%5le z>cdlM+ARy&z+kFCD_AHfsZ;~pP}8P!pQ%RfAtw;fc5EGy?sPWr+WTo8Lu;n+3WAY( z-o1(n(n5R%)%d;br_n6U89x?nJ9ua*3*Pw*@eJnL`Zasz+d^EY-pF_NHe<6YlJ0tM zssWn9&_w6PDodhdV-3M%h?1^jPKBe|9y{h;@|K;tNOB%`O%?xPNsD=O`cc1?g=TKQ zZ5Q|CU`m}9p@E?0>!?&|MJ}{Lv*Io52w2)+ot1aju-LG~Z?L-ROoF0xX!Rpj#~{sa zI&(;aC0n;@Uqa>MZG7fTctybWj;#VqtVwA{mTg{Xef*Oane~(h18<#9sqIS%TMNHI zK#O2g2{%;UgAuB`>}jVx(Bf&)0PpdrPjHV?+Bnz*9rYB}AgWW&LGy zY;IPzYVKIDT-tKDHH~f@%+X(YYr_DStL*NrEOn0z4WwncX>A_l%ZnUa<_A>heb-=Tm$7&MA%7g z_y#${*Xst&SZ;v4@UAS@c^%2I^uj74nbe%moKWwAZkY%qc`%Lkh2P{*1=|1uNX}M}p1=^yN zKd6I2bCw4aQB(dsJCxTVSjjtfyj>=nwmSFrkGnLIHb&;m>}=iQ{!e9-7U<&8#^Q-$ zqDARw9)|E(qdso~)=b4d@tj zgd5AYH0Y99H)htnRq!{VgOiEZ+d(UKz@@2K+FC;1Djijz>cXUYe{ufr+OL@yG^-M= z(KUYZhBw02kcE<^zq+SwO*m89_KlB8wM#8uOosTI+5Zfb zo#!iP^v?=6N?S$eP~Kk)M`n<|#i4%&uQ{0=s+R5$t||M}Ww&kTNCde&4)pR_x6olX z-;m6H#@Y8Id1GR;g6lFH5#ft6)+peW*S>pLL++p+tY7os6jRhl4k}<(sQ=6$%v}CmOp|aT zeLq)=?KAzmY~*&E#Wa)=naxVrTn?Shpn|5?Fx+XyI~UJf!UT;z1A^ej?dRXgwC_lE z!}QBJJZv1wTse_0-tt(6JKcKwUat}|O!Fmh~Qzi;@C6cxyum5I;|D70k zCsE~4!+O-5FP`+>jpS&z79KZ6$F`$d2yGoAMMO8*P(v%W2qE%)+6&PL(~0uE_;KzckP{G@!sZ9b#AqcbX*4^^jPR4P#h z$BTkEm@{(LHoPeKE=joQ@yU3~;;&`ZDq7Vk``l6>%#ot-PCXgBcoxFTt#&SlDR}i# zQSMW1tDH3>)B-N;m~;6ofib~g(~x*6Sy-D5j$QvmZrsp>;OU|lk$<($)Yd%?;lJsM zeA}?S{9;!wwRz&+L*BxKKl(K3?bVOHn`IPo4=-LW!($y*j07+Q#*RLoktZ@|Ge=u5^&OO@@s;OO{@xl zC)+NJuwYROU|FcW64Y#H1u(VtVR2xmVry#P@tHNRNMBT|X5eaSn4wD-2DsCt0>||n zc-D#m#6>CMmb#k`Q$*X56QLR!#GXTlGbk`fPXA4*)2LOM4L_0GUIf7DnXll z#{=d0Coul>x0E!gVd-hD#)`CIyUWsBmBfjg37%909_S8T;8&@5J*+I@xzvx7BL34! zHbzF-)3#iHv)bE2Ca?pzgoSg-Be9Zr6PkjHvFIGBZ4uu$W5DpsPi&w=~jg2RmIrjq**d2v@GSN{aZi{hS2~{WtY3njD z=b6>POS&pM9&uB|3cW*}ubxq5vF~>4(~vQ-E+gDWaX^$Hxns$#c1J;rIrRNxH(@YQqGtS0DLh zX_zVZmATx4V;oWwnW*pHIi`mj(xSH;5?+a`qly*~o!m9VkVmQ5uwR-5sJ7hnY6iC< z^s0IBHN?1=g>EX?JpYp6Q+A+K-T(QAerJsJ=@^S-Z5PWKG27n^N_GB;0X)uR+)NsF z%8LHXf}nw))L|&IhSKK*BLmW`rzXDPUq7-ZK0xGN_)zcEZ%>oE2}Q4*xkTZ*=hN@* zux>0nrceEaU)#@=M64;T^Ci#=fBzMi$wM*c;|^5Hs3dbni^gGLbjyi%zt5#e=^OzG z;T-1u#K&~r$x9qUL`=8U&j*TVbkROgoKUx)3y908Acl0MsP_*-Fco#Xa8N&fXot85 zGIV|8$UQujyWjZ+5|$J`^a}(NeXY&0` zfmOQi?w^{rwZ>_NQZ~KaxrrHdVNe_7mY}a=(Jcuozv?o$4z7C_BYLfOMQt8lze7XfqbEa|m?#U} z;Uw`fl*xlPcP{fgZ!;xSz)8@3CooYr?{3XTHDi{!uf4I5ems>eFc9rthYa9r3H6h2 ziTvBcnIYMY8g4)f01v@7x!f>u;?xipmAsrLxFjf8h6Pn*;?fgKxRTNmfn07OF*%HY zy7a_k%N!btb$ zZ}*qD`}en}r95;Vq$EM*1@vpv(nZ)|rs;(0u-S%$_y@V%$KYM6po>-PTs8m?Qs z83WU1Rs{%aa$Z(SXUZFh7=mJLb+w}0{22z>%I~dE-1f%ODk6-Lmi!?$`ot(aLVE6CrP1BS`d{;7 zFk!HQn4RDYjIReX{*sS>3;jAQs9V!ko9qjm%jXvmz?PHt!3*H-MsY2k${bDG5RX&_ zZ^aU{wXe1ztu*lWuYb1MjY)+>6TV;}7>n5R+%0eK6%zhgQ}>|^Z}TbFc^y;ufT>$M zBUj=RLo2@9nu{ez?|y!5x#LHDcL$NDV@qgUWJTA<_@ol&WOmpHdYnCMY|Q+87@(A| zLUyD(s~_+eCu~9S+AOh+G|Y599tQj8-VaxKlPAeXezUs9e0 z^FoW>xa9e)$H!B`RkKxPL&r?Fc#J$~*BaZya2M8-kMR+NN4DvVmVBs6wwk#vSxEui zJj7`^n7NTZcwO71IoqKd2_BWR8QUV9^rslufi3%gbRRM^^QG^T!%v*?i~1iB#3t5S z=m!uiIO<}0It7tIYM6T)#3MAE8T9||M^B%vBpAAb9Y6S+fx!!r|7G#(ls)damA#Yv zOD}|aM~*~5K_)IO(IUu&nyc1?0wV~@x$d48qK7S!A8Yk(TJm@3>*AfEHQRC`<%owq z@>o;#36}{`qpfOUcn&ilkO5$loLDyu%jIg&l!3tat!VTB&g(biyKbyNzWznhJd_`Gx&5 zZ$vE!4HpH-c6%9q+nZ^HJjU!jaUUH|ALfm8xEpQfmysQj#`q^eBRDphO&C>v@T<`| z=AeJliY388*@P?yan}ez{D=E?w9Kk!{Al#a;H824!<)9;*h5PkUo#eXn}K9}Cej^_ zsKTgVS%Nv|6&~X!0TY|+3E%F^`WOryqgDT^pL{@$?D1`RZ=6DDq9rAF0tp`!<5ZFn z!eEW{%xCE(K13tbF0~C7obk_^&jS=`aEb|g;9I(zW{ffNFF(Hd|d-*F?_^i0+;|@2o1!tw(qfS z-%xPnjh17o?%zL4`Bz{n+^z!nHDyQ&i(CWnQIyx!beZYGg!Tbx6g1<*uxPHUq?nU; z=5=CcZgC7Vh*`dQ;dA|`6P`5DVrp`~7oDPC{ef9#skF~>-H-qV+%#RTvwpF@UsBG5 z!&my(9jkwF=tVwfG)iLEJ`WR{kEK96(d3%^wPpOk;9MANvHD%F1mAq7eZ?x#wCUfl z7RecU7Q9^ANhFEN!W_okQQCUsu!)yn(lo*i3C1Lc$%z3Z0*W%oL2@k=h!)PSJ_0|Q zx!hVZ#sz5WRp{1eS*~=b4k@{uQofPls1#pt8V{&_Nvm!|$Z?YCXdT{KqX8h1_>{$9 zB5amNEE<-!u6A~d;3bpA4#q-v`Yqg!E!_4){)BOdqX0o>e0}&WxH-%EKjB#$N^!l7 zG521GF(FlS?j4_KT?^#EaHkTs@NS@js7c|@_9HV@r&mtPF$&a6bgQ4_Y|&q$@h@Q>S%pl5;?!(Ytd~hdo=; z=)zzFmOCbvmI4X@`~zyAP9a=WDwlclduuNfUHIXG5-M1EJ<)!0_tiGIx#~*?#x093 z@AoZ*&iUcT09ly;)Uf-!vy&x<)=Qra*#gt*nW$++EmWfynXw~A(JH>Xt?0SJaTy7X zjo*ZiYBXOwj98DJ^k%T?%gHR%`JhD*{OAq=LJvjOBMlz&ptSKPuZTV%t!uMtMi=^p zyn26`)Rs&>N8pM}^1sN5+ZWWB%)O5rCYxind<%8bTYR*a1~?N^&o>{$hh9}=DDkg- zQp*BCqFz)CldaKaor4#sw<6kAo zb+Y0G;zt@$BWAy{-~<>83vhC3FK`J7G`reuBZ@Zf@7v~K_;)`#7x;*hoRE>)jB}CJ zMY)*B?G&!P1)@Nys1DD^ntqu)Fm15VBAux3%ifrAoV;P;Nyp%l>-yd6<4D-nCMJ%< zZwi9^73~!@jX+gNXL*v+uOa2*MB*-Fy<&5p7$MU$%Zs^x?qx^9I|=0pJf4aqh>I^JNTHi&MU#o38eL#c2 zhS;_}6Ca}F{Iu!L@FNw~*#byv>nqx8+Y4)In<2p6sHeS_meSNu%D1Dpx3RJGEPaP+ z_Af%k%PUwCozKIvgz)6Z52?gwVS=p_Z2_-C1;&!X69nCJeJ0*tW7+))bUf~x>n4{! z@UW8*+_(Z1h~mg{7VoXDUkHDB>??5?wpnPWG!;n1NO2TYn^4gQnUQ~bU{c;5Dn;J@ z^GAv0Be7jf*4biVf4L0D3~{9C21mA*UDPECA&9c4+gyu>SnnUx;orgn2VT!lGrEw? zVY!SfEB+VtJ3j0M&fMVv%SigpRXxzGNC~?|_AlKWdy!D8k{$o>03P7J&3b(HuRk;y zn{7k_IW^3p=Y%)gF5b^YRQGulDBzczy2=ZBNFWjM*dOA!{L$qeXWpqviOzKzQShd7 z&>K3r=)&%6(#Pw}*w9@ya8g(V1r})bRAw&|JNZW=@FM@; z%%kDam3+2oP8A3NM}SG?^ecj91E@`Ph4SJF+%sh{&==(eK| zm1YOEP`R~;92Lor)#q(@sAHV{J+sLn`CN4Vqx{PyC$YRt^QGS%nzFY(@`+HJK?}-g0cFxNlIGMNe^<3dqLL0Q?5r^@Y zb-(NJ!0d`od(ku!5sqCaFCijDao@zpzx({b#`dDJjKd)gYcR0QAq#S4~yr$ zSc>Ci?(8plPW@a?7#d2$Hnr>@tlTYPD@d@g3Lpd~j!|`&rG602D;~f|CKNt_O)0VV zC|(Q1I$w|V<-lb1S#t!752)k6KC(O)#7|Vhf9wpauxnSly`ty%E}m__GF0k;KphtE zCyAjnaP~IC-RCA9@rkH&wKyjO$Nu4`{>W5S7`f@gJE56r@3rT5%M#OY-oHJ9i-(6QRqjZ zQs4VOV36V3nDcnBx)^$YGPJPC0FGr=55C;4mYKN@o)D3+lH!k#Xd)YnRd>f!^vp?y0sXkv^jSAnc;edd5l?h2O9Q6cyHkpEe=_F6*%=yS?;cXI)bP zaAtuHIL9VDjd@4S;k9;Y7WPO#D2;v{f2t-XsGEq2YZ08uY2Z7@Ba&;meJm3xQ8mw` z^gy3J=~sZ?{yo>cV=WacBwvicfoW$dt?NYLMZrBa(Ge_&m*&8&CexT~{c_#^u^?e{ zua$;tJ1pcaMLh<@+w?i^V-6fF0!_2aWn`D%=EO^q)vDPSlzlYc2 zNGdc0zu+h;n^VR*Q$hR)_FE__~1|s{HX@DU< z<4n@bpu-r2bS@QqImp3ceCc*&*X<_U z&4ik!Mv`Q>vrDIDScSu#<{;{eb6tmq?3!V=V_TSIO4 zw}n%m@i3c`h*z0_huASbXYw2%G{C9Z6b3D_C7u{dy_|LfHc%}_4;sNzW+%`Mq;0E| z4QJpGlHNr;XnWG;J55Mw@xDa7Gi&|*fspN7H63KSH}cQ}*NhyE89P*QE?TTwB>N&H zAH|lC485sfdv9*4@&50^aOtaX_Ns^_LXq2Y!lKS-URg88k#pCVOEi{BoFH^?f>2zqB_+F7xzWk5!w=@$h; z=v}8btf*}y4srgUZy6yHWq;Mg)+QyoosrkPq zU&BH*bLj=rUCv>~#kBih?T~)Uz6)Ldj)Rfy=n-%^Nyi<02CY%rf5~0=i;_*Xi_FMv ORHyd!FUo(Ol>Y%0j^fb( literal 0 HcmV?d00001 diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.sig b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hdb b/Exp28/incremental_db/compiled_partitions/YL_dec7748.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f30e5d41d9bf60110d25b340ca8041cf88813fa2 GIT binary patch literal 9463 zcmZ8mWl$VIlMNCi5Hz?g5?mJz?hqD&>*DS%K^6%Pi!AOgK{vQdfZ!5bg9LYXyL@*) z?&@l)`c?H*znbcP)iYg#`{vD?Dhz~w*6rVt{D)c=u5LC?jsPxhc20IKfTV|wy*U8L z4FquVac}`SfSg?10CfvjPa87}fQpHk9e@jZHH zRI&dDZ|VLAzakuEqbE^lrVkh))_!Ni)tK8t_+~;ZdMaOUiznjbQcmL9CH^0X_>cKs#d~QE4bQ#z=&^^pLbrnJe+1B z@RKU|>fs3;#X(NBcGJo8pVP+^uhjBZ&C}}~5#O7mel78@qKyP1g=U)-LV|iiMiGSu zgJo8QNoF+^A;-E&9f*%slKT>!+J@uL@wce4jqOzCN2todo1UCmHy>_p!istn?MDx- z9gh9*?q}k;HhZM>Vtx{X1-5k1 zJFYP~b{9GsVMw&_{h&X0JlI2knm)7&t@g|H1>B0C1g#*opt@pjQ4Wg4|F5GY5!L6NOeKJjMGK9uQaHdb z%;$Y?`?sEF88ZId@V5O7A|*vELOjIIX4L@n)@ungKDfX0=Wi}T3*KKnlsjZ4vEa$b zd_)83ZTM=~VtBZe0!W6RH%4#}xT(aS2%rBV$C2n{l7v3O%%V9xG~}=4^01KDa7=a+4nzyp$A4launp|o8e|5kxJ#e zAv`pM!-nVIf8s*mf=f4{4sC@h-Bi;Rmxi%PoScMI8-P4)oZoH4({jvAGvRwu`MG5x z?|xF7eAxcyGSSO3QkMMGe+3%{P0L+GF)aKYa=k(}I?)g@shUKOYZZJOkp+HGu z)IfFfA{3y*n1J6dEBAh6W3n@_S6?|E<-KB2RNr!lW^hh?;*oWEI%2!IubcoA zPK2bfY2!`oPR4#ve4@P*Je{@OTnf^lTBImhWqP zX*2Uvh1~V9HfzrN+$+w3FSyg4&bI}Rjr1ATvis|phy_}gi1edgj-7Pgk~Y?1H5Otu zija+r#C!fIB&u2#?)33{eqar&kmM|Rk^JuP9J)T<`i>$<(1XW7FCcvfWw@ShB(0_P z!F0U-fh%GZ6C2Sm@#N4c_6ch@6zswkxlzXcRG%HRS^+BBh{^mae}tKcm6iD1$=9X& z%qgi=CAn(HB*h-xr7_XM%_~ z>8Y#N9-zUgR1S$5_s~?DRcnJA&rhTE!&D8OZ6qG1BJOB>w6#qRBiChzn}A!X6I9GD zX|eUJkjLe|_FIA?3JY2G;d4s(s%Quc-EO-xtvQv|&`y>%%d*vcs!B@fXNoh667xkp zUEMeqO5ZND>^TLgZj7i(Aj9zelQX{k-`XrJ1P*rk@`}bMwK%cr;1eRUG2;W9E;5yr z`Dj7pc9F7K@F`d4hn*vYj&n(N`5lc!H-@3m#^CdTGzW9Hcek+o@ne-1O2 zb8|O;#{{=i9Z@~!Q8@%z#H}|q_pe_JbS$K-o4W`#QpgJs4{S`CQx%uo@EMl5=19QHnlV()(3_g_Vi`#f>@a-8<#+iG&- zM2HZ*_1mbKy8CgyPn`AkjpdZtLbf~FX0@Ajxp$N@J8GyV44af0+G27|>TIP%k1ex; zCO|E@Wt;ZkemkTe)>Yw9bls_;Im5Q}3tyKBf6D_x=j;PTdyd|I_b*uOjh0=nVtvZJ zInU30efMaQ_yfu~xzW$?n%rQKQ@hxoFBp5+T@vJzZ@`*)N7FT9S{eJg_QQBPXGdH} zus6lCD(X^Lw}_8Q>rXhMU`77O!|1qhQRi>%;ap;#5?vSGJRK4oKcNkuGwuuXOOMw0Ze>xyeRxTrse;q^I4$=iymx@8ASHf^!J& zZvHNAMeV@6x9#aXE8yJ=nK)T;6UJ*Pe%l%|lJ*s;zt-uxJbKr_@5Lvg#?i{aRFQ%y z%#hm(`YE&}ZtQzG35e)3v|-e!!S%X)e2#asibcEH*1^e%>M(7Mr?aF1GWP9$bIbM{ zDFJzSXwPRqIcaZgZY@d&G_bMY&a>L=>1QpP-#2bnv!`c&ge?oOv{qkKZ+$f=RvJUD zmp@MYPaYx{yMxIN<;#Wuiv_rCL7w(q~XDXC-B)@T+#{4!~Tu@_RR1Up+U!bs>KV+rAZGmw8r=t;xoEB=@ne*&1=T$){-G=q{+?(pA_U_ zuA6+|wcQnE54Y6*DL4;_6U!&xb3dtU|IU$qB&-~>yuwi;rE!~D=he#{BotS1(b@j+ ztjoO`qcH!}l6rCCI>%98$6YfwM0y(F;oQ`_+E`~9JG$a;vt2^NF~$*d07^{XRdRf_ zuaCL$Z29qL7DFzG1akqrYbuJH-QMDHaKO*~>&q>~qp-0kCi$$h_fP871!=>_D*cSk z=YMI$QinB(%|46&uwY)YW;QRm7j#f8-Bt?C#!npb0+sao9p}(8Xx%gPyw zOLQwDsYe#-A)ixW{plFfp+i_zZl}&(M|6?@;wkbm{J6hLrI@%>q=O^lfLLD_!0Id_#!unlj{jbu?frT z+_l;fuL-BAyHWOlwFRo>R6lm<=%N>f*?@nPkeGY9TCtQ<$F(wcG1dEoXYZGp>Nxph z{y&$ct*`Pg>9)`K$zAZ{)GKA4fPxp;@W4&zY1O$`8_Km;+E^T$^M>&HqJh%n!aOWb zOH)1i419_S`z`%V@UjTcR%C%5FU3%t01Za?5{M|36wla`t6>olA#GsZix;9di?tml zI(x1YT_aV-*K+XY69O$TzcT<42+S6{?Z){?%upenTr5T-IY)zE@_;|tW7Nb+&53(L z3z|(%97n%0Jf`WH;Zxu&kwbs#Knr7}elqc9p;k3lz*97!5lRX3{1xUs%Y4aOB9qQC7I&ZxPCCSYrO>qn?;c5TCxC+^Sf}3l|AiMglu8 zN!T$55RXEekJTlcRp?%7y6LiF_$Slk{f*9XKBL5qj%?4|#okHAWoLp3ey+-CkzUx4 z-e{bqT*=5G)dfw1o@dSbN8{%vmYr8=nXn;`&nt-ZQFP-Rk#7s6m2)(gmEom0ZLVUz zttAGcmA(NKB@C?D^~lRpU|Tr3(U;W4K405NX)^}do8$&8!A3snn!OZj#%ni~MvApL zNOl1toANQ9sD*7_?bU$4TpFeHBcemml1vI|04J}C?gK5&*=bB6jx?7vO^-XVCCU>g zHI1T?)qe_)ATklb+KUO$gi_H<>zR{qE0G)_u^1{Fn6s5kt4b8=(f6vk@nuI)xH^<# zyR^+NZh8hit70EaF<^8JY?vO_&Knw59C>S9M!w@xGyT}nS11q{B# zvn4kiWs6#-7(9t4vn8YdlMH5hya;`6p*fqYfqCxmvqtp@9$z|EP^7cb!0az@rE3rY zTrTVgd$BZ8VvsTuldT1FR_S2RYTjvZzW)8CWvl5< zfirAft#sc$kn&`yNqoIs!0ykuGwjV=|#;N4J|lG zfY}_qMTgKel5q>DJLoGz?Z>A1{hS*J#0dVay7}g#$Ja>8A*RAJcYv)V@%}#7ubEZb zUdy;N0Q>I^309_n!+$2#J6=b5!xa##0QRX)3|;w1`+7WQM)D}aZ?gCRf!$HzkSp&T z{x&hu*pc%QeKC3?N8JDq_|Y_@espk3@%<_(jD^?D6o+tYmr7M~4;*jqpGuvQLPFVx=UO1^^NI zBH5iNZ|{1MTD!ZA18$AWnwqBO4amQLo!ExUFujzF{&p^NQnhi$Ef{y!l0C6^u0F9s zXG7RP$_kqLtzx0uBA`K8nN)5i5p9T;!LgUr8fMX4!9E#%fNM|?z&a~nwLBg=u)nWwg2ff=Xu5cU|}TA8ClaGY3tJu;XF#T**s#PRQa+gl%C z{?*vLx!L+hEffjv`y-%eLa0R6d~zhPNcrQ4#0;-;d*>=5%K@9SW5?T76{jHnf;VfN z!2>K1_q#LWZOycvun|M6E~W}BxA$i|4<1mcQXMry3uMq zXa1ScEL6IVE;v!-r3EjUG&NCRTCipL6%ndJFDNWZK!nf*SalV9MHr4s7+O|BF}8Y@ zJ!W_xwm?JHqAAtav7nPsz|@A z14-V8whLc6?9gds5LCaE#M0z7%XX!vyXQ%=h#=jG)~QJ7{t?H6$$TkqX4S!_v=c+1ODroaXQi*BbyYH<{Bgm3)-?I^no z%eV1Ia2=Ns|?S9KR8ZOg2UP~)v}&ybX)M&a86%+ctdXvsoqvX(=Gsz6s^oN<-l zZ8c>JMvE{(i4>0l=x7S;6HqM)mT10ZPVz}c){vUEba>DPc%eX&&O%#?^wVf~>C9AD zz6r{Tvv`}c;>4#ElBe3Yg> zC5o)D;H8R(VGj?=nQs|cY;6KBK9k&k!1+?yu`QE~`v_Snrw`C4ydnbJf%e}i4@%}B z_o$;dCX56_US<}dBWVq!6N2OX`KhV026bOrQt zgQJS!-*k7>_>$8{<%b(WPD?v5Jm~VDw0@CZefxm_=7-=5_c1w+n*<%vrUK*_tTR8z zRolX)xc$3;#{QRdf#}*A1{?5GHKdqz%bnz&g~U6YuXyaR8N|c225JY znqwjiJ~Vg?yzl_*7jBZ(#ybrUQUEX5NbdRK-R-()F4 z?;Z!hb8#`%e;S9&&?EhV!nluz9l-o0E&)lrl+oNv)Ht&%K=`pnZs%sL_yCf^?JYV$ zr%iOV={5D=`y3IW%Xt3!X7-TZk(fh^xyG+=JSq+`D>8Jqel226z;@bI`wAKx z13%fb=IzQOeTQkN-BTT0~C57dyD6AC)pAfTG@y1nA)!=RVi-Y^m7Aa{+D{;Rq0 zyEZhmIKMXT9uwGXDXMXx>4f>O0~q7l%cKVAJ+SUwh23Sz`x(+z<3`#^poz8_$ezT* zsE|Ix&y>xnZ-)or=358=p5jGckw$eo${Aa|jCRSF0$9=jjq>22I`E<%dW4v80_k)- zq#vbV>1tqcC+N)0WH(1aduMa==s=I77%)VJYc?o>=lnzK?^!#{g%KzAppV%vP?`ek zHln5kPAe>LY`%Vs4A4jMQKBmGvx|~T__+5$MGjy?VmP?Je@ZFSRqj8=N~j}1z{=2P z0V2GH@^R>Jt_@d2brR;)B@lWL66b49p!~I-1*G(Fya+`QGbRb!vJ$Z(OWG}GJV6RU z(KhF64s5Q=rn+EH{1m3>KOr9r$wHdLQG*mw8pQ=$}uApCYRXcIf zc5&MMo2`p5IChdyJEFIp5`J~)%F0@7u>ouG(453wc(o1=FS=ywnQ5B@Y57z7-?~_l zDQ{LH)Y8X9{~}m@7wa{ogMF#(=^$%z*j@JXn-x4IwtR0H$hm7_e5Oe;pNC;;k+~AG zU4+4->K2C=&1gT@_jI7$$7UKWZ%@!*KP@eHeVwV9it#5zGc{WLOrdy51=ROTPui`` z0y69UdaNgHM_^3RE*1SV$(9Lq@(xp^WWAg z1KuerbvAkRc(-47)lm6)xHwwrDt23_4#7i&Ttw(oA=IzGY5;gsM@gM>3%>@WByoJj z0S&*W>?{8r19VM-z}rJ@G8jj;`23rARtUA%9lmj%2w25W2(TB4Iu7`cDl?HnUGn zq-7lzbv%Di`zDuCavuLvH0(DnTFYuzKjfgr``DsfgqLW<;TDHbMP} zB62SLTI)aR= z5I)kp-ox;nq}}4{bF)z}$SG_sqR&a@uNT1BWvTamZDbHqkn7Xh}wgoho~Cq;Aq zL;gvEjuJOgEUK@N=9~d`$BmZnL4_YG%#Ig;8_8fUmGdD~muI`6t znkY}Isk}=(|3vP*207_>|9JCf7XE6i%jK{!2e_l1zlWP!%>r0Y)js2O{#Qx~;VA)Q z7yC(atT28fb!&V!TA7hy$&K{cQD?-NZZT<`FXEkv8{1X4bEAqJ1HTTW0fENDW z>IZ5Z#n8VM5rucATx-O})Y^A+?>;Ss&rWZs143k9>Y+~zII~#C6vtIc4ivJjOW>*} z-N~GZpmi{Au!W{-yVrD6bLlsZ=SP-%?++Vf*tAH|XJc!uAFEw5O>`ku^7^F>8Agi4 z6pZh&wDG#$n+`qED4<~Zjp)L99=+OTh?8GPHU6rg%Zch9L+%(4RY*SvjV!|LaP zIqed~DP=LR;fqa0xdHk1pOoI=m!=XA#4q`y+-MsMna$@M%VW^HnNf^cZe^IwuX0`= zef&gklwjjV?|9Q$tJVq6;>f-@65e01tm|Vi<$$AG%`T+MSZRel-<0mf>3S2P;=YZA zo0W8rkHsHOrI%{nF7B^mi_B}q@S5@W%g>CrC-Cjt_=?C0Z5JnRV))wJd*(P0QK2t* zSKrx>rEzuf-)c+VuFj+$MorXBj}g88`#64m{fbbe4YntcA@L9zLDTC zR7#$&KS7FqoPm?Ck6u>FO>gyj=(&A8Uz|Gcce}>O!K3)WAvoZG#q+lv(i9DneE2)X z6bfzTp)CbDPC!=vDP@zC&W2{jq1 zIE1!y>4VXx$Guw11`CPx3e?>!!IZ{BP&f31yy7}^G{5oyr=0_4;uOs5>Z=02+_Itd@&^gR`4w64@` zZGQ2xkD*U|B*IL81eTA%z2|ux4@j{zY}Vb7)jjxkJ=3`TMTt#|OoHqL%{ky1t!Zp$ zqGrY{r3xHU=k~>VyO022$VyrAB0d5_J>!Lu{y@WeC#=1sYeQCYMZL94xg%=9#%y z_@mkM1|yb8ny}BYjqFhr3wCgv$Sj_pHrBU9ylsW99Zek$_uNp|jV<_%skcaj3-!_S z&ci9?S5EwGLdwe+T|TvZDQxrp8vhsifzcY+moPC8Q<*ufnlDC#>$cQsT%1RkP{u>1 zf=F{K$n0{NjZ~R$#h2Ql%o#oY5q?Dz_(O~^;+i9aMEO=vN}GSArU9yoUA1_RVhN41 zB9y>uRmmiv8* zi#AsQW_I#-T9Y9@BnKWL?|v~j1o0h#qdyA`_ZP-HWwKuaRuH>Qfu1ts9~2V@2;@WE zT|%f-L7`6ZrHadpDR{Q)1CRVu6-Pz`e8MCExwb>jZ+uEF9-f=3ftsYnh&Hz4gfNw{O$*Nlf-4!(McKj|~;!wc)5--pNbKocE4dS7WoF+XrwES{^U z@rRP`?6X&p7l(6*kZaRLX^>7}-T9Puyr)$tcJ~CNWc2ADtOW##W=1FDEr8{jDjmNPtgR1XoDaQKetbh1e$wpD&XqfA7i` zd|N1|XCAhL6F$UdLtF!KSajkrmie&}^hoki1zDSY-XKX&7_V-GVNkWB{?SxrnK1b< zXt&T4hZ)fHR%#G07VU$n$Dphgs=&$myJksWS6m%UQxy7akm|=0RqApk&kB4xMBQVY zpivlpe#0m90|!Ya(a!K+5sT=i=+qh-ll#U?_g|Hc$B~h$KF}W~0o_shg_{`C=zAD_ z)7*n25H!)(DNI$%&B=%Jh-(sXQ@_l(23ANrd&Ip{0*EN>B3pMoX%bb%CpCV(K)x|a z?LZ=AhbxD>8SjkV^}K07iDEuzz+VfHhfBxZ`6PTW05C*K&CEwr5&rd~l!&ntcZW>^ z^;?xs?lCmAHA;&8C6PDGJ8>~Z9*%PF)PB{IvzUm(07z&pVPuMsr%#*YvPm6cV>L}Bl)tpi%l=Ts zdqID5sQt9>S%&c*ol&G#5;f-glHv z2(-4|a~ElyCAeUEhBzu+-w zvo{@K_0may_(Tt0ABCgNn@T6|*^N!<31AD}%#R`PXr^KgV7X7nCZhb+gn%36T)MnR z2p_E$!G>1MsW1Yfm4NeIM23p(-ThY^z9PHS@%cY{em(uPpHiJqe^VCG?CRN~{EuG0001ZoSl)&YQr!PMfd)Si}tlGJ0xAiUIe;G>7pf&(v^^{2@$Sk zRgwdNetew>`k*a@Z0F87cVL#C4MH_AvZso@JP1YYVllf-duc_}Fr4xOIj;v(F`jaU zw^6z<`mgCz9ALf1e0H1%g{OdUw9}1_cI3#k#IV=oro>h(i$M01_+Jv7G(I+cv%Ftp g*$u8Ib)n{ykf-kG`VIcERVS10{Hi+n02~XGEZn?ujsO4v literal 0 HcmV?d00001 diff --git a/Exp28/output_files/YL_dec7748.asm.rpt b/Exp28/output_files/YL_dec7748.asm.rpt new file mode 100644 index 0000000..6a4dc31 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.asm.rpt @@ -0,0 +1,130 @@ +Assembler report for YL_dec7748 +Sun May 03 17:00:27 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.sof + 6. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun May 03 17:00:27 2020 ; +; Revision Name ; YL_dec7748 ; +; Top-level Entity Name ; YL_dec7748 ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++-----------------------------------------------------------------------------+ +; Assembler Generated Files ; ++-----------------------------------------------------------------------------+ +; File Name ; ++-----------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.sof ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.pof ; ++-----------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.sof ; ++----------------+--------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+--------------------------------------------------------------------------------------+ +; Device ; EP2C20F484C7 ; +; JTAG usercode ; 0x001B207A ; +; Checksum ; 0x001B207A ; ++----------------+--------------------------------------------------------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.pof ; ++--------------------+----------------------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+----------------------------------------------------------------------------------+ +; Device ; EPCS16 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x1DD9CD2A ; +; Compression Ratio ; 3 ; ++--------------------+----------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 17:00:24 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_dec7748 -c YL_dec7748 +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4558 megabytes + Info: Processing ended: Sun May 03 17:00:27 2020 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/Exp28/output_files/YL_dec7748.done b/Exp28/output_files/YL_dec7748.done new file mode 100644 index 0000000..ce23195 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.done @@ -0,0 +1 @@ +Sun May 03 17:00:30 2020 diff --git a/Exp28/output_files/YL_dec7748.fit.rpt b/Exp28/output_files/YL_dec7748.fit.rpt new file mode 100644 index 0000000..9f48006 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.fit.rpt @@ -0,0 +1,1165 @@ +Fitter report for YL_dec7748 +Sun May 03 17:00:23 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. I/O Bank Usage + 14. All Package Pins + 15. Output Pin Default Load For Reported TCO + 16. Fitter Resource Utilization by Entity + 17. Delay Chain Summary + 18. Pad To Core Delay Chain Fanout + 19. Non-Global High Fan-Out Signals + 20. Other Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. Fitter Device Options + 26. Operating Settings and Conditions + 27. Fitter Messages + 28. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Sun May 03 17:00:23 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec7748 ; +; Top-level Entity Name ; YL_dec7748 ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 7 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 7 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 18,752 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 11 / 315 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C20F484C7 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 22 ( 0.00 % ) ; +; -- Achieved ; 0 / 22 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 19 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.pin. + + ++--------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------+ +; Total logic elements ; 7 / 18,752 ( < 1 % ) ; +; -- Combinational with no register ; 7 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 5 ; +; -- 3 input functions ; 2 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 7 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 19,649 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 18,752 ( 0 % ) ; +; -- I/O registers ; 0 / 897 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 1,172 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 11 / 315 ( 3 % ) ; +; -- Clock pins ; 0 / 8 ( 0 % ) ; +; ; ; +; Global signals ; 0 ; +; M4Ks ; 0 / 52 ( 0 % ) ; +; Total block memory bits ; 0 / 239,616 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global clocks ; 0 / 16 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out ; 7 ; +; Highest non-global fan-out ; 7 ; +; Total fan-out ; 33 ; +; Average fan-out ; 1.57 ; ++---------------------------------------------+----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 7 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 7 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 5 ; 0 ; +; -- 3 input functions ; 2 ; 0 ; +; -- <=2 input functions ; 0 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 7 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 18752 ( 0 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 1172 ( < 1 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 11 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 33 ; 0 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 4 ; 0 ; +; -- Output Ports ; 7 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; INPUT_A ; H12 ; 4 ; 31 ; 27 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_B ; AA10 ; 8 ; 22 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_C ; F12 ; 4 ; 31 ; 27 ; 2 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_D ; AB13 ; 7 ; 29 ; 0 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; OUTPUT_A ; B14 ; 4 ; 29 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_B ; AA13 ; 7 ; 29 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_C ; Y13 ; 7 ; 31 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_D ; AA11 ; 8 ; 24 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_E ; R11 ; 8 ; 20 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_F ; AB12 ; 7 ; 29 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_G ; T12 ; 7 ; 31 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 0 / 41 ( 0 % ) ; 3.3V ; -- ; +; 2 ; 2 / 33 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 3 / 40 ( 8 % ) ; 3.3V ; -- ; +; 5 ; 0 / 39 ( 0 % ) ; 3.3V ; -- ; +; 6 ; 1 / 36 ( 3 % ) ; 3.3V ; -- ; +; 7 ; 5 / 40 ( 13 % ) ; 3.3V ; -- ; +; 8 ; 3 / 43 ( 7 % ) ; 3.3V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 325 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A4 ; 324 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A5 ; 322 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A6 ; 320 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A7 ; 306 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A13 ; 281 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A17 ; 265 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A18 ; 251 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A19 ; 249 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A20 ; 247 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A21 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 82 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA4 ; 85 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA5 ; 89 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA6 ; 97 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA7 ; 103 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA8 ; 111 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA9 ; 114 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA10 ; 120 ; 8 ; INPUT_B ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AA11 ; 122 ; 8 ; OUTPUT_D ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AA12 ; 128 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA13 ; 130 ; 7 ; OUTPUT_B ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AA14 ; 136 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA15 ; 138 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA16 ; 140 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA17 ; 144 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA18 ; 153 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA19 ; 162 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA20 ; 164 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA22 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 83 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB4 ; 84 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB5 ; 88 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB6 ; 96 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB7 ; 102 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB8 ; 110 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB9 ; 113 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB10 ; 119 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB11 ; 121 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB12 ; 127 ; 7 ; OUTPUT_F ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AB13 ; 129 ; 7 ; INPUT_D ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AB14 ; 135 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB15 ; 137 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB16 ; 139 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB17 ; 143 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB18 ; 152 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB19 ; 161 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB20 ; 163 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 326 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B4 ; 323 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B5 ; 321 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B14 ; 278 ; 4 ; OUTPUT_A ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B18 ; 250 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B22 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C3 ; 1 ; 2 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C4 ; 0 ; 2 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C14 ; 260 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C16 ; 254 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C17 ; 245 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C18 ; 244 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C19 ; 238 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C20 ; 239 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C21 ; 236 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C22 ; 237 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D1 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D2 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D5 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D6 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D7 ; 311 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D14 ; 267 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D15 ; 259 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D16 ; 255 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D17 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D19 ; 240 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D20 ; 241 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D21 ; 229 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D22 ; 230 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E1 ; 20 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E2 ; 21 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E5 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E6 ; ; ; VCCA_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E7 ; 316 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E14 ; 266 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E15 ; 256 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E18 ; 243 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E19 ; 242 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E20 ; 234 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E21 ; 227 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E22 ; 228 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F1 ; 22 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F2 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F3 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F4 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; F5 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F12 ; 276 ; 4 ; INPUT_C ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; F13 ; 269 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F14 ; 268 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F15 ; 262 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F18 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; F19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F20 ; 235 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F21 ; 223 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F22 ; 224 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G1 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G2 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G3 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G5 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G7 ; 317 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G8 ; 313 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G11 ; 291 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G12 ; 277 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G14 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G15 ; 261 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G16 ; 252 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G17 ; 231 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G18 ; 232 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G19 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G20 ; 233 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; G21 ; 221 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G22 ; 222 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H1 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H2 ; 25 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H3 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; H4 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H5 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H6 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H12 ; 274 ; 4 ; INPUT_A ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; H13 ; 263 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H14 ; 257 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H15 ; 253 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J1 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J2 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J3 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J4 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J7 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J9 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; 258 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; K2 ; 32 ; 2 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 36 ; 2 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; K5 ; 31 ; 2 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; K6 ; 33 ; 2 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; K7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K20 ; 215 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; K21 ; 209 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K22 ; 210 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L1 ; 38 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L2 ; 39 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L3 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L4 ; 40 ; 2 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; L5 ; 34 ; 2 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L6 ; 35 ; 2 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; L7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L8 ; 26 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L21 ; 205 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L22 ; 206 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M1 ; 41 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M2 ; 42 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M5 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M6 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M17 ; 198 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 202 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M19 ; 201 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M20 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M21 ; 203 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 204 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; N1 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N2 ; 46 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N3 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N4 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N6 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; 194 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N17 ; 197 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; N18 ; 196 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; 195 ; 6 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; N21 ; 199 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N22 ; 200 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P1 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P2 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P3 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; P4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 55 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P6 ; 56 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P8 ; 95 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P9 ; 94 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P15 ; 193 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P16 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P17 ; 186 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P18 ; 187 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P19 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P20 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R1 ; 57 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R2 ; 58 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R5 ; 63 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R6 ; 64 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R7 ; 54 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R8 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R9 ; 109 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R10 ; 108 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R11 ; 116 ; 8 ; OUTPUT_E ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; R12 ; 134 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R13 ; 145 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R14 ; 150 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R15 ; 151 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R16 ; 155 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R17 ; 177 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R18 ; 184 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R19 ; 185 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R20 ; 192 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; R21 ; 190 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R22 ; 191 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T1 ; 59 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T2 ; 60 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T3 ; 69 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T5 ; 67 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T6 ; 68 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T7 ; 91 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T8 ; 90 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; 115 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T12 ; 131 ; 7 ; OUTPUT_G ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; T13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T15 ; 147 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T16 ; 156 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T17 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; T18 ; 171 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T19 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 188 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T22 ; 189 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U1 ; 61 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U2 ; 62 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U3 ; 70 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U4 ; 80 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U8 ; 92 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U9 ; 106 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U10 ; 107 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U11 ; 123 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U12 ; 124 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U13 ; 132 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U14 ; 146 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U15 ; 157 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U16 ; ; ; VCCA_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U18 ; 170 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U19 ; 172 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U20 ; 176 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U21 ; 182 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U22 ; 183 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V1 ; 65 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V2 ; 66 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V4 ; 81 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V8 ; 98 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V9 ; 101 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V10 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V11 ; 118 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V12 ; 126 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; V13 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V14 ; 142 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V15 ; 158 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V16 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V18 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; 166 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V20 ; 173 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V21 ; 180 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V22 ; 181 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W1 ; 71 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W2 ; 72 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W3 ; 75 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W4 ; 76 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W5 ; 79 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W6 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W7 ; 99 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W8 ; 100 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W9 ; 105 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W11 ; 117 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W12 ; 125 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; 141 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W15 ; 149 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W16 ; 160 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W17 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; 167 ; 6 ; ~LVDS91p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; W21 ; 174 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W22 ; 175 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y1 ; 73 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y2 ; 74 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y3 ; 77 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y4 ; 78 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y5 ; 86 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y6 ; 87 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y7 ; 93 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y9 ; 104 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y10 ; 112 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y13 ; 133 ; 7 ; OUTPUT_C ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; Y14 ; 148 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 154 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y17 ; 159 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y18 ; 165 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y19 ; 168 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y20 ; 169 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y21 ; 178 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y22 ; 179 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------+--------------+ +; |YL_dec7748 ; 7 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; 7 (0) ; 0 (0) ; 0 (0) ; |YL_dec7748 ; work ; +; |7448:inst| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; |YL_dec7748|7448:inst ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; OUTPUT_A ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_B ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_C ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_D ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_E ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_F ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_G ; Output ; -- ; -- ; -- ; -- ; +; INPUT_B ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_D ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_C ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_A ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; ++----------+----------+---------------+---------------+-----------------------+-----+ + + ++-----------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------+-------------------+---------+ +; INPUT_B ; ; ; +; - 7448:inst|69~0 ; 0 ; 6 ; +; - 7448:inst|68~0 ; 0 ; 6 ; +; - 7448:inst|70 ; 0 ; 6 ; +; - 7448:inst|67~0 ; 0 ; 6 ; +; - 7448:inst|71 ; 0 ; 6 ; +; - 7448:inst|66~0 ; 0 ; 6 ; +; - 7448:inst|72 ; 0 ; 6 ; +; INPUT_D ; ; ; +; - 7448:inst|69~0 ; 0 ; 6 ; +; - 7448:inst|68~0 ; 0 ; 6 ; +; - 7448:inst|70 ; 0 ; 6 ; +; - 7448:inst|66~0 ; 0 ; 6 ; +; - 7448:inst|72 ; 0 ; 6 ; +; INPUT_C ; ; ; +; - 7448:inst|69~0 ; 0 ; 6 ; +; - 7448:inst|68~0 ; 0 ; 6 ; +; - 7448:inst|70 ; 0 ; 6 ; +; - 7448:inst|67~0 ; 0 ; 6 ; +; - 7448:inst|71 ; 0 ; 6 ; +; - 7448:inst|66~0 ; 0 ; 6 ; +; - 7448:inst|72 ; 0 ; 6 ; +; INPUT_A ; ; ; +; - 7448:inst|69~0 ; 0 ; 6 ; +; - 7448:inst|68~0 ; 0 ; 6 ; +; - 7448:inst|70 ; 0 ; 6 ; +; - 7448:inst|67~0 ; 0 ; 6 ; +; - 7448:inst|71 ; 0 ; 6 ; +; - 7448:inst|66~0 ; 0 ; 6 ; +; - 7448:inst|72 ; 0 ; 6 ; ++-----------------------+-------------------+---------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------+----------------+ +; Name ; Fan-Out ; ++----------------+----------------+ +; INPUT_A ; 7 ; +; INPUT_C ; 7 ; +; INPUT_B ; 7 ; +; INPUT_D ; 5 ; +; 7448:inst|72 ; 1 ; +; 7448:inst|66~0 ; 1 ; +; 7448:inst|71 ; 1 ; +; 7448:inst|67~0 ; 1 ; +; 7448:inst|70 ; 1 ; +; 7448:inst|68~0 ; 1 ; +; 7448:inst|69~0 ; 1 ; ++----------------+----------------+ + + ++-----------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+-----------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+-----------------------+ +; Block interconnects ; 11 / 54,004 ( < 1 % ) ; +; C16 interconnects ; 4 / 2,100 ( < 1 % ) ; +; C4 interconnects ; 16 / 36,000 ( < 1 % ) ; +; Global clocks ; 0 / 16 ( 0 % ) ; +; Local interconnects ; 0 / 18,752 ( 0 % ) ; +; R24 interconnects ; 0 / 1,900 ( 0 % ) ; +; R4 interconnects ; 8 / 46,920 ( < 1 % ) ; ++-----------------------------+-----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 7.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 7.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 7.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP2C20F484C7 for design "YL_dec7748" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP2C15AF484C7 is compatible + Info (176445): Device EP2C35F484C7 is compatible + Info (176445): Device EP2C50F484C7 is compatible +Info (169124): Fitter converted 3 user pins into dedicated programming pins + Info (169125): Pin ~ASDO~ is reserved at location C4 + Info (169125): Pin ~nCSO~ is reserved at location C3 + Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20 +Critical Warning (169085): No exact pin location assignment(s) for 11 pins of 11 total pins + Info (169086): Pin OUTPUT_A not assigned to an exact location on the device + Info (169086): Pin OUTPUT_B not assigned to an exact location on the device + Info (169086): Pin OUTPUT_C not assigned to an exact location on the device + Info (169086): Pin OUTPUT_D not assigned to an exact location on the device + Info (169086): Pin OUTPUT_E not assigned to an exact location on the device + Info (169086): Pin OUTPUT_F not assigned to an exact location on the device + Info (169086): Pin OUTPUT_G not assigned to an exact location on the device + Info (169086): Pin INPUT_B not assigned to an exact location on the device + Info (169086): Pin INPUT_D not assigned to an exact location on the device + Info (169086): Pin INPUT_C not assigned to an exact location on the device + Info (169086): Pin INPUT_A not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_dec7748.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 11 (unused VREF, 3.3V VCCIO, 4 input, 7 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X25_Y0 to location X37_Y13 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (11888): Total time spent on timing analysis during the Fitter is 0.22 seconds. +Info (306004): Started post-fitting delay annotation +Warning (306006): Found 7 output pins without output pin load capacitance assignment + Info (306007): Pin "OUTPUT_A" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_B" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_C" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_D" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_E" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_F" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_G" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info (306005): Delay annotation completed successfully +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 +Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info (144001): Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 7 warnings + Info: Peak virtual memory: 4848 megabytes + Info: Processing ended: Sun May 03 17:00:23 2020 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:06 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/output_files/YL_dec7748.fit.smsg. + + diff --git a/Exp28/output_files/YL_dec7748.fit.smsg b/Exp28/output_files/YL_dec7748.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/Exp28/output_files/YL_dec7748.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/Exp28/output_files/YL_dec7748.fit.summary b/Exp28/output_files/YL_dec7748.fit.summary new file mode 100644 index 0000000..5b3da90 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Sun May 03 17:00:23 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_dec7748 +Top-level Entity Name : YL_dec7748 +Family : Cyclone II +Device : EP2C20F484C7 +Timing Models : Final +Total logic elements : 7 / 18,752 ( < 1 % ) + Total combinational functions : 7 / 18,752 ( < 1 % ) + Dedicated logic registers : 0 / 18,752 ( 0 % ) +Total registers : 0 +Total pins : 11 / 315 ( 3 % ) +Total virtual pins : 0 +Total memory bits : 0 / 239,616 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 52 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/Exp28/output_files/YL_dec7748.flow.rpt b/Exp28/output_files/YL_dec7748.flow.rpt new file mode 100644 index 0000000..9489ce6 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.flow.rpt @@ -0,0 +1,122 @@ +Flow report for YL_dec7748 +Sun May 03 17:00:29 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Sun May 03 17:00:27 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec7748 ; +; Top-level Entity Name ; YL_dec7748 ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 7 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 7 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 18,752 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 11 / 315 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 05/03/2020 17:00:14 ; +; Main task ; Compilation ; +; Revision Name ; YL_dec7748 ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 345052807169.158849641411336 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4593 MB ; 00:00:01 ; +; Fitter ; 00:00:07 ; 1.0 ; 4848 MB ; 00:00:06 ; +; Assembler ; 00:00:03 ; 1.0 ; 4558 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4541 MB ; 00:00:01 ; +; Total ; 00:00:13 ; -- ; -- ; 00:00:10 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_dec7748 -c YL_dec7748 +quartus_fit --read_settings_files=off --write_settings_files=off YL_dec7748 -c YL_dec7748 +quartus_asm --read_settings_files=off --write_settings_files=off YL_dec7748 -c YL_dec7748 +quartus_sta YL_dec7748 -c YL_dec7748 + + + diff --git a/Exp28/output_files/YL_dec7748.jdi b/Exp28/output_files/YL_dec7748.jdi new file mode 100644 index 0000000..ba81ec7 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/Exp28/output_files/YL_dec7748.map.rpt b/Exp28/output_files/YL_dec7748.map.rpt new file mode 100644 index 0000000..58f47b8 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.map.rpt @@ -0,0 +1,258 @@ +Analysis & Synthesis report for YL_dec7748 +Sun May 03 17:00:15 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Elapsed Time Per Partition + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun May 03 17:00:15 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec7748 ; +; Top-level Entity Name ; YL_dec7748 ; +; Family ; Cyclone II ; +; Total logic elements ; 7 ; +; Total combinational functions ; 7 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 11 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_dec7748 ; YL_dec7748 ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+---------+ +; YL_dec7748.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_dec7748.bdf ; ; +; 7448.bdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/others/maxplus2/7448.bdf ; ; ++----------------------------------+-----------------+------------------------------------+----------------------------------------------------------------+---------+ + + ++-------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------+ +; Resource ; Usage ; ++---------------------------------------------+---------+ +; Estimated Total logic elements ; 7 ; +; ; ; +; Total combinational functions ; 7 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 5 ; +; -- 3 input functions ; 2 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 7 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 11 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; INPUT_B ; +; Maximum fan-out ; 7 ; +; Total fan-out ; 33 ; +; Average fan-out ; 1.83 ; ++---------------------------------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-----------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-----------------------+--------------+ +; |YL_dec7748 ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 11 ; 0 ; |YL_dec7748 ; work ; +; |7448:inst| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_dec7748|7448:inst ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+-----------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 17:00:13 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_dec7748 -c YL_dec7748 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_dec7748.bdf + Info (12023): Found entity 1: YL_dec7748 +Info (12127): Elaborating entity "YL_dec7748" for the top level hierarchy +Info (12128): Elaborating entity "7448" for hierarchy "7448:inst" +Info (12130): Elaborated megafunction instantiation "7448:inst" +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 18 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 4 input pins + Info (21059): Implemented 7 output pins + Info (21061): Implemented 7 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4604 megabytes + Info: Processing ended: Sun May 03 17:00:15 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/Exp28/output_files/YL_dec7748.map.summary b/Exp28/output_files/YL_dec7748.map.summary new file mode 100644 index 0000000..f5fd4aa --- /dev/null +++ b/Exp28/output_files/YL_dec7748.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Sun May 03 17:00:15 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_dec7748 +Top-level Entity Name : YL_dec7748 +Family : Cyclone II +Total logic elements : 7 + Total combinational functions : 7 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 11 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/Exp28/output_files/YL_dec7748.pin b/Exp28/output_files/YL_dec7748.pin new file mode 100644 index 0000000..2eeff8b --- /dev/null +++ b/Exp28/output_files/YL_dec7748.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_dec7748" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +INPUT_B : AA10 : input : 3.3-V LVTTL : : 8 : N +OUTPUT_D : AA11 : output : 3.3-V LVTTL : : 8 : N +GND* : AA12 : : : : 7 : +OUTPUT_B : AA13 : output : 3.3-V LVTTL : : 7 : N +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +OUTPUT_F : AB12 : output : 3.3-V LVTTL : : 7 : N +INPUT_D : AB13 : input : 3.3-V LVTTL : : 7 : N +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +OUTPUT_A : B14 : output : 3.3-V LVTTL : : 4 : N +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 2 : +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +GND* : C14 : : : : 4 : +GND : C15 : gnd : : : : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +GND* : D1 : : : : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 2 : +GND* : D4 : : : : 2 : +GND* : D5 : : : : 2 : +GND* : D6 : : : : 2 : +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +GND* : D14 : : : : 4 : +GND* : D15 : : : : 4 : +GND* : D16 : : : : 4 : +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +GND* : D20 : : : : 5 : +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +GND* : E14 : : : : 4 : +GND* : E15 : : : : 4 : +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +INPUT_C : F12 : input : 3.3-V LVTTL : : 4 : N +GND* : F13 : : : : 4 : +GND* : F14 : : : : 4 : +GND* : F15 : : : : 4 : +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +GND* : G3 : : : : 2 : +GND : G4 : gnd : : : : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +GND* : G15 : : : : 4 : +GND* : G16 : : : : 4 : +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +INPUT_A : H12 : input : 3.3-V LVTTL : : 4 : N +GND* : H13 : : : : 4 : +GND* : H14 : : : : 4 : +GND* : H15 : : : : 4 : +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +GND* : J14 : : : : 4 : +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND+ : L1 : : : : 2 : +GND+ : L2 : : : : 2 : +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +GND* : L8 : : : : 2 : +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +GND+ : L21 : : : : 5 : +GND+ : L22 : : : : 5 : +GND+ : M1 : : : : 1 : +GND+ : M2 : : : : 1 : +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +GND+ : M22 : : : : 6 : +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +GND* : P5 : : : : 1 : +GND* : P6 : : : : 1 : +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +GND* : P18 : : : : 6 : +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +GND* : R1 : : : : 1 : +GND* : R2 : : : : 1 : +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +GND* : R9 : : : : 8 : +GND* : R10 : : : : 8 : +OUTPUT_E : R11 : output : 3.3-V LVTTL : : 8 : N +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : T1 : : : : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +OUTPUT_G : T12 : output : 3.3-V LVTTL : : 7 : N +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +GND* : V9 : : : : 8 : +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +OUTPUT_C : Y13 : output : 3.3-V LVTTL : : 7 : N +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/Exp28/output_files/YL_dec7748.pof b/Exp28/output_files/YL_dec7748.pof new file mode 100644 index 0000000000000000000000000000000000000000..96f89a55355c765e0f24bbd181dab1b5b03b6668 GIT binary patch literal 2097373 zcmeI*50qSWogn(^w#RAEb<>s3Y$wRlT`6MoC$#wk$^t`or-D@>F@Tvj%$eEM@aJe2 z9eCnaD-s()H$)_M)b?^P%TlaqI-rxP+-~IjW@4C&`Boc|X#BUb|M3yl6PLR zs(1L0wte`P-qmYX_rCM8fmN#qR=;!An%?)_`qBSw+s(K3Ubpq;+j>{^zHdYC@7{V- z@A_M|eLT#WXfJ%#`s>!cZ`EaqHzm#r-}pO!`0;HY|M0E1B$g*S!r(i?zplhv6TRX6 z#H+0>ajfL^ufKon(}{PlNhaogn3J|-)|tUYzmxf$_3vAA>HFSw>F>Vd*56%y>HAXW z-;g^0eaplDSN;E=S-j->uG8rMuC{58)9}4#*@Ion`l29j-wejfq z_~z`Z_uY5x(!vt+J0HIPfiD+6hxa|v{})8N&GAl!WVA8;H6}8d02xNfBuJm z__GzieCVO&XXkhRr2pvH*gN{qPqrs64xa|1!NQ;AonijW`YoCD-O)}rcQMDu9KGwV z4;JSBaQJllho=AB9L;_F_4I2`KmFIQy?*%cv8!HMym(Dmfv1n&efMAgp%Fx6Vr3weKeoH_u->Ecm5xRxxW%VeKi^^{P|MJ z_rCc0fBmmNTX|x`3u`iaOyToCL`kAQFZ`3~RZh%FId{xF&%5!JOlET?{8<-iH~;;= z{^?JDcFB=cYI*zg(q@f$G5Y+|=|3;LEqr5k!~V>Mbm6-Tzs@iJ(|`Keh12twj(IVf z`ah=sym0mjx58RKedOYczgg}}Pi#~}t8w&~U;XN_e{p2_@-@*Cqt%!_=Cx?*FQ@;! zJiQtxHu$wD8@u}DHEY(qsmz$d)R~O#<$qfI)0=m;!0BOiY~bfN-8Asyu-DD(aMMT1 z?3F#fo6R0`daS-(>@+wWzxeXym+#&F>!18&``Zec)f;d(8Nc(!{GC0~X>iUK&K)z) zheosCJ%96WeC?^HHh=QiFMjdq=`+BbF@>q0j*>)wKGy6RVEoSK@-OxDd^U>m9{>4m zw>|OWUwrCQFNDj63O~c&9DDus!{@!cYSrR5B?_MpM zA3yf1Up;Z&uO4}1@mpfA;2m3{NGINFa?4P>8Kb%0vp)X38<)gi>9E1QZGFA%y?x#7 zsjkFuBKp&p=sG@Jm^74J6ebPzcJwB@(ji%IXL7i&H`S94^Bw=&9!*U3bc7klqPfS0 z649iN;qzDB7Jsu&B}sQ!t>|Cb*BzIiJkZJ}2v^zBmG<#hPa@IV7X2T+qPNMb*A2J# zCwj8!k>R$Hj_6OOeX#BLaL-_S|KNCAm^9RpP4stX!sx`?JEMsQM>EmXeBtk4N496# z=vcO6*{ywv{Ib5$O#4W-BTQblZ73|Rf9iujj=rz*YxXM6N%h6WwYG51EHfj{#6x6e z;~V|3Q1gUFw{s@F4W6N>aEo zd+6L1FP|*btew0#MN4y!-caBd;;fEB{52K=)MzlZD2jmV9r zFSj~eG9(iHsm!|ep=z^D_I$lF(OLm~R|xrg^Zlb;!_jx7`iG(~sW8Lct3Mnj#(ceJeLm)# ziNrHoS07$_AUS>{IW(0VN)2?S!>0?MOYWa|`;St&ze{cFzGPzfl8MDnO`May_|>8G z#V-w|?>aJ+o;WYFdg9#lMY-tT#v>C$nSrJ0@U||@e(l)Gp=&4J{z`hHdvjR8=F|n@ z(tG2c76#jno%81T zI2?H1n!fmo6k=4 z7ZxMMsD=6WQL5?hv7lbna~Xt@ge!qW=TKQG8lqhN=E0 z!n}=3(s9qViNTjv9PYj(IebZS@l$icp^2pjChqIIDA#{78fsk>H!BXRv=YOcCN>kvKOVb=5O#lvKQH{&&?SdL2pi(p-PinGh#fCJ9)12*h~r-9 ze`#pN7eWwQ6n_3idf@kN87_@KzZ2rP5W=pG!q}MrbbpA1*WQyn@0Ob`C<%kNhERC@ ziV(;a#leT7SU5znyQ4^UCJ?T)Di>`F3(2f_^h6+g@BbZ2&r@O73KtcLu&*V;9g%1k z47+%=2i7}s@}IAYQpbC}XZ=X*U9ao4E4I$Z;h6aJJK~3VEj_!$GfyNIY{qVb>lA3= zFC05qDHIvhxqW4lH5)XPS!7+K3e@wGjW&73Ti4o_Nwi?Iu>MpbhKf~WSFNP)?p2?i zkMfViz)fd|V!P;!+Gwd=r5Psszu8PU-&{%ipY>fd6k?%{IpNSmD9Q_=&;>s_84aDX zvbZ{;xS2Sp!b)6}%UqO8U6fmQAQS~2z2#q>2w#7kI$o3)O7w2(KPNqLPI~$O8wwEb z>$@ViG<@sS@iN3yToAW-KFS0y2n)G36f7POWb>E)DqKAjj{ADcq05$7|9ul-UMOZ6 zE0gooHL7r{Vx({sjWkmnnfTsvrE}Esy%YSX6&^$t$Yd_T;8Sp*yeWW z=86o;uTec?TUuf}FWG35SG@Hgl}*#!?;YLwiT7&uT4Z0Uko)h8Rb*GCq;IO{v-45@ zk+^vEe+=PbD#B^7)UM(T_a2NN=7mgfEZz?l<_ab4n~vYz96E7lb5=OCCluv{620-0 z(NNqws`C)VoxC?%X(cXrHvQ%F#V77*-txldkB6^!g~Gp*yV2hnisIK$5_j@;^r397KcEm z`CKU66p3A$mzetLgT)w)47D^qZe&GgrwzZhx_PrfYJXNYuTViy-8^;kY9A~mDFiMh zAk_vHs#Vh|oz~L0rF3QL9B#J6K;67Lp^K8Ko2PDG(GF2|K;66(%{B?y)|8%c~NKdERoWtwxi`LKF>}1 zH>;*3{b`EM&nSouQ(QPiY7|4Z|v$<<0z8G2y+;_a??B&_e>>#&k;!i{4+gxaTn+uI^bLok_ zq4DjX722N;>zhtgr~XK%rwy_o|KJexbRI~$tN&TPW|fjv9vDZPO)yCt{`Crep~ANVaN^F!P2+r0XZv!RjfOuYJ6A>0e?b#tM; zZb`rzwUXTwn%WjetcODI{J=G@rgwiPYOp&UIqwhAb7-);H#FES4V}ZvJRer3BzA6e zWj+*EW@A{HY>48s*N*M|NC<$Y9}`vQPF;5YpIo^nemEG;JF!a=PSo=|@uaI}HX$)} z>>r8`l}3gH8VzVQ8E7={O(jVe>gldzGwL5~-3B*T=ny{~Z0Wu=FHu7?g@ebhj_cn) zic_{UlrCPC`iG(){NywB;uQdfXZTf|aq^qreCy1k%I21XG*I3!b=f81Y&-pYy#~tw z!)bTC{47sj(L^}dY`wqfSSj;LGL-C_A$>i>zr<0*rFL_!N>xGq>k21y&g)+vCp^PybBKd6kp673V+x(P+hb!9p58%?2 zA(V<;lyIVT?|c;Hzjk#K;b7~|yHUAlyw`%w{o`$J=#pT8c1;m?dt14|XJ-4}`M66F zZnQLcbsTqpX=x}Occr5X@sp3`i&p>`TKQI-aq62-&rgv_;=^k$31{0A&*y8j3^0V9 z6=wPC+u~n5g&Z;VskhMBcRE&jHvLF0im)n1Su;04PxrgY*-aClOu z9gNj>Sk=M0v$9zUqwaiF9c)R9U{wca?T52Isye7ta@Oup{i9OJ>ORF&n~R-Q9jxQQ zBW0h3pz2`pepq8j)j?GUqn>Z)>p?WC4n_yua8pg_Lu0raM{SkKZ;Yyg>E8Z{v611i ze0%6gpue!Wz3n66zrtXDsG?cu&?rn8N@T)+i#i6we}#c4abd8#ZFs0FyJh5+HUCd~ zXkXv(`Q4pe%Tim0N59sWzHvO+-FIQ(?V|BN=}9d~?k~Kp9xo*9?|HD0{+!{l_5DL# z4;9`X8SdQCcSGOMhQiyr&cd?B>Rx0>|LlQxfByWAo(nTKj1P`JIGkSJ`Syp#GdtRr zj1}I_9UdEBHhleXHhTN+aAzT5zPs=?*|#BkU(a=Y{n6Vqed+AOeck7e7v5Hmk3`GL zXX{>Ml>Uhu&l>3rPb6yiHsoMiqC3$w*|+;Q3O`GnKWAY1p6iUdca$^kI^&t^FMq0T zojD1cyU>WgUltDHRzF8$uT+;mfKSPqjOnZ2LGP+31IsM$(P0?1}Wzv88rrOuW!nZF4tTrXQm1 z!H^ydnVjidM(s|uJL}Jpgp&1Jweo2`9rN2KJDmq)`E1Dzz@z9af|_y*4|#t1I+iGd4DnT;7!oUcIT+>7nSM*TcixyL*;) zwIzD{`!86P?CT5T>X>nA@h_r<6qX;BF*+J8J}jcIu=KEm!oqvg-90^F+2MK9E zO}{h!C+Q1^pUpg!xn@g#`r?B8p|KiQFH5>YTCSKV$1XPsx3_LQ6w!fi)g?ewMp^coK*apwmsPS3QTmxwK zWV!Tgjar}O(zS$UO_yoS!l?C8rd3O5)^wTHER32TWm+|fP9~6PowTU=Nv2hk=wt$! z)=7)npJZCKjb>8Fv}P!3e~@X_HkwHx)0&~EQW8ktrDSTd~!uw+^dV1-Ul8cAK8)-hb{QFX{MT$QJIqGPz&qxz6zxVlf# zRGC&0NA-b1rq$}^$B8pC>(ujf^noBQ#K6hZ^*`d(g^v3W{&(mRd$qsgg zdfbWpNLy48dbjybZu3Li?%TZjkN;5{G3$A{N+;ENp01c{ z%$=Y}a;{0`F^VKB+sv7A?&sHq7Qr!JEe)QlWG?l0-qp;Lc)OojAD^zJhje%lClf)BObtZbp3JvKK!`adCs2zQ8zJIjPj6RX- zFSMfX9q-Qeghup$~U@CDvuiVB1&!Sx0_ewjuEcYqQbn#9EKU)UHCQYP5jHUo9=tXnb{#&vD9P zoLY}&JN&b^#a-tb&vvQt(@%Zs-^BjnXfKaFb2GVi3(xXO2z4-1_defjcA|u^ZtCKM zN(d*u_)2=>zRjs^Q3+o*`nPG~v!RqPo4)v^Z2F#qU;qBlkz}}$oZJ@vZCde4GPmwX zGI#CR%G|ZltcSusC4}LjR7Jh3Hgj1Us%6#+0*d$H*+X5gU9mOv-4ZSjo9!bfp@eWY zY>m$?3^dNT$m$H+U?qe#j=jp07j6k*eA@E0i2Q zeZ}{y3ssxiOc)*x)4w?pDdjQ)yV4;@DhiZ}Vyx*qsUgP7rSCeDOP6*UvM2M(L@rf& zA2s)CdSX8N60ErzJHl#YQv*A~?cC8v(?<{9HvK&AZJSe%Y&w(`v>+sy7p)?ciY6ua4)!M8-AXi_(6J8*!Y9Vy_uW(_hwR2^~Kj)Qp~tL ztjxE=%G?`P=HAQ?@4xED4c8Mae^7)PFV%Lss?(Z@D+;;aywuq_9lI{69V1U&`khL) zwP&9EgKx$!Xj`B~R%cjX)xtu_8fC4OcH1ys=Owj|-Aa6gi{nZYYmRRwIB0HH8;^Ut zIFb0|Z=bhtdM`pZw|Ea$}uW|-hdCKv9ebJNuW$~NGY7`IVOA9l@ z#a72?I2aSXohQ3sNqlX6IQU||nu%&#)@r@${p-()xxL}EeeQkh;&tH6)&f4Lb#UCk@l}+x={@HEdt;Xpo`3Y4zfwvVU6*8{{ig0( zw5=*7jN++A*R}j+y>|6K6dfrI4Ycm+I)16w!aeUc^wXS7Y=ci))c(}mE*-!AVB*ui z4i{@NUoHKK=OrHs4{NA(9bDOF@~4aA2a_$mXvtUJsH#&YAKQI);=-}#GKKTihK|o= zuiG`)me`lyx9gcyTRP0!Y~{jp{=@uqc{upwyIy!p{DQ!WWrs^%`s7_ziKWfO z8?XFAwd1b(wB}B|P26w!)Su_$m+fA81BkU zYuyfW?*4(%r_uwvGNHLeYG7CK(^QIT&^?=eEO)T90^MnNYq|I_gty-_^Jtx)r<0)y zVCl{A)>Hx9JwNpkKeRdZ*v3QY=_WMK-~6`uDPe2&F@$AviF!sx=bh8JB4Hiz(c_o@ zMLke@exfdBQLMBh(z*?D?+d7gS2nbc9oB!8OJ=v%!Neeg3H$ zi?1@drCU5NIZ-pWTe`L^)Mxfo`AMrzihl2Aivbh+GSB2YmYfxy1GBSp*;y--gP{V^ zzSJ{O!Q<37pYH9fQ7@^!LWjxZ_r9Dz1%-rD7k#RZvrGlVk$3%hg(oGIR=#E_NmypErQuzf z^{H!=4X%sncp|@W16VE1Ng7zsqNIM|B97_Pqx{_59i5Rpy^w)JS2m$LCLs zJlXxRRGDp>PJHq`ADXM59Gd?0)z3Ay?bGu`?@gX}E9{Kn2rN1XdppO<{b-MOziIZm zH1xTdcshF0digIaUGeb9#m+K&?$`GIX8HN+7#qaPS%f+B(QEb(JXUzkUFIE^j)f=R zw?~gLNDMFPtgkh7*`zOC{*4MNQ?lJ9Kj?{d>Ymzv`|K5-wW>QikN`cc_g!;)RCs9TU61bX|HgP&c$FR(2G{(4l{y|fAI^sr-k-lG zA8OWzT%xCtaqW9}XLf&~y6BunVwtwY*M53-tF`|rLoU(&n6|M~F4*XHjT zkK`(Jy)b$0`UdUSK{D5IM4B7we-)mRH3GtM|n^3~r*>iDe^kH71G6t7DoLuc6SlI}$J?`9kEDNftc()9YWq;#~#m6M*C z6`tbeDA)b3;ix|4C65<&&cjv0h6;8eRGIN&=s7lgJJ$^;Wm<9$5-(1hPlw0QJ568d2 zt{B4Cvocfvwuz{)rEyL<#(KuRXMMTdqnBbv6J zkL+kNJUy+Ry{hb1bx%F9wd{ntMmD?sWwV-kzWIw+e7T-vWz!VeO`Q6ckz?7Y0H90> zNf=viVM=0Z|8Tgw9kZv^a6Yck#lpG&?&kQBVKa9ILbiBDl^eeC8%EAk7p#MA@Bc6H z>q$g6oO)I8{Bx?2~ zUuKbYjSAq6^k~exY({nk~y$K-iMbQ{UiEPK#ZXP`E=p+@LvOs^~*bz-L6$pb_OZTn$ zh#D4f{lV#7w$b-yuUbxh?ZJxe5*sORxINq}?j1{Jq8sBCS`k;SBUo{k$;YFHkuhJ* zmg4{I8@ub(^wER2P2UdRwmJ34rbFqHX9XYHn>p~nv$-R?v!R@H=3&A62lhO=_GmJ9 z+r-M;=2X$sg6F40gs?J?g_U_VS9o~wT}N{1&|}5wMptHgSeb99H%&h@`2MRxqk{Rm zqK&yj79P>jlWE?kX&{_UIOdlCjJC z6XCgOwYRKNiWYA1{AH!_L+S8*!%8x>$G0?+uDyC?Q$&ZBnuBF&>KLi!;I~S`HN7fC z7c0FTqxJO_QZ{LHL0iYpS3XGyEMjMWY7Q1kcFV)o8oN+)|61Esak>qzNHcdrb8(fL zgW*AoZG91IgC&M)4$j>dtBi>*OVu2#0#tR9nuDQyZTal3UyJ)(EqWy38^3AsY0~Fv z?2?4jr{>_IvHWnVGu1biO7w^O@6kaRo{*dh|3!nn?S+BDp_xf6>R8k<*pV0t|3w4Q z*uvoYwrr-mxBEBVyXNb^KRoi_aC&`bch7~H8^$O5He~PXxvsB2dV8iXoqf2k`~303 z+sg4m!hCkLkpArtjc0bWEg37kojW`>zHIpV;cWEw-Qmu{vhsB=GD?5sv!727?duyp zzq_+*S!&Dh=-2wvH;yN}`z|cJT{QkDJ*g$h{e`#HG&AY18AS7K3HcjCN>->8(J=J+$Q zc&{c(#RS6ciZpX4G#7R^)gU)^wyW*8w%>F2AhDxH@^gYkttOPeYLJHMGwf&xxSyUMzvZ{hpI;q*yUFq`b9d5SV`80W` z!iDYc8IJCA?91Gd?fiUOc(T{d&Ij7w(HC`owJ&u?x+AL8vZ3QMm6AdqdrR*~ zwnz8U>zMIJAA4(+KBgLI%Q3Yww0CUq-hJ81QH3_b_5Q+h(uL!4Wb9x%lL=F@*}F5@ z+)!K8li!Xflj(FA*WbT!IBIS(GX7L{{J!q)sME#!doCUt4wm)Ic;%{JL<=b_e`Gw9 z&4$H?MfCI(mOe68Sa|s6@$qQc;fqHLi>@`x)t`x9A*|WGe2!tgBU*S^-?!nG?mOR| zU9xNGvg`X+PE00ueyD$0-|wX^>bvlU;Z5nu^x*zfYW<_>{h9U8Zt%;QYp&0K zcKp1a`l1!QLGOaQwl}5?5D#?@^FViZgQem7-s{%~9(K4-aDiy}b zv?{=q9WB!;%Tj)%Osl+0*|BF_rq%ua+*`{^)HAZBxz@Z?$t=`WtY=fpq**j{=~HD! z*EwpT=3$;7S?3QtCz&TmdV=ID6WMh~lG$s=_I^a))6v6y3f^9%s4_x8R|ThZ z(is=fHQQ@NGyP?R))X;cO-GW2d}JPa>T0rkg_xVaaQn#e1j)ERx%S7}A8UV{9qbIP z;}ZFiwx~^>_Q#FqCJVJ7q46y}F^3IqfUcQBhs39U9h$Vpd^H`>#r)pF!+YkdY%n|} zHRfybr;Fpa<6W>9ExMkLySr=|YU&}Px}N^xE9nQWc{RQJGtu)Ar=N|uKRh3C?LEo8 zx7>6==mN0l;fQ~c9(ewi;ekDC(*wI6O+PpBXgVsL{7!E3L)-4#y!wx`FQq4TKbw9m zcQAAw_*dcI3;i$UR(v6JCRp^)^KBcCOl(^5%0w=d>gBE-Tba9dBCN=v23KZBSeb8y zmDv$iX7thY(dR>7gY$Jk8*_&Yv*~&|zMV3<2J9B>>8^ooEK1tK4UXMml&+`OoE`fV zUFcq+XcuT?V4-FvBdOuF>F9Aq+2Qf+nXa?C5+nWjzTE0$^thsC3l6Wm^6B|`Tv0;T z)6G3adL~RdnB1GWsef-KRa&r@%M9#EA1MivChpsus{diuJ2K(cX=)}y()Dz7^R&^+ z+{3HmUz3LG`6lm;=z6-5On)ZrLe2eWHlWcDHn;(?CPT^C5sjWp^jy-wZW@&at2x*J zSW(hu3h@VDT5&iOiLDAFgP-US!xzQ@E(o zP3)qC)2Hw0hK|Cb#LZ@gMGI?Spry5}8d%Swq(aFej_Ly~{Dsr8YrjQ>4%IiS+SHaF zO!IP=BHU<+eWQKRlTR!@1AApqb+FnYQDs`lAYz|-qf&fT2OF%dw@1~%D!XH0lB$EX zgep&O>DjOHYE_@2kgmE<(bNU{3&)OVR2@`xu;>7&F%U8nRR?PrRh-;x`~SZk3eP9m z7+N!A26m;xTT#P?#_H_VzKyHu;7kq3ZJSe%Z90?=C7aO=`sthVhxTR;Jn(Gp$nNa9 z>46!~{R4X*U3)Z{yKQ1+XtOf=_WbsrrzgIh-ZXJ<=3w&P%n$FsDzqe-uPfS$yIida z;R;37!CFX_ri-j9$&?wtKqIR%TTe`fbtb$?T7!e;k}(C8<%DBac<+O_s}^d`GHh7*h0lEZz8uHG;*3@=LdM`IWD zuO1C4M&CJ_815SlNy2<%A<1ayQ9MkH<{s_s?Cnl=jtv#24JDuX;hGB)v0rE43HF#5 z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&Uc*6)RORPvtzn%#n+7chRZtDkceg8Xq-+AH{KCD{3X3d&+ OU(uOp4=FzK#Qy}9QMk1L literal 0 HcmV?d00001 diff --git a/Exp28/output_files/YL_dec7748.sim.rpt b/Exp28/output_files/YL_dec7748.sim.rpt new file mode 100644 index 0000000..7f1147a --- /dev/null +++ b/Exp28/output_files/YL_dec7748.sim.rpt @@ -0,0 +1,179 @@ +Simulator report for YL_dec7748 +Sun May 03 17:01:03 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Simulator Summary + 3. Simulator Settings + 4. Simulation Waveforms + 5. Coverage Summary + 6. Complete 1/0-Value Coverage + 7. Missing 1-Value Coverage + 8. Missing 0-Value Coverage + 9. Simulator INI Usage + 10. Simulator Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------+ +; Simulator Summary ; ++-----------------------------+--------------+ +; Type ; Value ; ++-----------------------------+--------------+ +; Simulation Start Time ; 0 ps ; +; Simulation End Time ; 1.0 us ; +; Simulation Netlist Size ; 18 nodes ; +; Simulation Coverage ; 100.00 % ; +; Total Number of Transitions ; 224 ; +; Simulation Breakpoints ; 0 ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Simulator Settings ; ++--------------------------------------------------------------------------------------------+-------------------------------------------------------------+---------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------------------------------+-------------------------------------------------------------+---------------+ +; Simulation mode ; Timing ; Timing ; +; Start time ; 0 ns ; 0 ns ; +; Simulation results format ; VWF ; ; +; Vector input source ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_7448.vwf ; ; +; Add pins automatically to simulation output waveforms ; On ; On ; +; Check outputs ; Off ; Off ; +; Report simulation coverage ; On ; On ; +; Display complete 1/0 value coverage report ; On ; On ; +; Display missing 1-value coverage report ; On ; On ; +; Display missing 0-value coverage report ; On ; On ; +; Detect setup and hold time violations ; Off ; Off ; +; Detect glitches ; Off ; Off ; +; Disable timing delays in Timing Simulation ; Off ; Off ; +; Generate Signal Activity File ; Off ; Off ; +; Generate VCD File for PowerPlay Power Analyzer ; Off ; Off ; +; Group bus channels in simulation results ; Off ; Off ; +; Preserve fewer signal transitions to reduce memory requirements ; On ; On ; +; Trigger vector comparison with the specified mode ; INPUT_EDGE ; INPUT_EDGE ; +; Disable setup and hold time violations detection in input registers of bi-directional pins ; Off ; Off ; +; Overwrite Waveform Inputs With Simulation Outputs ; Off ; ; +; Perform Glitch Filtering in Timing Simulation ; Auto ; Auto ; ++--------------------------------------------------------------------------------------------+-------------------------------------------------------------+---------------+ + + ++----------------------+ +; Simulation Waveforms ; ++----------------------+ +Waveform report data cannot be output to ASCII. +Please use Quartus II 64-Bit to view the waveform report data. + + ++--------------------------------------------------------------------+ +; Coverage Summary ; ++-----------------------------------------------------+--------------+ +; Type ; Value ; ++-----------------------------------------------------+--------------+ +; Total coverage as a percentage ; 100.00 % ; +; Total nodes checked ; 18 ; +; Total output ports checked ; 18 ; +; Total output ports with complete 1/0-value coverage ; 18 ; +; Total output ports with no 1/0-value coverage ; 0 ; +; Total output ports with no 1-value coverage ; 0 ; +; Total output ports with no 0-value coverage ; 0 ; ++-----------------------------------------------------+--------------+ + + +The following table displays output ports that toggle between 1 and 0 during simulation. ++----------------------------------------------------------------------------+ +; Complete 1/0-Value Coverage ; ++----------------------------+----------------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++----------------------------+----------------------------+------------------+ +; |YL_dec7748|7448:inst|69~0 ; |YL_dec7748|7448:inst|69~0 ; combout ; +; |YL_dec7748|7448:inst|68~0 ; |YL_dec7748|7448:inst|68~0 ; combout ; +; |YL_dec7748|7448:inst|70 ; |YL_dec7748|7448:inst|70 ; combout ; +; |YL_dec7748|7448:inst|67~0 ; |YL_dec7748|7448:inst|67~0 ; combout ; +; |YL_dec7748|7448:inst|71 ; |YL_dec7748|7448:inst|71 ; combout ; +; |YL_dec7748|7448:inst|66~0 ; |YL_dec7748|7448:inst|66~0 ; combout ; +; |YL_dec7748|7448:inst|72 ; |YL_dec7748|7448:inst|72 ; combout ; +; |YL_dec7748|OUTPUT_A ; |YL_dec7748|OUTPUT_A ; padio ; +; |YL_dec7748|OUTPUT_B ; |YL_dec7748|OUTPUT_B ; padio ; +; |YL_dec7748|OUTPUT_C ; |YL_dec7748|OUTPUT_C ; padio ; +; |YL_dec7748|OUTPUT_D ; |YL_dec7748|OUTPUT_D ; padio ; +; |YL_dec7748|OUTPUT_E ; |YL_dec7748|OUTPUT_E ; padio ; +; |YL_dec7748|OUTPUT_F ; |YL_dec7748|OUTPUT_F ; padio ; +; |YL_dec7748|OUTPUT_G ; |YL_dec7748|OUTPUT_G ; padio ; +; |YL_dec7748|INPUT_B ; |YL_dec7748|INPUT_B~corein ; combout ; +; |YL_dec7748|INPUT_D ; |YL_dec7748|INPUT_D~corein ; combout ; +; |YL_dec7748|INPUT_C ; |YL_dec7748|INPUT_C~corein ; combout ; +; |YL_dec7748|INPUT_A ; |YL_dec7748|INPUT_A~corein ; combout ; ++----------------------------+----------------------------+------------------+ + + +The following table displays output ports that do not toggle to 1 during simulation. ++-------------------------------------------------+ +; Missing 1-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + +The following table displays output ports that do not toggle to 0 during simulation. ++-------------------------------------------------+ +; Missing 0-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + ++---------------------+ +; Simulator INI Usage ; ++--------+------------+ +; Option ; Usage ; ++--------+------------+ + + ++--------------------+ +; Simulator Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Simulator + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 17:01:02 2020 +Info: Command: quartus_sim --simulation_results_format=VWF YL_dec7748 -c YL_dec7748 +Info (324025): Using vector source file "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_7448.vwf" +Info (310003): Option to preserve fewer signal transitions to reduce memory requirements is enabled + Info (310004): Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements. +Info (310002): Simulation partitioned into 1 sub-simulations +Info (328053): Simulation coverage is 100.00 % +Info (328052): Number of transitions in simulation is 224 +Info (324045): Vector file YL_dec7748.sim.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help. +Info: Quartus II 64-Bit Simulator was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4484 megabytes + Info: Processing ended: Sun May 03 17:01:03 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/Exp28/output_files/YL_dec7748.sof b/Exp28/output_files/YL_dec7748.sof new file mode 100644 index 0000000000000000000000000000000000000000..6ed5d985958562ace9961c7d29c597d788d15e0a GIT binary patch literal 476065 zcmeIb&#x@mksg-!-PezooF}4a(ozHFBH11g1UwpE_E4O*F=J%+^o%WofLa>=7#Xm6 zIP4xx%M^b$4Z$0qZcxC3?1h(H8NJZLD?@+65zv2uyfutL3!a77+RO1}RYc{f6DR9r z=DC%(Zbq=PDl_tnFXBX1oXE_&@9 z@7v#b^V@IUf9LnwKmFhr|Ko>0`k;O9hd=rs+V8Y~`d_sF%?E$p{_*=Cenghdv*vG* zi$8wv%|Ckc{!hO9)_4Et_nX_^_kZ=1k3Rh9#~-}k{CmxFLj1e`tNC|dZFaN=Y3Y9m z?|*9lgXXuJw{M_>?9b!%{SSWm;XnGx5B~Cpzx>PJ{qqlhLYn`i`^&%n2fy>^A=|Z9o0hM?d@3M?d%r8rCnr{SUwYgZDr9(eMBMci;NmfBgQ3 z%^&>o$M65(XTSLAKmOoHAN}CNpZvv7|I@$mrMCTpgQh>AL%3@H$@_oj2k(FQk3RV2 zM?ZM${?GsLjockjRV)(7AFJ8!=EqwoFbFTVTckKX+AH@>~M|MrmJ58(MoPJB?b z?|*>Y{oxzK1ijJz?1vxy|{fjr+U;XlfU;OB&?-Tm|pZ>`~#MhAi55BDo z=qt^C`G;TV)6q2D|MwqLa(lU>PpCs)pLwMBdE=3Kd?IagM;}2)dzvtuKp-Gt&4J*i z`Q-Usv-@p2cS8^sxab8RV%w4zxKN_lqtaJ~6VJ^tMx9^}Ar3Z@k*2(t*5I@F@|T-0 zU)pc%FGGX$jjSxs}M)M9CNyVEh8xjA?*AUnMBkL@+PN+z@bjoIoIil#Tu}xBVvUMDnGe*_JelT?Uy^pTc?=W3XSLYD4?FmYwWBSSZw%o5Kjb(=z&^mF zyI_QmAUGI`CqEq&fX6ZF1ko@e2nZkrk?(Ah?qqkI4mn@=WIp zLkRYh-Wnl<)&Z+YfD%E^e&pTz0D*~RVB;BZ7(dPT$6u3@`2dJSX8rg?ECEc33kPiy z1(b=%^l?w8;@Ki0$U_oZQ&@w2v|%k z0~^nP!}#-6(qG+cDYE9TWyN%sfvd^@H)iSP4&g`hfi@n2mtM!?G8|H^`NuI93?qW< zgRxi3z~l`0$rhCix=K6)8i)O;*rO^r+x%Stfk4PrpsjSq05lPvKJN3k^#Ml7FdVcz zQtX?|%RoENY`N8LIYkYIY)#Z4ALGDRy0VN{NzlBZ@vqYS5ltUap9ijF(M*{Sh-tR8 zvn>}IK9aBh!cmy{+SDC32s25WczYFf6SbZZR~)4RzvW&nR8uwi*pZJYk!WF4VD z#HlUgjnC!W1)P|A3CcEw7pZ_J;-Ep$a`i15X~>1w^EI;BJEv$aE=xOspC9pBQ`v%$ z=MOfu>{8WbG;Mu11(ldM#hpJte>yJrBAWb!LUs;pc|=44Xe20WF71)O(Qz;u_W{IQ z>F1rf#!KwN5@WMH&A^koA~_x0x#K_E1IDYwh5KvY@3`A1>(Ghn2-&_Omq7xI7gZv54cV95a5y>US$YF+}A*8H{9n9eeA zEg6u;EZsbr57eOuynsL;#N@!1xDbTU0-{RA=D*tWTXkR;R{qT@{z4U&86<9ojhQ4F zD5%85h7qXwsz%2n0e*4r~Qe&IOu(i%>jXa23#E2ft@w zDT$6YtRv7bf`a`>I)b#&0Pe9fP9P9KRTqM2hY;*X(vqJYX*{$YUN}aNgTg3UVD}tF zHa&d!f)IEZ)0`^V^ zA;0iS8pYA!VUJchkrip07ajf|7S66wqeBwvDT=O7{}hW#*cRXRsuC@$qOXdQ`ulXS z7EA$wK$9JEXjaG*o>(>KnWSOzwmfr3g@bHhb7=`T-+Nvby~_5eRj+m|yG ziEwG3nX}H!2MMhyECbuh0NqHG^lmjN4qB>2|MB^&2dTt^P3epQcp^M~gn(1gY*8?w z2&8FR*qc}ewwwWL{+s>uyUmWA2EOUR%Lgxw*+IqE)d2_GSh1gog9h*d0)eni!IT4t zD%|{=fYThKxNy*_!-ye(nOFv9WT2g4Q^jOgg(V^>sKf}*2V8fr7Z3=9jHP??X__{#!tFx5c{965l>uB!NMp_yk{UC^O9;dVF-i628?!)E$2>#X zV}CV07f|BkTt)DeF|iC-2G+~~e&|_4e0`?@l-$YU%R^~1npf#i1q1>iBHe``gf=_T zNhfv0R`Q68hY|UJt;fq!x!z7N_r`a~Jqe-<4Jd)>V)y6Z4Xg~M>n|zgIdz*CW z0s?`ME2&;b2Qr5Q93)XdnTSju4ySk$3OGmz9JDF~Crm5@ThG9p=8v3lQC+h6!>>#q zQ;J=T94HWaE$NwSkxk(VQ^1B*GSDiz?WlY|)PUFVuG!;GU#$6`X2gyy16P-UEjRzQ zp5H!-FG-!jul6t^dsF%-l6x&d1O#f$|5`pSi_f2!xOwZK;bE+JpqkNbU%Nh+1fOw0Z1^CLzc}4jhWp)CN8xA%`6l z$W$72O2BckO&-w=A=re}}5c*$NrJfk|IFH`GD zoh+Qf(BpfzJHo%(oh1+-#GtznL=?r*A@8+!#}#S5*wP1wc_3LGrocx}*wL%Q9(@Hy zevAepky$@J5laBm__ek`08K=?^*x>Ps6)|=55s|qAxNExWnk+WD5yj&MN)UArHo>Q zOsUnqMf@6Yh@hpC0}cv?5kX*MVj0+Y1{}uEEivFAsuC2dD%|{?!|8DXfe8>UNbf<69IOHHI_GCU#v&7B6 zgg|@{Qvh4y!a;uMX4 zmFADBL#B@@#V$rX(qv3i6dV8gPf3+wA9n`yw#!u#a=}ZN&h+hwfPH{0Da76dBZSrg zt4V+o@bp$;%6tF&tnH9cNCYAxqz=|2@*7DufcU;ghRHxF!3J3&3Ob%>n zYm6ITcB11xdA#~&O-dja=m`W-w0K!6SKA5v?2+$gTP`$Q?TJ$y_X9kCiXG&R9^js< zpb}4`38cU_`4W_EWIB*JM2!OQNE{tu>!*(?#V$rNAAn`9fMgXxx=gBN;Bh=ht2Ufz zMEk)PRH8~NgGK_zO80m>_H{vF1!Rq5&se6@!W{dcd<7&goz zjaj;RG9Ref5qJTCK#0kKEpZ_Tp#?-0YyN6lOlcXIk%59r)K~+QSKj>lA5n1s*I_U? z+R^4|Yw*KPiyigR@UQ6v!~1_S*8$2JkAsLne9#Hqg&-ml5JmD19U-Frrd4+l5#%8U zj-~_uxD61oPzW-N4yVQm1VTtpTP8UP380a9I+9GaIK|26aF7tR(5euefXHes`IT4p zz~L*caw6~o0)Y_6$igcxx|8)^hA#K}uLdj9IArm*9cU7QJS3%_qIq`;xF+_Enq)oK z=k$7J<(1Uuml|0_MV5OJO@8GSd_kwI&5}BP?YJV1EccSG4pZQRsiS38?%Jm5P%hEJ zDGWWn@1DzAFrk1zAjF`%5Ja@Z%+Vq5-97WDall;^qfU^A^n{qf3Z50S^XPrnB`7i6 z)8;WDMOV3c6p=$d;BH+)koU2lzM0eBEd!g(KtUy{d2!I95(@|f!Zv!bC$$QAA~Jmh zoZ?AHTsUZXh6p&&Avj}V8Q6FR3Mx^}5Es?!1q1>iCaK<}*aQ49ZC}n%B*LZrvVb5D zAzHeKGO-M7Is*k=Q_YWq)|$VX8&h6E2I#So$#(Dj?X$NG%*()PSB-dJR#%obfB$je zLp)rDL-^7?;2^rvPcGDfNQCblLcpnUf)NOeO=e}l&$6mu)K%c9K!I=IDuP?+$|85= z`9exO0?P1`s|WExc3izBPwf-Om^yg+C?QBDlmJSEzC`>I<0ZD;5@WNq3?vy?+WhUY zf0ELtuE;-=ec+LY2j(|=%YbFzTn2XM01tJ(|2x0BjMQZzpJxf?2ZK6XVsMIK^qI|NJTD&mH;PiTU~f(|nbv z_u7~jWNs)R5D3{#sa1l|(?th3#ws$tr@;n$*fbSBvNxc@Bf$aPz;$ zPt9Vs3{=cO02jAJy)&!cR%!l-MEbbH(JIX!j#&`SDuQX-WJv}d$(_WKAuO=n-}qYd zUywpGwG3Q!1_GkrX!GA)^(VJ5_)3Fc>tV!d4_p_f{gIhl^M7O?h=pSrxL5`PJZ-c2 zdknq*7jI~U9tZe6tHlm}*ulL~M_Vf27{E&iaF5B&R(HV&4`%|w!B9MrbWi{u$EXuT z!-ydKPyNmey>|p>L+R*1Vk{sK2-&r?l|~L|BrP5BD2^WwYdo+LSAOV#u*hmHFMZQE zSl_mIx^&YF*Jfe#;r(4&rGeoE1Og#*-Gv~87Ez?~29;`upWJB3QH(l49+FZ|(R^hJ zxFXi0BCAT#+AOCY{j)}vaw#AXNaLF+YqOlXZJO@pxFXH0#a(3m7v_$ZRnb>PVd(Mw z`Hidvb6-Hf5D@4t7$LN~?&xi>_es-wIt2VTD1oVqyT}?dJtwi8X?&r_DK8$AOaJI~ zymR&h3;|EUwYETjKa~Il2xmX??nB>Clb|uN3~V+74kO3Y3;8vPYxP**fSiiJ9nOps z2!xPWkm-66QBkhEx}?p_oSFa$(W zy^#w>2(8ncLKpA?_-z3}9zrt*h)gU4Th4&P;PX|2VpYYOznT_PUP}gW?`opA-Dp10 zk|bTa^g13_>X2&9|ID1_;4B(OG*X;3u?)<}fS+Mg#iXmk5|KC@<*Vdu^LGUV0wGs{ zw$d2`&_sCpxVJn1BsZ5)w3l&{dcm(}k3h0D@zAlMe^r*KC30!S^TDY{H2zhZf1pUP z_0vZjW5F;Y2w3JyJJ)cj-6Q$>FCB*2Kbj0UM6#gyHw6R&AyEIyU_TKD4T6@dZ_&u3_yQkbsgcdzImj+8i#x&mKb~7_D)X1lvF@+a)eo<2>$||W z=u9CcPH`nESCa(<0wFsGwt^`KkVH_{T-qalqvK#W?gNOq(x+>#@e;eR#Mo?4Gq5{V zZ0Yzg`0*-nxr_vyKqa0jGdF}_pR4!Gl!F;V6$dSXE+80zfW^czu<;B?W0r27%m-?Q z1YSTO5Mpv*OI!#-XaP}$r;qiTe-u_f14fz)9b^-9A^%tJ#$Wvi77bv3<6BUPnwJ2j zHGeHNrn3xOO9u3|8$Ci(haz3NfIuL`E_9Npbkag1q5#q13xQwU`t%+B!DJTulcv&_IN=f zY_Wsiv+!$JM_d1+;0`;d(h;PE5^#^5aRPw=s=5$FJA`0Al9v1+A`fkc7u>&zrZ9>Y zhI@{p$b0zk1rB)_r9*EI1W9&1PUw*zOpb44>~RG6{GlUsmX{vaqr`XH<`zz2=<%Ia zIbry5xfj;R>M-fbE5wjrcqNVE=VyuaOG6&Bynr}6#s1mc4jdYi(vSq2UdyS{B?otAHUQmU)N6!_Tl(a=3@ z#~-lOuC&LVygD2MUO*rawux@6@3SO;CnE5GQ``#0g@aZdMhw|c-vFCf1~!|4f=X0# z!*w_5$0uTv>XnP}+(LGMc6Z(!?^b+bae0)Y^d zRPWL||Ca>>d5AhDQx;_aKU}RMYyH}CGFUXdHKgyNbsbA%cHmWSnG=VAV!L?r$J8Oy zM?eHT2^9<@hQN5PZ2jv$H*aS5=rSOUIbTR>%nUDmh!+klQoZ@cED+T(&rru_c&zDN za9nY*ClHm|ltmf9S7sH#SH{FLU>R651Nfn54e|Az22hT74ArT0r~(3k5Yw5aB(&Ly zPP(-tHvM9~zz1wSUY5%Bc7oY|Jl8GF*}|M5YgiQ#=U;93%t|S`~s5CYFJ%XJAhAN6xsYF4_Fy zSEi3C#V$q;6bQYR^vt!$rtpL*;D^I@4@W)D+>W0vIJ=yoz{#V9_&&0L*YU2|<4#|! z`JZOQjx7UMmw_!e{~FJ4XFon$`b}9H{8IaU{Hr~T$ljDL&FiM@14e7je^Z~i4Ypba zd^M(tMBPMu2EO6u-#@Z#kry;V9Cfr|@q&2a6euIv5k%lEPK^@?gpeI=sf!lcgapb+ z?g)bCwJo$e+B|kdlMv(~2M)z)Y6BmUki!lNWGan1CE&Q&CXeWb5bVc74qIqI=&>_r z=p77MZrigfuP{{JyXTE8<vz;0~w82?RpOK--yXZGixqh;-|FI^|J^qA84G zf78?@%%-pmY%K#xu{&fSDkLo>Qg@}LjADgMsnxwj{2FkGpyL<|h7mzPV6r>|?Q)8F z4Ag5)7G;30SQ-b0sMw<_L9wdB&EGkk9w!h8Ap>otGX|iE@bq!Nu=)4*t_iy-ECXB0 zKvL`uqmRm2ONTW7y8;4+fQYL%cEJdtb(&M?0$u=r9>u5=}9B3l&((8B>DP+AT1Og!@2e!n8AcPhWRe1VXe&?^!o6<6{p$rsMqLz&S<&`)84r5p- zexdzr^KQ1~-f*e?!9AwyRiyv!t>XD9In~>)Tq0+aEM2;QKp>PjhvXGZIoAA0JqPKzD&>FTfP1jGA(GS>mh8jpjB zKzz^%-Gv|`5)ei54jmz){-#xT5fS7e2acu#|F{hhu}}yyj1H&92?Rn&Pg^EA2??N) zcsi0ywK&De=x~q_w9u*$oPfw`E%}vK_Q2sQt#Tsp0s?^$$H>AfFS_PP`_c~`^8TyA ziZl*cyln@Xgdh)L!g=rS&NEwX^~Q3F6Nb;}_{_>HCl+-0rAC%=k>y@Qqc5w{uLwh5 z(9h`Gm`B7UspHogS;|G0dlAiS$S&yS8aMluNX53PX?YyXUf$OL&duf!5Qx z{NgU+gHXB)K|~}+hrD<9%%jEu|EnlQogfck!ji{Ff*tOl@!dlaT_!{0G2O_eanxMt z#2EzB_9O&Pg$Xk*A_6@MK=#vj%5ECVz=kp~Qmb4@YBn6SsKf#Sfv`>Ci~)EeGJOP` z;uyt+gO+EAfCC+ZGbYP3&|ifwr%A;bsU$aIh(+z^8OL}YIGS2#6J5b_Y5 zG1+hi?BW3*enBOw-x0365(4o-Oj5o1#w-xkF*pCEcm685DJ=tMGcder+?_>N1fbEf z)Vb%9#_Yh$r4?`>1&)JLaZnK4f4oxj4*?Nyj0MApAuu+Xl>tA?s)A8hfujNiy3;Cx zE?QZnG3N^@@dzlxFWUSuJMj#)Ui0@!LN27}F677DY$XG6OXSiD7`_y^mJ0_Z-~|K% zVVi;}Cp*!}jX?k#$0#lwwCXTo2w*0&GSDY-mgPdDu+ZMjEd!Q;r5U*B-R>~hI(NH6 z#*!<@2+s%HU`hz&85bEBHom}qPT!@6&2M}N9a1Bk*V_r&J_mDNR(oPReBv}G@Jcqr z=TDU=i)efiY7!^_J$?n5@Zs{a^b+*zgbiST4-%XU_BXeC7`!y*d?Bf+VfYm{e|21@vrs(aC0Rzk0s?_FzL~N%OX|40Ij%?(#V9VOE+7*v ztD>)pl7{j8rb7h-eqTVq5D@7u7$LN~F7-;9Pny=#8{oe|2~1twMb?<<*@@*$;|o1b zdGVNB`bV$howFxk2zUyvwFLtFsRSrMIQx-zANqcp1dWMhV6z!;7&*=N$6u4UR*wY^ z$f?Zw@rg(Rh!PhL+9V1n6Op;$o=!=O4!DFM4*}f7GO+aw6jY*?BB{F<1q2KMkyLL% zt!j<~lpwqGH^{9;KnT7+#&jCKG23E@Y3se zT!urcHUBuqf?-6EeK7WF8JL^_KiQ&^L05@qK;y6<6?;@AXPdt(AP@+-3bd8Z7=R|i z)5m@Owm!fp8HR(FM~Z!uc^PQunJu^4EvKl#kgbUt`TgDhZl5H2zhZKceX) z>hr*rESf3v0Wr;%cDCh0!$@p*2xYvG)L`w-Uroyvly0p-VtO~Z#th(3 z1U4*Bs%_&>MOG2|BbVAT-uPV3UBHQ{m!ND@c##TtA`Th^Emz;7k%r9I^MH;>jcmFR z{s%p^VkcN@D)SGT&|>ng7+D$ER^}tRf=W!B;?AF+Ka~)O53+M$E0}TsNd#prrJWRe zIv*qiRTRCSDohhe!bH5mM>DV+J-dyQ1(m2JB0zcN&0iar=_~^q%78Rx>E_9Npk_nh z1q1>iCI_~}g&>3$5LMQ1{!v){3>axHbdXKZh5TQ=8-Mj9s4#$nO4PgrD6RQxsWF{p z;94@Ex83NjS{;gX=>h_Q5R(I2!IYEjZ55mUYR_+xgQp>3tzo#e96v3;1(m1`I6!I5 zUrUYYECbh)0cp(A&6D{+9g4sU2n0e*4s3}FK?p4%s?=-#E%-fNa23#E2ft@wDT$6Y ztRo<6v*1{yBS?!Qz&&=x2?PSD>Ov6h5Q6Zas_uzAt#mwORS0YSnzOVRb|pJlqtsKvLcsuZk>lKT5} zuog@Kfk2uzUO(o{+AOK#_nPK2`hNF>yDv1&WP(%54rM&P^2(_R9lzaR6&Bynr}6#s z1mc4%dz-?wSyIQoZDgI6Z+beft_~wUQi51RL-({Df529|QfzZLa(D;4fIuK@6Wv(f zXGs80MBo9ZxD|>E2Q3c+`zDqF%Rnvz1(m3pa@~!r4&z|Rl^Ae9BGnsRmBR}bRjU{g9{0GA0glr$0#lw zv@%2Bz{E0O8OUTnZ@W=vRxP>iN=rE$Sh#v;_iiceC`Nr4YUHN03|I#C8PL0Cz)30a zJu-fEI7B5D5D0{A^jb~I8Sq48`Up71F^UTZt;`TOFtH3&%|Kg~Z35YD0=5uZP>CuN z*WK#{1Og!@sotd61N<;;U(Qe@!lnIkExQ66wVs55Dy?*|N1{bN{w=z3`k?n7m^wn!|l#rP0v$S z;w!U?;BwEzGGG~4GXwacXASZ7od!^HC!25uIpq>me2^>Mg&>4BJJCtEcEl!+xF!(5 ztQUWHU-Y)YPS7n%*aG8rfo(<8T}7@*R1a{^HRtgW?)>@rQ^~Ja;)Cp@ddH`npydTq zhfE)5<}8&!Bb}~u(@pAFU~V+2mVrm|9Ie`TW)KC~j8~${DqXsOKp?~<)$8a$=8%Ac zBnl`Kk?F(X6i-3{2W136npg%b1C#-2%=to6^ThBH0`WmiQoTv7I{0DQfCEir`bdl2 z0e_Z2Bb~47!vK!$ia9es#&t;ucpP6mVs-^fHdZ)Yew^dIvj>y zbMs&8`K_AJ>r}4xFk-a_uGjfFWugwyN5!7Z2dbqte>E+pv?|2vW*9njO-=hPMU*0)fzXMt32ID2mka*P7<%qy_xA+zV^cVWb478dh#E)5x+attW7P%kYA%FC5SW~9XV)_&HVFd zAQBO{!>MrsfeotGX|iE z@bqyX#pr-bqDYKQVHvOt3^Jg%-KdZTXxX*qFR8fNo!!+&Zh=?IfCEod?8$tfdgA8a z6c7l6m;!B8n*Z@%eDmc%It=(Su?*DBz+GLsayIp0V7a-5Op4tB70m~lnKb_w1q2KM zQNC8wYkAFafD(kaQH<AF7zn&7V&GqA>i=^ zN;v^cO_pS!&;AmExwiPqm{h} z$aElc2qT0RkVG75u=UeNTI_N+&hr7F4pj3a0>_o zLQD>9YO$x~3}_-!+DWk&5+sbKuncT90|k|+r6xexU-uReFobPnI*>Vp5kd=yDh^t} z(_&BN13({G+ynDx>9YySTG)v=1CQiRA`a@pM+KFrMkIw>Kp+rea$r-7JuPQI6S3x> zq{I}KfteX7s6>r9KzZfOzrz?z7G+?yhY|gEZ&B!GJox_!l5U>N2WpxGE}dO834uU} z$$>48h)4iU#F~GS5>r?PW@ezE5;f)k<&`)8{znwt|8*D)j&`(p+8X?@(_%+`H2iBi z!Eh}1agIPB1l3&#f@wWLQ+bC}hzRgF#zF$vMbhCYavBZ2cN7`mfI^UAbWn^F2!xQH zwvxyZMIxRwGSC*MIT;-ek|>}YmuOiPec_apfL1w?g<1*-1VT)@3qc6&OP{)>o~><1SzFyECbujKtUy{iE+@P z5(@|f!Zv!XMpF(Ts>t*aa5|bT$_P|eQ(6W#mI06P7F42|7OuP33kU>4Oj5l`tp@mE z+Vjo-J(E?rCT7H5qNNMnxLZq!&+Hw-VidJrGP zRIT~joxl3InDW6hK#$(m5j>NtD{@!vz{?NS0uH3Wad0XQ3WEEOljnp|>`Wj&4mPDT z2H=VC^brD1B`zGaJPhoc)XspfO<2m;l>#3Yhi~92g2JjS`C4`GghEOLHOF;VTFT+T z!qq#gcOx1p?dSqUAI8CF}ST-PQo;R_`o!&n9^0}q&i-2-xuQtN+yH)?Y3 zWYTg1ETX2OQb>a-AP@*K6*j)0IwhsFxj05~!Et2?a>VoWTkHg@JuYAEiBtUDsQ>&a z=Fc7Z+===60Mn@0lR`?!arrsr5;TATK1if`9gd<}O`-rI5=}cTO`8(;(-O>tRk52Oe_P-GN5EQ(g0wH7Fg&>3$QKa$)m1>8d z+-S&AjHLuAvaBe+VX4Eqy&j3T2@70I3=-Zp5Jt+V8HJS2p9q)-323rcGsm|N%Kk5dU^x=Hz)yp zaToTXQk*Eq8(%m<%b~~QfSycXkO01o4LC^V11J-j^5c_(HGGf+yd*9hv`G|DCL+_vJ)MeYi!y?Q(G-?} zt!AL061CJw-MuIvU=N(Mgs}VHtST8Q{h&-P|GEsIhAC3%v9?9uo?rTJw)%)Q7QmX|I-niW%?~xh7F{ zlh8$A2UJw-Ng=5`-27bufk22U&{jHQ0GbF-ANSD(iUTegMq+G=VFt>67#dpi`)#7R zkYZQY4>zQ#toR15BB;!YLO&jqRN|>eH2zhZf1pUP^+Bh_p3Vor)|a+EWf^1TuYZ|S zLp|XPIE*dnno%LCqvz(|6c7l6m;!AjQ%-iG8sxem;n3Cm!^ECfZbihbk;aa>&5WGEq{A zT9yKo{oGJk%BV!hgj(GjoV+?5*J=JI%yzh?3~c=m9#BGOFOmBK*S;02G=Bub)5p^0 z-)f_puFEE9I@L6b{l_=W#?XTd_`&{WcME#AmYV=&|J5%bUUf)H9jRH@kf!SC^c ztAG|e_&p0xggV;#9|d>VIhBqeEtG(J?2Ho#1W?t5Ale}W`;oNd2N8K_JG|hBOVJcY z(ZZ0Wz=omn4u?F9(xJBp>m<7#C-f)|CdW52_BaB3{?HLR%S(^1B=Oz0xrI|0dVHr< zP8fb%?u9k7I!wCq3NaL{%_5Ma!^0k}a^i0&`3Sms(c!V(v!mwBFrtwj2B1=t9lXEYU=@}g{{8a=;)57;7lII4EZ>rfZ=1W8@(!Qh^mJZb z9j3sCPwcIB0o>2sqFoIAdZN*lGs+e&BlBjXJZMAug)Y zQVs_euHKT~tvcq?E+L495sh?z6{0%Wh?4GdO}3tag088i$U%!rEFcgF+eC$!pAP^} zMBo9ZcoGs94qBce0uFQt&X`yRW@Mn9VN=CqSA``aDX7E<&j(youNM#qgp8$n^Nm>` z>??Zb(tcS$kcUK+b}%Ue^xM-qQuEiAZvGJP4O~O|7FWwLYO<(zCk`EHuwA_QV_uNC zAs_;tgl05`Da6NkD0`Wg5si@^y3rRN%a=g>ZxN) z9Ws4PDRwb(phW0P#4RyiVvA42q*?}QK1Zt-)TM~_gAZ^wUWsZzGB*?u2!xo@Ld?$x zs$+pWoaRX=;9z_h5d?4(%fQw%FsJz=XIyueZ2s^o)5nxz7b6FXrOh84EIl(HT=G3! z(%F;r)jr8ll@7}Hz<}5BuG!;GU#$6`X2gyy16P-UEjRzQp5H!-FG-!jul6uvwFj<` z;=`*IL;#UB|61uZ9?QTrWnjzAAD>f;7c@frq|(ubwGQHiQ=p7wM-YLxI5kcn5JGme zr7l`%6A~yRxg!Xk*S65^X!F<+O+t`|95@uGsSSKYLJm79kf}84lz`)6n>?Z$La-kT zIc%W;p~udgp?5H3xoyv`yuwg<@18fZluJ`Uzz`6;=hzt%KACoSN|wMHC1mc4j zbQgla;w}O?I^@0f?zke&7u%-kFb|BcQKLhckZOkn*_2f{`kqdC)S+k!quAdxbqTX6ECXB1KvL`u8Hfr=ONrE7X(^*vAyaB~ZxO!+ z93tpA#)4r)5D=JH1~#4nhw(?n9#sj7RTXaj&f)Ypfj|fuXe*sD08NCakNbtqzrS}) z*iB&>*ir_PVs{vQRL)vDtodtMG2PWY9mk@{#VhUhOTsUZx zD4;uMX4mFAB&FReb`*#jbuid~F&q(ID; zjeq@T=gkZsT?WRhB;?|i=6|NldII(VvZN3rgwQ%*H3?9HFuhfnG9Q4zWL^gP?9Ve@ zW`()i#4=zRSTO_LTE5%*jte@5>QtIo0f9h>$$^cpKm!iAHO7rEJJB)xc=gSilt3=f z69^nv5iqYJ*#s3v;|p;qY?rDoqgm~VQ#`x}c>WYS$Q?bvJy$^`o<li5I>OdZA5)55jAT9l%Ul7;DuQ&GSO#ijVE+)Ypb}MQQn&>K0wE>`HnrH( zat1UJ?&FW7`6uz2!ZL6@87QbkZ7>1K{<^n-fFW!n(}Bz(j1XEtRB_M(p3WB1*?EQ- zfqM7XrA`6sC{ zg=Ju31_~-sV+>GUdGqfuhOJ6hXW*+njOf36b;ht^7HQ1V&6D{+&5pne2n0e*4s3}F zK?p4%s#x<^(_%`?z>EwORHDWjpuFGS% zpUicDvc}^eA`l;RLU$pEhy+BDyhBHbsK05|T|@+V$bqBjz&~ySL@X4745P!TaRPx5 z($khnPC^1`B%Y2WQ!P$$GCCY21TC~G1ScS}T1$TAl|69yN~@d*ynsL;#4%-UmZ8i2 z{;R=?G!9w3Z3mhc-GT*VQfGP1yZKWOd*+Sm^q&*snN?9zpI>TZDHmDpMKtN+F6;we z(9h`Gm`B7UspHogS;|G0dlAi_^52lWmRk>@MrbD?z3#Tyj_`Z8CYr%v90)Y^N z?m`gJ3Nc5Aym$A^qs9SuQH(l49?}zH1}k`0%+90tS(l*1a8H}ZgcM!n>QO`v`GC81 z2|?b+e)?ukd$$a1G6MybsOH5%i%KjY5D44o#h%nE;EBle5paqpA#vfL!?b-lLy-uV_R9i-JS3qtg=JuS87SzQYEm4u z*8J7nn9?$EB^i*$9JSr3YpNq*c*&y!@j*=0n*Y)}e|1!*v<#fh06ltJNASR`t}Jc- z{^P=jc$iQieCZx=z;lQm1rUkw^brD1jT4MOU~Dog1AdlO1*5J4M+FLe16L7r(aIu? zIbTSLM?e{V(dLh-gQt)6n!isHav?=`AwTA3D;dz+Zou%Rz_naBDDBQ)OU+Z)^M z?%yc{XKQ5hdOJaj6wDI(L&J4swI@#TaO*#RiurR#K6hfiKEO0zCF;F4?)>@rQ&T`7 z5VA`PF+U#=JQhF&?r^TK*u{uP3ftKNl2rtwHK~<>t`^ZX@*D=Qw_UE1d~f5roAmsN z@{|IYSUQ;HkN^a@#d=p#j46G%^#7-^x<&ClTg6H_%I>} z;8i4>pepPmB$&)hmSo_O+(|4M!UEg|#QhjjUS@T!ZV@k`w6=WbF#;Dkn z`9K}TQ)vD>bz~b+RvP?T4I^d}_5j{!K2RN5^HT=ap4HOU;D;UD8+Ej$@{Iu;>kgbFU~}(z?0q@pk;*6I%qWsPy#;tk$3N7KTU$h#4@ni3^zbGJJ z2#BWCs^&OA3BubbMs^`_IpFdToHelwY&-)F0)tZ8*|o zORwW`84jt|{Ljo;4$kt^8qS(n24-Zy&#5Ktr zB0PQE=Wpu+jK-(6gw_(V^N7#{R4QJ;{JJ)cj-6Q$>FCB*2Kbj0UL^57TYOHqWucl=SO1IV^`Sx)w|K@jY z2I%h-wk%JoZR1ZxR*?tz5{)-Lmm6lliK&;MY=c=$E}k80-_zkrVd7hM77K_G2?)`Ch*oZ`-(pFbU!dl8LV%6%o0oda7Q z5s?5I38out{a0VEzF9L;>tM4udbKL@hS~N)%OT zDWg~+Ng+CD)hKWx5eE(6Q;J=TWXb@GiDh8p8JN@jkuvGh1q1>iI|pO6*wbE_9NpbkUe1q1>iCI_~}g&>3$5LGHR|J9z~ssp>Qvd-Ycg`*aCPWEhPK?VvcQDYBK zTJzUZV>-*gwPZkVyYhT2dt)nIx`03+loW@=6ihi6X#Ooi@pwTaY_Wsiv+!$JM_d1+ z;0`;d(h;PE5^#^5aRPw=s=5$FJA`0Al9v1+A`fkc7u>&zrZ9>YhI@{p$b0zk1rB)_ zr9*EI1W9&1PUw*zOpb44>~RG6{GlUsmX{u1N#eV0PZoEPRZ$pve5X}T7=B#tg*CD| zj1Y(qV#qJNl16cKc-W&=PW%leAB4?|u4s*#GztW|NV-1#izwz9x6kI;<*f=E&jn|Jkvo=fW_`Rn2jJ~`*;qD7fbA})fVd@TLJihV@LkI6~H&}(mH}q+I z|2%>CAco$iaBY@>!^5s`8(F92o1T>F>M#X9_IxySPuuYsw%V2U*ppX>W55dt1j05^ zZ@tfw0G^1z15WXMu()v0s>6sO`{^5C6U)G6Gf+^8YHqmhCjIzCOj5ma@tm(!)iJ}* z%vo`jeY?AttHbrFZ@>3kdQMbxfu#$^iZL zw2rLxYs<-K(e&1kzKhm%ERETLSG{FU90H2%;>{mZhfE&<5%45bFpL-iRL49+9iQQ`rgy<{#l@aLRBBTeWdL89RRmud z6U%^QV9gBRhn_XW*LNB~Io>f;r_!Mc2n0e*XPT1GW+yu7){fZpi}eB@u=RLZD%aZy zX8-YAw=`#S?c2GAb?tU_>B`w2;GS#FB`B^W`D${~B`DcR^^Q+DK}!nCT1xxOoYfQL zeQ5NhI#&v;jl8!qhM!;^sgbWsylr}PXW8H6Yb};>8qlo zKEHK@Hw6R&p*cf$A&4l7)bZDv=I5jZ{J7i;Yt9TK^1;-yT1$TA6^0()yImvjzty1- zpHU%FO}Cyv`IT4bZ)Oww8gU*c~zu6_S<`sk_or zMzKPs)au?MehoN8&~c0f!-yatFj<~~b~(j723$XzEX{xeK~(Hfm7rKv;pXogPLC4^ zgph%@(isELM0onRU)cQnd)I{B6qbQ4WgsbbhtWsntffPm|6KtALqNpU8@phH&^pa2 zbOA4bKaXP63GxuIm~1!$cJY7@-{B=+C1;y|34!<^SAn)F&Hwl>zNbtmJW@nqVi}l| z0sP{@((%qE-k%Sgw1fQxy9HfSW0x-dqJV%QAevIEn&SW^2yeNPMKk4Q04yf+GC&Wx z*O9e;ZHY%4;={`wx%#ep%JD)E;gca+L%eXd>{^>v$9^Bq_uItsVu=oJxQK@Hj@DAR0yl0fEW94D{KbXS&P^ zAE$|Bz%sC62D-I;xAh$tTtTW%rHK^~2!xm%*z!$DXtNU?_psyDH*2IJ7x+LFEnb$& z)pi0ud*r*>mJ1DsPn;G~EioTHe_Fyb+4ceMxe6-rG|WH>Y?CiR*+!;=sMzIZn#&pR zNE{tu>!*(?#V$tT11xg|B&!J0Wl}8zHJ+nAKt+~~Z)xy*`+`bT!;!)*AP@*KIj|K> zIe@4lbAwbeYo^QxDzzys0~^agK_zM#2~eW$N=unL=7d@Wdm;`R1U;qL#Ym2C-Z^oOyC6s0wE>`w#0=XgccB0c=}j= z=daS6(lW523=~wNmW=@Al{fzmV^}DDq5W+0Znov#aH;*lJ*Mkbr2p=%;`u2#)!VLI zB4?8aXbp!;j0ou*SnKA`l;RLU$pEhy+BDyhBHbsK05|T|@+V$bqBjz&~yS zL@X4745P!TaRPx5($khnPC^1`B%Y2WQ!P$$GCCY21TC~G1ScS}TFX6I>kFssfx}l? zJH^DDziQj5`9-N#XIC z%9U;1OHg9gUFcB|JQeQNaf~`aG>izcpT1Le(^v*Jl!3I^qbfnMs^XwUB^D3}gl!TR zf^b6!_7jomBj6OSzD1KS_7nnRljRxcufmtpOlP1gKa<57D5yl$2iM)}1q1>irnC^b zn+Nz|+I~KOA`ynaoKoy;6hH?bByxc_*i<{ttg;1~;r z5kp{XGAjdqmQ@9#t^!8|3N)})1YNYUNMp_yQsNO%hF`S#V|L;hYQ5&~lZ0GI(Ot-o zx!Fnv;+Dvz6)=1$a4i=OO27*U1j052Q%-iGlY55%HjYtTIB3;j#1OztW@VsH%5Rtg*kr?N`(M-Y#o`44k}a8?ma+a^mg@JQ|?mJDHm?f%Bs zn*V|nnyF>rsxuG}{YIPrYR_*U!#AeV;MaN>vDyRI$MD(Ji@}6TB2D*oI{!IsXV$3E zA)p!qDUU^P3J84ETKk0YgBbyI_RS?z*G5!QLlL>*)~i-=GAhF76_0%=DbZ za;EWx9;du`OfLPS*YVET6EFlk1=rdF0sd416d;tx@&O0zLgI4J;=W9tlSdFr9RMbl zfh}jiVet7X=?h%Tj}%n_fk22T&{kTjfF>ey!#$lUEp{>DktVdJuncT415ry%swCtx zB6atofPf(&(_Jt^XkE^6zzM)_xspXQWj+9liDh8p8E_c?cp<47vgWU*#gvwTYs!G$ zcBA<~8;*48((8D1W=OT>e`d~da25?C8Y#}2SO#Wfz|XL$V$xM%iAWrd@>POjRfU_s zb2vRtAP_0iKA120_c!w`imxBd#+(2!THtS}blc!%Tw3onZbS&*86~))2pj)6{2| zsxG5x>$^EqCF&(8E`0R>AP@*K zIk53`5OBcVJS}IOO@!g=HUB82eg=#*7dk)=O%`OpFR*ys;lwq!YSt!9k*8$>*hk$+ivvxnVJ*n(gg$pAtnd5f+^<$&A&w` z9xrHwEq3sG7Jd!uXzPCz++pWbI)b!N0`9RhP9P9KRTqM2hY;*X(vlxUvha3p!y>)&1 z=h-f@YVmEaDkW>PBo04qnpZSE_3Nw@5D27c_cossW5L=iiQneE!HP5m1bGNkcPQiW zl~))#cz?UWDlERCPviUN3B(66^frZSvkV*_c75B(IxXMyq*PajDe$r9qoI4+jz3_l zU1^U!d387jynsL;Y!mg?`z#6Ii3mL46yFDn3kR(_j2N?*e@Ed!g%z?mvRv8v*rrK0j5pTBw#9|xP# z83XV{c=`wdr+5+)7Y^E_*xBefWdLSk8Q6FRtod*J3t+?Fa0UvxrkWiGEt+frfk4=% zV9EhR73t#vr>*&`NiyXFW}tmQ?osO9=-F8(3o23N<+^*lfIuL`B-Ok0&i`crK^~%x z$&^JIzzqc4Tjs%rn&S86InT7aUhy>>d0q@b*& zw9m|0Jwe`wMqjFPrNG)a%#9}1GVn;Aqg5NvB%=M`18nkDlI?BMr3(lILawBG9UaIV z5^#`20c9dGeK?%rNhshTA#l*D5S%cv3~W6EbDBSL#zl3><`2IzeM~8KF>;_l=(VJ0 zu0=M5CrkkwR>?rC=(eNs{ZIp5$Gc{aJAJX{f0_|HwhUZd2DaS%*Lr^YD83|h2EW?F zi0n=2qe$+x1Q8IZHUDe*xGYY~K+OznxcT=#qO?dR8X=B4+OT**yl@JXk?aT}@D``W z2?RpOj<(cA3vEIIWh8e5!SmV{+8u2kJEBPl@{j|E;xx5^k4VU22L&>fMx7FHTx^p^ zbVCUCVhoJo!|>yBFRbxzk-=w_Az9o- ze9)YsyAVV~k~;oc)BK#YfM;Ap1bP&Ju*hmH`IT1~dVKG8jljR!p%9-@A=1~m^#sbV zyh10>AR-cipGbRmT#@FBZPRp^2gcW^(IGu=N3RZh$fo?7lw1e{k%+(@PK^@?gph%@ zGuPSz0W=Zm*7tPEqYgz=7{&gksY{qmVHwz329jcT$Usy`T1uquN=q5V3Yk)?dyDur z;1EH_F%}FXf`GupGO+OsIE+6k_NYowtg3MHcMhk=2?RpOKwIgI0cavTecUf>{{6jc z!fp!7z?L$Q6uZOdqjJ{LVa;F5is`N<19YQq(m3QGD)wYPP_x9%zl1=15K{nK;=)0j zL;+g}Cal=hYcYDp7MP&HqJVDObPqznxI*0Yiua z)y8DHvJB8e?sa6XUt8kQhWPMuN3OoBo^rg95~pbVt2BSKd1>|e&K?kPRP18JBL!l% zZ2ap#J8x$A=rS-~B_S8DH2*VY))TM~kR^o}A%xZet4V+ogz2rql=%PzCi61TXMdjQ zGAqp8CYAxqz=|2@*7DufcU;ghRHxF!3J3&3Ob%>(1sZU`tubzV*@=$f$E$DFqy%z- zoH_5SPMssp>MC)t)%T!+U_|PqBmC(F5Fb6;$GBG=UV@CSQWG zjZ6nJhp15i9*LtPZ2j~xrP#$t<^!Y-+Km)rwahOmuH2Qr5+LTCX|#X$>r zI$KC*=NV!I0+t%$YLLv~$R=nG*(Pls$(_VXxo>;F1(m4ACxu%;AP{15U{i}dtyMr1 z;nKF|pQOeVmVt>GD5yk@F+h3c&A-DKwklnnfv@&3qW|vI8N-HIq%li3Pv!$PI|45t z5C}0juq7@8A+&&~V$EMoizzJwGcr(6i5hEw^2(ck|04?S|2hl?M?2a)Z4G|dX|ba| z8vZq%V0iye<~l%G<8crXhz~lUyAVV~0-{LXp(8}p-?Zv3B7!{Rz|nNzAGZM_779Uz z(c#oMfj|i9Y0D%hAptZJPe+oe7NBg;&xjQpc|~-PcJALRs!bG${eE2$MpXI$Bocu5FqQI8X6Ply?;;8`&{kKSipf)c|$ zZ5|U+bd{?|5jo@o?$#v)c^~`fn>p>>GO)=E6jY*`7Y8jWv4B7zY@-)@QmcR`BGX5} zDV~JHg@cx7h=2nff-@$TfsJRNpc2&#aZ$ZqKp+relIl&0J-`ps_T>ykB3#-p3kdQM zqNR%{6U)G+Gf>bq)%-YUt@*3DG36CxfF2u}Z1>LJK6}f+ybP>%)rbdXb!BPu_a7HN z#KUDcgfHC#4x%glYMEKqz1e_Wt7=ggpWL5_JEUOAeT?LK`6!-?NBDjUFEOJ+# zFQmjHpbRg$dJrFE$JJZ%)IM>Hse`AF5`ttx37|yiOT;fRUSiuVF*aMvK$3x_&EFpT zCn2m<+tr)W2zYm`ERlHT;Vw^&7)(YLfoBpK77w`@i$kPtDcl zFAwD^Ri&PC3HpEk^QLhJ7P$`Qi|3K{t2q|9Tz!kCcfoN580UT9Y>jO8&OtUNZ#}>> z)-dL<C9SHvQKcnFv?l-5@Dw)OtGMPRc&J`BB81YD9J6jGzDYpOgkDBst%or<1dv)@y z=4b!HKgOuLO9l16Kf>W327ig>|Gz%Y=6|VA-~3nx9%%*w;^LO5cV;#6!sg%i>VM5X z=v{Xa7$LNnl~j>F?r?gQ=0A)J;jALr1g#RyH9Fm0W6;%N-rtT~qWOytie0LAe98&A zVaoJzX3pvf@;-9(rnd}iC<6gw%~wgbw@H^SAP@+-lInGIaHe+yk%&wm4yP71|L-Q` z8&XtieQQ08=)XrB`nVowsM478g`|3B_@uu)AttHb@hK;$PDv@#$C){+C&>HA(VN~f zP&osiR_3co7eJP;lBeAKJ%--@8jVKiae&{mTI}F4J?@P<+EV$(0A50XU#qy;>Mj`J z;Y=Vn7>Xy74hq2I7)RGB>ZTd4&BExz`@6JC1H%gl1VZMz3qc4iqDbWpD%B1@ zxzUiL7G z#{!4;ROAlU;mkOJKnNLVOICz=v4?p?i{h!B! zs9N(sGiNzCi-r-66lX6czjKp(>KF6<7##hFc*OK%#7`ZGn`)53cNzTxwS#TGO3pTa zS3vMr|Lt@C96m?_UZO%I7oCGPi2}+*c>1`{-_{2ho%(1TwCn@>7nAO*_}ynjuB$rQ zk&&pU{^>tZ)svNHvN)MB?NgNV3{lJRKu@TYW|Pp>pyk){=!n^ z>_jJoa`i15X~+dW5K0YkHAv=gWD_)pY?{qlPx1V;FdqutJrwad-u4_|`gwed&JoxxiI?KSdWI%7b(N(lM z6zS3h1Og!@2eyJKC)?W|S@XwmHY{PSvAeY#KP|rnm8cCkKxxfiOO5F)1J{xPY0T2i zlled$iogp91VT&>Y>5j&2rVG0RBrwrPVg&kiyi!)g+EW~Xlw8j>kd1o(h;PE5^#^5 zaRPw=s=5$FJA`0Al9v1+A`fkc7mm^6pfHLSSe61ChRQoa;9*R4nq=35R*wQ_A|YcB z1>p0Cj?h_NdVD2`@3ze?oWjuKJFRlU@Z)kXtdZ4W(v??;A;0iS8pYA!VUJch@i&xw z1l_#o_RGD9CMC#24utdGx<38$Y!_Lz__kLSS*_(2%{ThODXG6tn?~RT1OjQ=j0-^s z?dPdi(!AF+vXsm23r!>I$LIuk2(x!6@=yTK|fzM)U!`{xP72QlNp6oarqeB%C2!w4C7lLp@2=)^}L_Iv< z6t_a1U<3kV6U)HHGf+^8YKFM(CjIzCOj5n$Q%+ybs$)z!2>Q&Nb!I+LsZD7a*j5J4 zRLR-q?>|0&^&mcwTCU!J1D-^Nxc&R@-qDW7x(+O zmD-d=8Nd%$tH@fvwww$W-@rAb@6>f2&uRXSLcn(M=8vgErjLLK_yVP17%>FKb7kva z|G9ZHyGNG+Y0UXTQe$SgJ@!}A^OTkN%B&)|mNR)w8R)A25lZ3cTKwGb)a28rHXs|1 zWxz79)ePjP=(W1WML&uDCh=44fyDzn!@KCn4$j7!e+LXQ&|>FQ7AD{dntw+_#@6Sc z`4aX9qJ1eE|MaTj)4`ZGyZc^tgB&#@4x;JyXlc1{yT(m-|yV_f$d-AROOvaKAPA6`m+D^f8tILT=Cz)^)S4l zzQ(>2lA)L3K7SmQbH4v@`ISEF!v_t`@yjs$4(tQiYaSw)Q_f)$hWnDSi|!o$`9uHR W7)CSLf1r3eOn;bun&zMVFaJOIx~T8~ literal 0 HcmV?d00001 diff --git a/Exp28/output_files/YL_dec7748.sta.rpt b/Exp28/output_files/YL_dec7748.sta.rpt new file mode 100644 index 0000000..34f758b --- /dev/null +++ b/Exp28/output_files/YL_dec7748.sta.rpt @@ -0,0 +1,444 @@ +TimeQuest Timing Analyzer report for YL_dec7748 +Sun May 03 17:00:29 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow Model Fmax Summary + 6. Slow Model Setup Summary + 7. Slow Model Hold Summary + 8. Slow Model Recovery Summary + 9. Slow Model Removal Summary + 10. Slow Model Minimum Pulse Width Summary + 11. Propagation Delay + 12. Minimum Propagation Delay + 13. Fast Model Setup Summary + 14. Fast Model Hold Summary + 15. Fast Model Recovery Summary + 16. Fast Model Removal Summary + 17. Fast Model Minimum Pulse Width Summary + 18. Propagation Delay + 19. Minimum Propagation Delay + 20. Multicorner Timing Analysis Summary + 21. Progagation Delay + 22. Minimum Progagation Delay + 23. Clock Transfers + 24. Report TCCS + 25. Report RSKM + 26. Unconstrained Paths + 27. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++--------------------+-------------------------------------------------------------------+ +; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; +; Revision Name ; YL_dec7748 ; +; Device Family ; Cyclone II ; +; Device Name ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Unavailable ; ++--------------------+-------------------------------------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +--------------------------- +; Slow Model Fmax Summary ; +--------------------------- +No paths to report. + + +---------------------------- +; Slow Model Setup Summary ; +---------------------------- +No paths to report. + + +--------------------------- +; Slow Model Hold Summary ; +--------------------------- +No paths to report. + + +------------------------------- +; Slow Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Slow Model Removal Summary ; +------------------------------ +No paths to report. + + +------------------------------------------ +; Slow Model Minimum Pulse Width Summary ; +------------------------------------------ +No paths to report. + + ++--------------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A ; OUTPUT_A ; 13.260 ; 13.260 ; 13.260 ; 13.260 ; +; INPUT_A ; OUTPUT_B ; 11.167 ; 11.167 ; 11.167 ; 11.167 ; +; INPUT_A ; OUTPUT_C ; 11.414 ; ; ; 11.414 ; +; INPUT_A ; OUTPUT_D ; 11.576 ; 11.576 ; 11.576 ; 11.576 ; +; INPUT_A ; OUTPUT_E ; ; 11.915 ; 11.915 ; ; +; INPUT_A ; OUTPUT_F ; ; 11.165 ; 11.165 ; ; +; INPUT_A ; OUTPUT_G ; ; 11.134 ; 11.134 ; ; +; INPUT_B ; OUTPUT_A ; 13.063 ; 13.063 ; 13.063 ; 13.063 ; +; INPUT_B ; OUTPUT_B ; 10.961 ; 10.961 ; 10.961 ; 10.961 ; +; INPUT_B ; OUTPUT_C ; ; 11.208 ; 11.208 ; ; +; INPUT_B ; OUTPUT_D ; 11.341 ; 11.341 ; 11.341 ; 11.341 ; +; INPUT_B ; OUTPUT_E ; 11.754 ; ; ; 11.754 ; +; INPUT_B ; OUTPUT_F ; ; 10.967 ; 10.967 ; ; +; INPUT_B ; OUTPUT_G ; 10.907 ; 10.907 ; 10.907 ; 10.907 ; +; INPUT_C ; OUTPUT_A ; 13.132 ; 13.132 ; 13.132 ; 13.132 ; +; INPUT_C ; OUTPUT_B ; ; 11.046 ; 11.046 ; ; +; INPUT_C ; OUTPUT_C ; 11.293 ; 11.293 ; 11.293 ; 11.293 ; +; INPUT_C ; OUTPUT_D ; 11.455 ; 11.455 ; 11.455 ; 11.455 ; +; INPUT_C ; OUTPUT_E ; ; 11.838 ; 11.838 ; ; +; INPUT_C ; OUTPUT_F ; 11.037 ; ; ; 11.037 ; +; INPUT_C ; OUTPUT_G ; 11.006 ; 11.006 ; 11.006 ; 11.006 ; +; INPUT_D ; OUTPUT_A ; 12.550 ; 12.550 ; 12.550 ; 12.550 ; +; INPUT_D ; OUTPUT_B ; ; 10.467 ; 10.467 ; ; +; INPUT_D ; OUTPUT_C ; ; 10.711 ; 10.711 ; ; +; INPUT_D ; OUTPUT_F ; 10.455 ; ; ; 10.455 ; +; INPUT_D ; OUTPUT_G ; 10.396 ; ; ; 10.396 ; ++------------+-------------+--------+--------+--------+--------+ + + ++--------------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A ; OUTPUT_A ; 13.260 ; 13.260 ; 13.260 ; 13.260 ; +; INPUT_A ; OUTPUT_B ; 11.167 ; 11.167 ; 11.167 ; 11.167 ; +; INPUT_A ; OUTPUT_C ; 11.414 ; ; ; 11.414 ; +; INPUT_A ; OUTPUT_D ; 11.576 ; 11.576 ; 11.576 ; 11.576 ; +; INPUT_A ; OUTPUT_E ; ; 11.915 ; 11.915 ; ; +; INPUT_A ; OUTPUT_F ; ; 11.165 ; 11.165 ; ; +; INPUT_A ; OUTPUT_G ; ; 11.134 ; 11.134 ; ; +; INPUT_B ; OUTPUT_A ; 13.063 ; 13.063 ; 13.063 ; 13.063 ; +; INPUT_B ; OUTPUT_B ; 10.961 ; 10.961 ; 10.961 ; 10.961 ; +; INPUT_B ; OUTPUT_C ; ; 11.208 ; 11.208 ; ; +; INPUT_B ; OUTPUT_D ; 11.341 ; 11.341 ; 11.341 ; 11.341 ; +; INPUT_B ; OUTPUT_E ; 11.754 ; ; ; 11.754 ; +; INPUT_B ; OUTPUT_F ; ; 10.967 ; 10.967 ; ; +; INPUT_B ; OUTPUT_G ; 10.907 ; 10.907 ; 10.907 ; 10.907 ; +; INPUT_C ; OUTPUT_A ; 13.132 ; 13.132 ; 13.132 ; 13.132 ; +; INPUT_C ; OUTPUT_B ; ; 11.046 ; 11.046 ; ; +; INPUT_C ; OUTPUT_C ; 11.293 ; 11.293 ; 11.293 ; 11.293 ; +; INPUT_C ; OUTPUT_D ; 11.455 ; 11.455 ; 11.455 ; 11.455 ; +; INPUT_C ; OUTPUT_E ; ; 11.838 ; 11.838 ; ; +; INPUT_C ; OUTPUT_F ; 11.037 ; ; ; 11.037 ; +; INPUT_C ; OUTPUT_G ; 11.006 ; 11.006 ; 11.006 ; 11.006 ; +; INPUT_D ; OUTPUT_A ; 12.550 ; 12.550 ; 12.550 ; 12.550 ; +; INPUT_D ; OUTPUT_B ; ; 10.467 ; 10.467 ; ; +; INPUT_D ; OUTPUT_C ; ; 10.711 ; 10.711 ; ; +; INPUT_D ; OUTPUT_F ; 10.455 ; ; ; 10.455 ; +; INPUT_D ; OUTPUT_G ; 10.396 ; ; ; 10.396 ; ++------------+-------------+--------+--------+--------+--------+ + + +---------------------------- +; Fast Model Setup Summary ; +---------------------------- +No paths to report. + + +--------------------------- +; Fast Model Hold Summary ; +--------------------------- +No paths to report. + + +------------------------------- +; Fast Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Fast Model Removal Summary ; +------------------------------ +No paths to report. + + +------------------------------------------ +; Fast Model Minimum Pulse Width Summary ; +------------------------------------------ +No paths to report. + + ++----------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A ; OUTPUT_A ; 6.571 ; 6.571 ; 6.571 ; 6.571 ; +; INPUT_A ; OUTPUT_B ; 5.726 ; 5.726 ; 5.726 ; 5.726 ; +; INPUT_A ; OUTPUT_C ; 5.804 ; ; ; 5.804 ; +; INPUT_A ; OUTPUT_D ; 5.915 ; 5.915 ; 5.915 ; 5.915 ; +; INPUT_A ; OUTPUT_E ; ; 5.997 ; 5.997 ; ; +; INPUT_A ; OUTPUT_F ; ; 5.727 ; 5.727 ; ; +; INPUT_A ; OUTPUT_G ; ; 5.695 ; 5.695 ; ; +; INPUT_B ; OUTPUT_A ; 6.383 ; 6.383 ; 6.383 ; 6.383 ; +; INPUT_B ; OUTPUT_B ; 5.531 ; 5.531 ; 5.531 ; 5.531 ; +; INPUT_B ; OUTPUT_C ; ; 5.611 ; 5.611 ; ; +; INPUT_B ; OUTPUT_D ; 5.720 ; 5.720 ; 5.720 ; 5.720 ; +; INPUT_B ; OUTPUT_E ; 5.802 ; ; ; 5.802 ; +; INPUT_B ; OUTPUT_F ; ; 5.541 ; 5.541 ; ; +; INPUT_B ; OUTPUT_G ; 5.510 ; 5.510 ; 5.510 ; 5.510 ; +; INPUT_C ; OUTPUT_A ; 6.508 ; 6.508 ; 6.508 ; 6.508 ; +; INPUT_C ; OUTPUT_B ; ; 5.669 ; 5.669 ; ; +; INPUT_C ; OUTPUT_C ; 5.747 ; 5.747 ; 5.747 ; 5.747 ; +; INPUT_C ; OUTPUT_D ; 5.857 ; 5.857 ; 5.857 ; 5.857 ; +; INPUT_C ; OUTPUT_E ; ; 5.940 ; 5.940 ; ; +; INPUT_C ; OUTPUT_F ; 5.661 ; ; ; 5.661 ; +; INPUT_C ; OUTPUT_G ; 5.629 ; 5.629 ; 5.629 ; 5.629 ; +; INPUT_D ; OUTPUT_A ; 6.185 ; 6.185 ; 6.185 ; 6.185 ; +; INPUT_D ; OUTPUT_B ; ; 5.349 ; 5.349 ; ; +; INPUT_D ; OUTPUT_C ; ; 5.434 ; 5.434 ; ; +; INPUT_D ; OUTPUT_F ; 5.338 ; ; ; 5.338 ; +; INPUT_D ; OUTPUT_G ; 5.310 ; ; ; 5.310 ; ++------------+-------------+-------+-------+-------+-------+ + + ++----------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A ; OUTPUT_A ; 6.571 ; 6.571 ; 6.571 ; 6.571 ; +; INPUT_A ; OUTPUT_B ; 5.726 ; 5.726 ; 5.726 ; 5.726 ; +; INPUT_A ; OUTPUT_C ; 5.804 ; ; ; 5.804 ; +; INPUT_A ; OUTPUT_D ; 5.915 ; 5.915 ; 5.915 ; 5.915 ; +; INPUT_A ; OUTPUT_E ; ; 5.997 ; 5.997 ; ; +; INPUT_A ; OUTPUT_F ; ; 5.727 ; 5.727 ; ; +; INPUT_A ; OUTPUT_G ; ; 5.695 ; 5.695 ; ; +; INPUT_B ; OUTPUT_A ; 6.383 ; 6.383 ; 6.383 ; 6.383 ; +; INPUT_B ; OUTPUT_B ; 5.531 ; 5.531 ; 5.531 ; 5.531 ; +; INPUT_B ; OUTPUT_C ; ; 5.611 ; 5.611 ; ; +; INPUT_B ; OUTPUT_D ; 5.720 ; 5.720 ; 5.720 ; 5.720 ; +; INPUT_B ; OUTPUT_E ; 5.802 ; ; ; 5.802 ; +; INPUT_B ; OUTPUT_F ; ; 5.541 ; 5.541 ; ; +; INPUT_B ; OUTPUT_G ; 5.510 ; 5.510 ; 5.510 ; 5.510 ; +; INPUT_C ; OUTPUT_A ; 6.508 ; 6.508 ; 6.508 ; 6.508 ; +; INPUT_C ; OUTPUT_B ; ; 5.669 ; 5.669 ; ; +; INPUT_C ; OUTPUT_C ; 5.747 ; 5.747 ; 5.747 ; 5.747 ; +; INPUT_C ; OUTPUT_D ; 5.857 ; 5.857 ; 5.857 ; 5.857 ; +; INPUT_C ; OUTPUT_E ; ; 5.940 ; 5.940 ; ; +; INPUT_C ; OUTPUT_F ; 5.661 ; ; ; 5.661 ; +; INPUT_C ; OUTPUT_G ; 5.629 ; 5.629 ; 5.629 ; 5.629 ; +; INPUT_D ; OUTPUT_A ; 6.185 ; 6.185 ; 6.185 ; 6.185 ; +; INPUT_D ; OUTPUT_B ; ; 5.349 ; 5.349 ; ; +; INPUT_D ; OUTPUT_C ; ; 5.434 ; 5.434 ; ; +; INPUT_D ; OUTPUT_F ; 5.338 ; ; ; 5.338 ; +; INPUT_D ; OUTPUT_G ; 5.310 ; ; ; 5.310 ; ++------------+-------------+-------+-------+-------+-------+ + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++--------------------------------------------------------------+ +; Progagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A ; OUTPUT_A ; 13.260 ; 13.260 ; 13.260 ; 13.260 ; +; INPUT_A ; OUTPUT_B ; 11.167 ; 11.167 ; 11.167 ; 11.167 ; +; INPUT_A ; OUTPUT_C ; 11.414 ; ; ; 11.414 ; +; INPUT_A ; OUTPUT_D ; 11.576 ; 11.576 ; 11.576 ; 11.576 ; +; INPUT_A ; OUTPUT_E ; ; 11.915 ; 11.915 ; ; +; INPUT_A ; OUTPUT_F ; ; 11.165 ; 11.165 ; ; +; INPUT_A ; OUTPUT_G ; ; 11.134 ; 11.134 ; ; +; INPUT_B ; OUTPUT_A ; 13.063 ; 13.063 ; 13.063 ; 13.063 ; +; INPUT_B ; OUTPUT_B ; 10.961 ; 10.961 ; 10.961 ; 10.961 ; +; INPUT_B ; OUTPUT_C ; ; 11.208 ; 11.208 ; ; +; INPUT_B ; OUTPUT_D ; 11.341 ; 11.341 ; 11.341 ; 11.341 ; +; INPUT_B ; OUTPUT_E ; 11.754 ; ; ; 11.754 ; +; INPUT_B ; OUTPUT_F ; ; 10.967 ; 10.967 ; ; +; INPUT_B ; OUTPUT_G ; 10.907 ; 10.907 ; 10.907 ; 10.907 ; +; INPUT_C ; OUTPUT_A ; 13.132 ; 13.132 ; 13.132 ; 13.132 ; +; INPUT_C ; OUTPUT_B ; ; 11.046 ; 11.046 ; ; +; INPUT_C ; OUTPUT_C ; 11.293 ; 11.293 ; 11.293 ; 11.293 ; +; INPUT_C ; OUTPUT_D ; 11.455 ; 11.455 ; 11.455 ; 11.455 ; +; INPUT_C ; OUTPUT_E ; ; 11.838 ; 11.838 ; ; +; INPUT_C ; OUTPUT_F ; 11.037 ; ; ; 11.037 ; +; INPUT_C ; OUTPUT_G ; 11.006 ; 11.006 ; 11.006 ; 11.006 ; +; INPUT_D ; OUTPUT_A ; 12.550 ; 12.550 ; 12.550 ; 12.550 ; +; INPUT_D ; OUTPUT_B ; ; 10.467 ; 10.467 ; ; +; INPUT_D ; OUTPUT_C ; ; 10.711 ; 10.711 ; ; +; INPUT_D ; OUTPUT_F ; 10.455 ; ; ; 10.455 ; +; INPUT_D ; OUTPUT_G ; 10.396 ; ; ; 10.396 ; ++------------+-------------+--------+--------+--------+--------+ + + ++----------------------------------------------------------+ +; Minimum Progagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A ; OUTPUT_A ; 6.571 ; 6.571 ; 6.571 ; 6.571 ; +; INPUT_A ; OUTPUT_B ; 5.726 ; 5.726 ; 5.726 ; 5.726 ; +; INPUT_A ; OUTPUT_C ; 5.804 ; ; ; 5.804 ; +; INPUT_A ; OUTPUT_D ; 5.915 ; 5.915 ; 5.915 ; 5.915 ; +; INPUT_A ; OUTPUT_E ; ; 5.997 ; 5.997 ; ; +; INPUT_A ; OUTPUT_F ; ; 5.727 ; 5.727 ; ; +; INPUT_A ; OUTPUT_G ; ; 5.695 ; 5.695 ; ; +; INPUT_B ; OUTPUT_A ; 6.383 ; 6.383 ; 6.383 ; 6.383 ; +; INPUT_B ; OUTPUT_B ; 5.531 ; 5.531 ; 5.531 ; 5.531 ; +; INPUT_B ; OUTPUT_C ; ; 5.611 ; 5.611 ; ; +; INPUT_B ; OUTPUT_D ; 5.720 ; 5.720 ; 5.720 ; 5.720 ; +; INPUT_B ; OUTPUT_E ; 5.802 ; ; ; 5.802 ; +; INPUT_B ; OUTPUT_F ; ; 5.541 ; 5.541 ; ; +; INPUT_B ; OUTPUT_G ; 5.510 ; 5.510 ; 5.510 ; 5.510 ; +; INPUT_C ; OUTPUT_A ; 6.508 ; 6.508 ; 6.508 ; 6.508 ; +; INPUT_C ; OUTPUT_B ; ; 5.669 ; 5.669 ; ; +; INPUT_C ; OUTPUT_C ; 5.747 ; 5.747 ; 5.747 ; 5.747 ; +; INPUT_C ; OUTPUT_D ; 5.857 ; 5.857 ; 5.857 ; 5.857 ; +; INPUT_C ; OUTPUT_E ; ; 5.940 ; 5.940 ; ; +; INPUT_C ; OUTPUT_F ; 5.661 ; ; ; 5.661 ; +; INPUT_C ; OUTPUT_G ; 5.629 ; 5.629 ; 5.629 ; 5.629 ; +; INPUT_D ; OUTPUT_A ; 6.185 ; 6.185 ; 6.185 ; 6.185 ; +; INPUT_D ; OUTPUT_B ; ; 5.349 ; 5.349 ; ; +; INPUT_D ; OUTPUT_C ; ; 5.434 ; 5.434 ; ; +; INPUT_D ; OUTPUT_F ; 5.338 ; ; ; 5.338 ; +; INPUT_D ; OUTPUT_G ; 5.310 ; ; ; 5.310 ; ++------------+-------------+-------+-------+-------+-------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 4 ; 4 ; +; Unconstrained Input Port Paths ; 26 ; 26 ; +; Unconstrained Output Ports ; 7 ; 7 ; +; Unconstrained Output Port Paths ; 26 ; 26 ; ++---------------------------------+-------+------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 17:00:28 2020 +Info: Command: quartus_sta YL_dec7748 -c YL_dec7748 +Info: qsta_default_script.tcl version: #1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_dec7748.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow Model +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332001): The selected device family is not supported by the report_metastability command. +Info: Analyzing Fast Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332001): The selected device family is not supported by the report_metastability command. +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4541 megabytes + Info: Processing ended: Sun May 03 17:00:29 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/Exp28/output_files/YL_dec7748.sta.summary b/Exp28/output_files/YL_dec7748.sta.summary new file mode 100644 index 0000000..33f7436 --- /dev/null +++ b/Exp28/output_files/YL_dec7748.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/Exp28/simulation/qsim/YL_dec7748.sim.vwf b/Exp28/simulation/qsim/YL_dec7748.sim.vwf new file mode 100644 index 0000000..a042ec7 --- /dev/null +++ b/Exp28/simulation/qsim/YL_dec7748.sim.vwf @@ -0,0 +1,437 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("INPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("INPUT_A") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_B") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_C") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 63.26; + LEVEL 0 FOR 49.803; + LEVEL 1 FOR 100.069; + LEVEL 0 FOR 50.128; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 49.29; + LEVEL 0 FOR 0.582; + LEVEL 1 FOR 99.931; + LEVEL 0 FOR 150.197; + LEVEL 1 FOR 49.803; + LEVEL 0 FOR 99.487; + LEVEL 1 FOR 50.71; + LEVEL 0 FOR 49.803; + LEVEL 1 FOR 86.937; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 261.167; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 49.3; + LEVEL 0 FOR 0.7; + LEVEL 1 FOR 99.794; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 50.206; + LEVEL 0 FOR 149.3; + LEVEL 1 FOR 189.533; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 111.414; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 249.297; + LEVEL 0 FOR 0.582; + LEVEL 1 FOR 100.121; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 49.879; + LEVEL 0 FOR 199.418; + LEVEL 1 FOR 100.703; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 38.586; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.576; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 49.765; + LEVEL 1 FOR 100.114; + LEVEL 0 FOR 50.121; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 49.879; + LEVEL 1 FOR 50.121; + } + LEVEL 0 FOR 49.765; + LEVEL 1 FOR 88.659; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.915; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 49.923; + LEVEL 1 FOR 50.077; + } + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 38.085; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 61.165; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 150.0; + LEVEL 0 FOR 49.802; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 150.198; + LEVEL 0 FOR 49.802; + LEVEL 1 FOR 50.198; + LEVEL 0 FOR 138.835; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.907; + LEVEL 1 FOR 250.227; + LEVEL 0 FOR 49.773; + LEVEL 1 FOR 350.227; + LEVEL 0 FOR 149.773; + LEVEL 1 FOR 89.093; + } +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/7segment.bsf b/Exp28_Decoder/7segment.bsf new file mode 100644 index 0000000..c9f4ae8 --- /dev/null +++ b/Exp28_Decoder/7segment.bsf @@ -0,0 +1,85 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 144 192) + (text "7segment" (rect 5 0 43 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 21 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 42 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 4 12)(font "Arial" )) + (text "a" (rect 103 27 107 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 1)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 4 12)(font "Arial" )) + (text "b" (rect 103 43 107 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)(line_width 1)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 4 12)(font "Arial" )) + (text "c" (rect 103 59 107 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)(line_width 1)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 4 12)(font "Arial" )) + (text "d" (rect 103 75 107 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)(line_width 1)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 4 12)(font "Arial" )) + (text "e" (rect 103 91 107 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)(line_width 1)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 3 12)(font "Arial" )) + (text "f" (rect 104 107 107 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)(line_width 1)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 4 12)(font "Arial" )) + (text "g" (rect 103 123 107 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 112 160)(line_width 1)) + ) +) diff --git a/Exp28_Decoder/YL_7SegmentDecoder.bdf b/Exp28_Decoder/YL_7SegmentDecoder.bdf new file mode 100644 index 0000000..d9b456d --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder.bdf @@ -0,0 +1,1195 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect -88 216 80 232) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "ent" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -160 208 -104 224)) +) +(pin + (input) + (rect -88 232 80 248) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -160 224 -104 240)) +) +(pin + (input) + (rect -88 248 80 264) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clear" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -168 240 -104 256)) +) +(pin + (output) + (rect 648 168 824 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 176 872 192)) +) +(pin + (output) + (rect 648 200 824 216) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 208 872 224)) +) +(pin + (output) + (rect 648 232 824 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 240 880 256)) +) +(pin + (output) + (rect 648 264 824 280) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 272 880 288)) +) +(pin + (output) + (rect 648 296 824 312) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 304 872 320)) +) +(pin + (output) + (rect 648 328 824 344) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 336 872 352)) +) +(pin + (output) + (rect 648 360 824 376) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 824 368 872 384)) +) +(pin + (output) + (rect 648 408 824 424) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A1" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 560 1520 576)) +) +(pin + (output) + (rect 648 440 824 456) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 592 1520 608)) +) +(pin + (output) + (rect 648 472 824 488) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C3" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 624 1528 640)) +) +(pin + (output) + (rect 648 504 824 520) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D4" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 656 1528 672)) +) +(pin + (output) + (rect 648 536 824 552) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E5" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 688 1520 704)) +) +(pin + (output) + (rect 648 568 824 584) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F6" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 720 1520 736)) +) +(pin + (output) + (rect 648 600 824 616) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G7" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1472 752 1520 768)) +) +(symbol + (rect 600 160 648 192) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 21 20 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 192 648 224) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 224 648 256) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 256 648 288) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 288 648 320) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 320 648 352) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 352 648 384) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 384 184 512 360) + (text "7segment" (rect 5 0 53 12)(font "Arial" )) + (text "inst_" (rect 8 160 31 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(symbol + (rect 120 16 264 96) + (text "sec_cnt" (rect 5 0 43 12)(font "Arial" )) + (text "inst10" (rect 8 64 37 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 144 32) + (output) + (text "second" (rect 0 0 35 12)(font "Arial" )) + (text "second" (rect 94 27 129 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(symbol + (rect 168 184 328 296) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst8" (rect 8 96 31 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect 160 424 320 536) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst11" (rect 8 96 37 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect 600 400 648 432) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 432 648 464) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 464 648 496) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 496 648 528) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst14" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 528 648 560) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst15" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 560 648 592) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst16" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 600 592 648 624) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst17" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 384 424 512 600) + (text "7segment" (rect 5 0 53 12)(font "Arial" )) + (text "inst_12" (rect 8 160 43 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(connector + (pt 600 176) + (pt 536 176) +) +(connector + (pt 600 208) + (pt 568 208) +) +(connector + (pt 600 240) + (pt 568 240) +) +(connector + (pt 600 272) + (pt 560 272) +) +(connector + (pt 600 304) + (pt 552 304) +) +(connector + (pt 600 336) + (pt 544 336) +) +(connector + (pt 536 176) + (pt 536 216) +) +(connector + (pt 568 208) + (pt 568 232) +) +(connector + (pt 568 240) + (pt 568 248) +) +(connector + (pt 560 272) + (pt 560 264) +) +(connector + (pt 552 304) + (pt 552 280) +) +(connector + (pt 544 336) + (pt 544 296) +) +(connector + (pt 600 368) + (pt 512 368) +) +(connector + (pt 512 368) + (pt 512 312) +) +(connector + (pt 512 216) + (pt 536 216) +) +(connector + (pt 512 232) + (pt 568 232) +) +(connector + (pt 512 248) + (pt 568 248) +) +(connector + (pt 512 264) + (pt 560 264) +) +(connector + (pt 512 280) + (pt 552 280) +) +(connector + (pt 512 296) + (pt 544 296) +) +(connector + (pt 328 232) + (pt 336 232) +) +(connector + (pt 168 216) + (pt 168 208) +) +(connector + (pt 160 232) + (pt 160 224) +) +(connector + (pt 168 232) + (pt 160 232) +) +(connector + (pt 160 248) + (pt 160 240) +) +(connector + (pt 168 248) + (pt 160 248) +) +(connector + (pt 160 264) + (pt 160 256) +) +(connector + (pt 168 264) + (pt 160 264) +) +(connector + (pt 160 256) + (pt 80 256) +) +(connector + (pt 272 144) + (pt 128 144) +) +(connector + (pt 128 208) + (pt 168 208) +) +(connector + (pt 104 48) + (pt 120 48) +) +(connector + (pt 272 48) + (pt 264 48) +) +(connector + (pt 272 144) + (pt 272 48) +) +(connector + (pt 600 416) + (pt 536 416) +) +(connector + (pt 600 576) + (pt 544 576) +) +(connector + (pt 536 416) + (pt 536 456) +) +(connector + (pt 568 448) + (pt 568 472) +) +(connector + (pt 568 480) + (pt 568 488) +) +(connector + (pt 560 512) + (pt 560 504) +) +(connector + (pt 552 544) + (pt 552 520) +) +(connector + (pt 544 576) + (pt 544 536) +) +(connector + (pt 600 608) + (pt 512 608) +) +(connector + (pt 512 608) + (pt 512 552) +) +(connector + (pt 568 448) + (pt 600 448) +) +(connector + (pt 568 480) + (pt 600 480) +) +(connector + (pt 560 512) + (pt 600 512) +) +(connector + (pt 552 544) + (pt 600 544) +) +(connector + (pt 512 456) + (pt 536 456) +) +(connector + (pt 512 472) + (pt 568 472) +) +(connector + (pt 512 488) + (pt 568 488) +) +(connector + (pt 512 504) + (pt 560 504) +) +(connector + (pt 512 520) + (pt 552 520) +) +(connector + (pt 512 536) + (pt 544 536) +) +(connector + (pt 320 456) + (pt 384 456) + (bus) +) +(connector + (pt 104 488) + (pt 160 488) +) +(connector + (pt 80 256) + (pt 80 504) +) +(connector + (pt 80 504) + (pt 160 504) +) +(connector + (pt 336 368) + (pt 336 232) +) +(connector + (pt 336 368) + (pt 160 368) +) +(connector + (pt 160 368) + (pt 160 456) +) +(connector + (pt 80 240) + (pt 104 240) +) +(connector + (pt 104 240) + (pt 160 240) +) +(connector + (pt 104 48) + (pt 104 240) +) +(connector + (pt 104 240) + (pt 104 488) +) +(connector + (pt 328 216) + (pt 384 216) + (bus) +) +(connector + (pt 80 224) + (pt 160 224) +) +(connector + (pt 128 472) + (pt 160 472) +) +(connector + (pt 128 144) + (pt 128 208) +) +(connector + (pt 128 208) + (pt 128 472) +) +(junction (pt 104 240)) +(junction (pt 80 256)) +(junction (pt 128 208)) diff --git a/Exp28_Decoder/YL_7SegmentDecoder.qpf b/Exp28_Decoder/YL_7SegmentDecoder.qpf new file mode 100644 index 0000000..a5300f8 --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 17:23:33 May 03, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "17:23:33 May 03, 2020" + +# Revisions + +PROJECT_REVISION = "YL_7SegmentDecoder" diff --git a/Exp28_Decoder/YL_7SegmentDecoder.qsf b/Exp28_Decoder/YL_7SegmentDecoder.qsf new file mode 100644 index 0000000..a2b3be8 --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder.qsf @@ -0,0 +1,83 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 17:23:33 May 03, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_7SegmentDecoder_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_7SegmentDecoder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:23:33 MAY 03, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_J2 -to OUTPUT_A +set_location_assignment PIN_J1 -to OUTPUT_B +set_location_assignment PIN_H2 -to OUTPUT_C +set_location_assignment PIN_H1 -to OUTPUT_D +set_location_assignment PIN_F2 -to OUTPUT_E +set_location_assignment PIN_F1 -to OUTPUT_F +set_location_assignment PIN_E2 -to OUTPUT_G +set_global_assignment -name SIMULATION_MODE FUNCTIONAL +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_global_assignment -name AHDL_FILE YL_dec_counter.tdf +set_global_assignment -name BDF_FILE YL_7SegmentDecoder.bdf +set_global_assignment -name AHDL_FILE YL_7SegmentDecoder.tdf +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_7SegmentDecoder.vwf +set_global_assignment -name BDF_FILE YL_7SegmentDecoder2.bdf +set_location_assignment PIN_M22 -to clear +set_location_assignment PIN_L1 -to clk +set_location_assignment PIN_L22 -to enc +set_location_assignment PIN_L21 -to ent +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_7SegmentDecoder2.vwf +set_global_assignment -name AHDL_FILE YL_sec_cnt.tdf +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_7SegmentDecoder3.vwf +set_global_assignment -name BDF_FILE YL_Cascade.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_cascade.vwf +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_cascade.vwf" +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Exp28_Decoder/YL_7SegmentDecoder.qws b/Exp28_Decoder/YL_7SegmentDecoder.qws new file mode 100644 index 0000000000000000000000000000000000000000..c4ecd3153e5a706e735eb95a2c7df20012aed90a GIT binary patch literal 5671 zcmeI0O>0v@6o$`DMC(ReiJ(Xjks{>drnjW6n_{Y~g5U>I5K5Zd)Y6ZXBw9tPdvT+< z5nQU7zq2>^3;& zZJBa~6>dkJu{m%G-T~=KxWFrc8e%CPwd;rOd44Ek^{B6J5=;`K)qo1fT$Q-WleP= zQHnTP0g+Z)7r^N1l87X~f>~;9v*@*5jHFSb!(_ZKpFg>Q%)avY9Q$#S)x4$sojgIG zX%eq!x00Azz@$E06A!D7pLrzWWg58;a^EpkoD_R={PN@y+EvO?bQRUa)VM|q=bf^! z_kp6DxrsW$_Xr1rxnk13nR^z(EkQus3-ay3*`bbt7k^d?LpCNXK z99T*5(qDXXKkxkEw)t_F^;;;fiDLOi6=^n0NL2otlkfbi&#eok0s_w;qFz+B6aI9siH-ePsz(3W`7ur^@d=l?&f9Wq1XdZKjq&m+cmUEA>99XFD`eu%v>2g#Bj zi7Ol#qy5%X_aXk5cc6a7)SmxHIEEhGc{uIx$Aa7N&QYno`*FVWL a, b, c, d, e, f, g; + H"0" => 1, 1, 1, 1, 1, 1, 0; + H"1" => 0, 1, 1, 0, 0, 0, 0; + H"2" => 1, 1, 0, 1, 1, 0, 1; + H"3" => 1, 1, 1, 1, 0, 0, 1; + H"4" => 0, 1, 1, 0, 0, 1, 1; + H"5" => 1, 0, 1, 1, 0, 1, 1; + H"6" => 1, 0, 1, 1, 1, 1, 1; + H"7" => 1, 1, 1, 0, 0, 0, 0; + H"8" => 1, 1, 1, 1, 1, 1, 1; + H"9" => 1, 1, 1, 1, 0, 1, 1; + H"A" => 1, 1, 1, 0, 1, 1, 1; + H"B" => 0, 0, 1, 1, 1, 1, 1; + H"C" => 1, 0, 0, 1, 1, 1, 0; + H"D" => 0, 1, 1, 1, 1, 0, 1; + H"E" => 1, 0, 0, 1, 1, 1, 1; + H"F" => 1, 0, 0, 0, 1, 1, 1; + END TABLE; +END; diff --git a/Exp28_Decoder/YL_7SegmentDecoder.vwf b/Exp28_Decoder/YL_7SegmentDecoder.vwf new file mode 100644 index 0000000..9a3ca7d --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder.vwf @@ -0,0 +1,394 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("in") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "in"; +} + +SIGNAL("in[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "in"; +} + +SIGNAL("in[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "in"; +} + +SIGNAL("in[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "in"; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("in[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("in[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("in[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("in[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "in"; + EXPAND_STATUS = COLLAPSED; + RADIX = Hexadecimal; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2, 3, 4; +} + +DISPLAY_LINE +{ + CHANNEL = "in[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Hexadecimal; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Hexadecimal; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Hexadecimal; + TREE_INDEX = 3; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Hexadecimal; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_7SegmentDecoder.vwf.temp b/Exp28_Decoder/YL_7SegmentDecoder.vwf.temp new file mode 100644 index 0000000..721d569 --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder.vwf.temp @@ -0,0 +1,371 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("in[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("in[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("in[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("in[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("in[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("in[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "in[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "in[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_7SegmentDecoder2.bdf b/Exp28_Decoder/YL_7SegmentDecoder2.bdf new file mode 100644 index 0000000..04f8c53 --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder2.bdf @@ -0,0 +1,160 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 120 112 288 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "pin_name2" (rect 5 0 58 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 120 128 288 144) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "pin_name3" (rect 5 0 58 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 120 144 288 160) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "pin_name4" (rect 5 0 58 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 112 96 288 112) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "pin_name1" (rect 9 0 62 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(symbol + (rect 304 72 464 184) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst8" (rect 8 96 31 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(connector + (pt 288 104) + (pt 304 104) +) +(connector + (pt 288 120) + (pt 304 120) +) +(connector + (pt 288 136) + (pt 304 136) +) +(connector + (pt 288 152) + (pt 304 152) +) +(connector + (pt 464 120) + (pt 472 120) +) +(connector + (pt 464 104) + (pt 480 104) + (bus) +) diff --git a/Exp28_Decoder/YL_7SegmentDecoder2.vwf b/Exp28_Decoder/YL_7SegmentDecoder2.vwf new file mode 100644 index 0000000..a44cf0f --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder2.vwf @@ -0,0 +1,361 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("enc") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 840.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("enc") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 680.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 580.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "enc"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_7SegmentDecoder3.vwf b/Exp28_Decoder/YL_7SegmentDecoder3.vwf new file mode 100644 index 0000000..32e90f2 --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder3.vwf @@ -0,0 +1,336 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 3000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 2480.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 440.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 150; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1680.0; + LEVEL 0 FOR 560.0; + LEVEL 1 FOR 760.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 2001.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_7SegmentDecoder3.vwf.temp b/Exp28_Decoder/YL_7SegmentDecoder3.vwf.temp new file mode 100644 index 0000000..ad7c06c --- /dev/null +++ b/Exp28_Decoder/YL_7SegmentDecoder3.vwf.temp @@ -0,0 +1,321 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_7segment_sign.tdf b/Exp28_Decoder/YL_7segment_sign.tdf new file mode 100644 index 0000000..7d5dde1 --- /dev/null +++ b/Exp28_Decoder/YL_7segment_sign.tdf @@ -0,0 +1,25 @@ +% 0 1 2 3 4 5 6 7 8 9 A b C d E F % +% % +SUBDESIGN 7segment +( + sign : INPUT; + a, b, c, d, e, f, g : OUTPUT; +) +BEGIN + + DEFAULTS + a = VCC; + b = VCC; + c = VCC; + d = VCC; + e = VCC; + f = VCC; + g = VCC; + END DEFAULTS; + + IF sign THEN + g = GND; + ELSE + g = VCC; + END iF; +END; diff --git a/Exp28_Decoder/YL_7segment_sign.tdf.bak b/Exp28_Decoder/YL_7segment_sign.tdf.bak new file mode 100644 index 0000000..5865cb1 --- /dev/null +++ b/Exp28_Decoder/YL_7segment_sign.tdf.bak @@ -0,0 +1,28 @@ +% 0 1 2 3 4 5 6 7 8 9 A b C d E F % +% % +SUBDESIGN 7segment +( + i[3..0] : INPUT; + a, b, c, d, e, f, g : OUTPUT; +) +BEGIN + TABLE + i[3..0] => a, b, c, d, e, f, g; + H"0" => 1, 1, 1, 1, 1, 1, 0; + H"1" => 0, 1, 1, 0, 0, 0, 0; + H"2" => 1, 1, 0, 1, 1, 0, 1; + H"3" => 1, 1, 1, 1, 0, 0, 1; + H"4" => 0, 1, 1, 0, 0, 1, 1; + H"5" => 1, 0, 1, 1, 0, 1, 1; + H"6" => 1, 0, 1, 1, 1, 1, 1; + H"7" => 1, 1, 1, 0, 0, 0, 0; + H"8" => 1, 1, 1, 1, 1, 1, 1; + H"9" => 1, 1, 1, 1, 0, 1, 1; + H"A" => 1, 1, 1, 0, 1, 1, 1; + H"B" => 0, 0, 1, 1, 1, 1, 1; + H"C" => 1, 0, 0, 1, 1, 1, 0; + H"D" => 0, 1, 1, 1, 1, 0, 1; + H"E" => 1, 0, 0, 1, 1, 1, 1; + H"F" => 1, 0, 0, 0, 1, 1, 1; + END TABLE; +END; diff --git a/Exp28_Decoder/YL_Cascade.bdf b/Exp28_Decoder/YL_Cascade.bdf new file mode 100644 index 0000000..76278db --- /dev/null +++ b/Exp28_Decoder/YL_Cascade.bdf @@ -0,0 +1,1199 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect -272 176 -104 192) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "ent" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -344 168 -288 184)) +) +(pin + (input) + (rect -272 192 -104 208) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -344 184 -288 200)) +) +(pin + (input) + (rect -272 208 -104 224) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clear" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -352 200 -288 216)) +) +(pin + (output) + (rect 464 128 640 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 136 688 152)) +) +(pin + (output) + (rect 464 160 640 176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 168 688 184)) +) +(pin + (output) + (rect 464 192 640 208) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 200 696 216)) +) +(pin + (output) + (rect 464 224 640 240) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 232 696 248)) +) +(pin + (output) + (rect 464 256 640 272) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 264 688 280)) +) +(pin + (output) + (rect 464 288 640 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 296 688 312)) +) +(pin + (output) + (rect 464 320 640 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 640 328 688 344)) +) +(pin + (output) + (rect 464 368 640 384) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A1" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 520 1336 536)) +) +(pin + (output) + (rect 464 400 640 416) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 552 1336 568)) +) +(pin + (output) + (rect 464 432 640 448) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C3" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 584 1344 600)) +) +(pin + (output) + (rect 464 464 640 480) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D4" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 616 1344 632)) +) +(pin + (output) + (rect 464 496 640 512) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E5" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 648 1336 664)) +) +(pin + (output) + (rect 464 528 640 544) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F6" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 680 1336 696)) +) +(pin + (output) + (rect 464 560 640 576) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G7" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1288 712 1336 728)) +) +(symbol + (rect 416 120 464 152) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 21 20 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 152 464 184) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 184 464 216) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 216 464 248) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 248 464 280) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 280 464 312) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 312 464 344) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 200 144 328 320) + (text "7segment" (rect 5 0 53 12)(font "Arial" )) + (text "inst_" (rect 8 160 31 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(symbol + (rect -64 -24 80 56) + (text "sec_cnt" (rect 5 0 43 12)(font "Arial" )) + (text "inst9" (rect 8 64 31 76)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 144 32) + (output) + (text "second" (rect 0 0 35 12)(font "Arial" )) + (text "second" (rect 94 27 129 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(symbol + (rect -16 144 144 256) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst8" (rect 8 96 31 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect -24 384 136 496) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst10" (rect 8 96 37 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect 416 360 464 392) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst11" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 392 464 424) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 424 464 456) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 456 464 488) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 488 464 520) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst14" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 520 464 552) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst15" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 416 552 464 584) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst16" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 200 384 328 560) + (text "7segment" (rect 5 0 53 12)(font "Arial" )) + (text "inst_12" (rect 8 160 43 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(connector + (pt 416 136) + (pt 352 136) +) +(connector + (pt 416 168) + (pt 384 168) +) +(connector + (pt 416 200) + (pt 384 200) +) +(connector + (pt 416 232) + (pt 376 232) +) +(connector + (pt 416 264) + (pt 368 264) +) +(connector + (pt 416 296) + (pt 360 296) +) +(connector + (pt 352 136) + (pt 352 176) +) +(connector + (pt 384 168) + (pt 384 192) +) +(connector + (pt 384 200) + (pt 384 208) +) +(connector + (pt 376 232) + (pt 376 224) +) +(connector + (pt 368 264) + (pt 368 240) +) +(connector + (pt 360 296) + (pt 360 256) +) +(connector + (pt 416 328) + (pt 328 328) +) +(connector + (pt 328 328) + (pt 328 272) +) +(connector + (pt 328 176) + (pt 352 176) +) +(connector + (pt 328 192) + (pt 384 192) +) +(connector + (pt 328 208) + (pt 384 208) +) +(connector + (pt 328 224) + (pt 376 224) +) +(connector + (pt 328 240) + (pt 368 240) +) +(connector + (pt 328 256) + (pt 360 256) +) +(connector + (pt 144 176) + (pt 200 176) + (bus) +) +(connector + (pt 144 192) + (pt 152 192) +) +(connector + (pt -16 176) + (pt -16 168) +) +(connector + (pt -24 192) + (pt -24 184) +) +(connector + (pt -16 192) + (pt -24 192) +) +(connector + (pt -24 208) + (pt -24 200) +) +(connector + (pt -16 208) + (pt -24 208) +) +(connector + (pt -24 224) + (pt -24 216) +) +(connector + (pt -16 224) + (pt -24 224) +) +(connector + (pt -24 216) + (pt -104 216) +) +(connector + (pt 88 104) + (pt -56 104) +) +(connector + (pt -56 104) + (pt -56 168) +) +(connector + (pt -56 168) + (pt -16 168) +) +(connector + (pt -80 8) + (pt -64 8) +) +(connector + (pt 88 8) + (pt 80 8) +) +(connector + (pt 88 104) + (pt 88 8) +) +(connector + (pt -104 200) + (pt -80 200) +) +(connector + (pt -80 200) + (pt -24 200) +) +(connector + (pt 416 376) + (pt 352 376) +) +(connector + (pt 416 536) + (pt 360 536) +) +(connector + (pt 352 376) + (pt 352 416) +) +(connector + (pt 384 408) + (pt 384 432) +) +(connector + (pt 384 440) + (pt 384 448) +) +(connector + (pt 376 472) + (pt 376 464) +) +(connector + (pt 368 504) + (pt 368 480) +) +(connector + (pt 360 536) + (pt 360 496) +) +(connector + (pt 416 568) + (pt 328 568) +) +(connector + (pt 328 568) + (pt 328 512) +) +(connector + (pt 384 408) + (pt 416 408) +) +(connector + (pt 384 440) + (pt 416 440) +) +(connector + (pt 376 472) + (pt 416 472) +) +(connector + (pt 368 504) + (pt 416 504) +) +(connector + (pt 328 416) + (pt 352 416) +) +(connector + (pt 328 432) + (pt 384 432) +) +(connector + (pt 328 448) + (pt 384 448) +) +(connector + (pt 328 464) + (pt 376 464) +) +(connector + (pt 328 480) + (pt 368 480) +) +(connector + (pt 328 496) + (pt 360 496) +) +(connector + (pt 136 416) + (pt 200 416) + (bus) +) +(connector + (pt -80 448) + (pt -24 448) +) +(connector + (pt -80 8) + (pt -80 200) +) +(connector + (pt -80 200) + (pt -80 448) +) +(connector + (pt -104 216) + (pt -104 464) +) +(connector + (pt -104 464) + (pt -24 464) +) +(connector + (pt -24 432) + (pt -64 432) +) +(connector + (pt -64 432) + (pt -64 184) +) +(connector + (pt -24 184) + (pt -64 184) +) +(connector + (pt -64 184) + (pt -104 184) +) +(connector + (pt 152 328) + (pt 152 192) +) +(connector + (pt 152 328) + (pt -24 328) +) +(connector + (pt -24 328) + (pt -24 416) +) +(junction (pt -80 200)) +(junction (pt -104 216)) +(junction (pt -64 184)) diff --git a/Exp28_Decoder/YL_cascade.vwf b/Exp28_Decoder/YL_cascade.vwf new file mode 100644 index 0000000..e7ab988 --- /dev/null +++ b/Exp28_Decoder/YL_cascade.vwf @@ -0,0 +1,539 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 30000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E5") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F6") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G7") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 26880.0; + LEVEL 1 FOR 640.0; + LEVEL 0 FOR 2480.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1500; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 16640.0; + LEVEL 0 FOR 3840.0; + LEVEL 1 FOR 9520.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_A1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_C3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_D4") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_E5") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_F6") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +TRANSITION_LIST("OUTPUT_G7") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 999.0; + LEVEL 0 FOR 29001.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E5"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F6"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G7"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/YL_dec_counter.tdf b/Exp28_Decoder/YL_dec_counter.tdf new file mode 100644 index 0000000..fff354f --- /dev/null +++ b/Exp28_Decoder/YL_dec_counter.tdf @@ -0,0 +1,25 @@ +SUBDESIGN dec_count +( + enc, ent, clk : INPUT; % two enables and the clock % + clear : INPUT; % Synchronous clear % + value[3..0] : OUTPUT; % Four output bits % + rco : OUTPUT; % ripple carry out % +) +VARIABLE + count[3..0] : DFF; % locally define 4 D-Flip-Flops for the count % +BEGIN + count[].clk = clk; % Connect the clock input to the DFF’s clock % + value[] = count[]; % connect the outputs of the DFFs to the outputs % + IF (clear) THEN % if clear is true clear the count i.e. % + count[].d = 0; % load the flipflops with zero % + ELSIF (enc & ent & (count[].q != 11)) THEN + % if both enables are true and the count does not % + count[].d = count[].q + 1; % equal nine then add one to the count value % + ELSIF (enc & ent & (count[].q == 11)) THEN + % if both enables are true and the count does % + count[].d = 0; % equal nine then load the flip flops with zero % + ELSE % with no enable keep the flips flops at the same value % + count[].d = count[].q; + END IF; + rco = ((count[].q == 11) & ent);% generate the rco when the count is nine and ent is true % +END; diff --git a/Exp28_Decoder/YL_dec_counter.tdf.bak b/Exp28_Decoder/YL_dec_counter.tdf.bak new file mode 100644 index 0000000..33f4b64 --- /dev/null +++ b/Exp28_Decoder/YL_dec_counter.tdf.bak @@ -0,0 +1,25 @@ +SUBDESIGN dec_count +( + enc, ent, clk : INPUT; % two enables and the clock % + clear : INPUT; % Synchronous clear % + value[3..0] : OUTPUT; % Four output bits % + rco : OUTPUT; % ripple carry out % +) +VARIABLE + count[3..0] : DFF; % locally define 4 D-Flip-Flops for the count % +BEGIN + count[].clk = clk; % Connect the clock input to the DFF’s clock % + value[] = count[]; % connect the outputs of the DFFs to the outputs % + IF (clear) THEN % if clear is true clear the count i.e. % + count[].d = 0; % load the flipflops with zero % + ELSIF (enc & ent & (count[].q != 9)) THEN + % if both enables are true and the count does not % + count[].d = count[].q + 1; % equal nine then add one to the count value % + ELSIF (enc & ent & (count[].q == 9)) THEN + % if both enables are true and the count does % + count[].d = 0; % equal nine then load the flip flops with zero % + ELSE % with no enable keep the flips flops at the same value % + count[].d = count[].q; + END IF; + rco = ((count[].q == 9) & ent);% generate the rco when the count is nine and ent is true % +END; diff --git a/Exp28_Decoder/YL_sec_cnt.tdf b/Exp28_Decoder/YL_sec_cnt.tdf new file mode 100644 index 0000000..786be19 --- /dev/null +++ b/Exp28_Decoder/YL_sec_cnt.tdf @@ -0,0 +1,17 @@ +SUBDESIGN sec_cnt +( + clk : INPUT; + second : OUTPUT; +) +VARIABLE + count[25..0] : DFF; +BEGIN + count[].clk = clk; + IF ((count[].q == 5)) THEN + count[].d = 0; + second = VCC; + ELSE + count[].d = count[].q + 1; + second = GND; + END IF; +END; \ No newline at end of file diff --git a/Exp28_Decoder/YL_sec_cnt.tdf.bak b/Exp28_Decoder/YL_sec_cnt.tdf.bak new file mode 100644 index 0000000..ec54875 --- /dev/null +++ b/Exp28_Decoder/YL_sec_cnt.tdf.bak @@ -0,0 +1,17 @@ +SUBDESIGN sec_cnt +( +clk : INPUT; +second : OUTPUT; +) +VARIABLE +count[25..0] : DFF; +BEGIN +count[].clk = clk; +IF ((count[].q == 50000000)) THEN +count[].d = 0; +second = VCC; +ELSE +count[].d = count[].q + 1; +second = GND; +END IF; +END; \ No newline at end of file diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..915b76bdad1186ba219cb2722e72c9418bab845f GIT binary patch literal 1608 zcmV-O2DkYU000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EN=00000007Vg00000 z004ag00000002k^00000004La>{v^06EP6phF5_DAP~O*apBVRi8z@)k8o&D2vs2s z6>3SOKH!+Yir|6-2M`i(x_sZ*)!y?0MPx5Rs=3{2?39uY+@2Q&W61%Gk0H4l1{L!e<{s;Y*?>8cu*W~ypfo~^23WzK5|2gn@hr!?(` zIF8f1SxoO4YiF2x*of7%)8mLo$`7m|g(;H#QK0;)pL-<_$RE;&(I4^;8fW#7_~Qut z@9`PGV-G;SCwkPn2*^G@%Krj*u=03MOh&&7?S2ow0k4~uA%L=n(NK>-h&tI z-M#vR-D5T2e?W+hdTBz1BYQtD~9m-=1@7w9B8mLCY&Mrddms;`Y4UL77Ccw#( zlSUk6xg1!=PJ4qG2WE^XB7Jr>G(spdc>GSYqs+SDq-cND*xqkFnR*%$MTq`d;$$AN zo=2QGOr(vic9YYg^fv6hjjo1Q`#j$H#OQebsBY)>^AygI@iybosdx*sVjG>ekypVA zMm|N}aw~gpIS7H70Pg}B4Drkac|d+=n#to+8u{G z!YPlEoGdLlRa$bowB$@_$=Sk^te+&dHbgveLl`EwbLA&39$jy5@$h=l;_>yO6#{I- z^aU>mD_0FN1O5Ro3U{tH&X&D4gwK=>uMl&)t<9IHpX461UUy&Yu7WG?>X4;TtHPkG zgj%Y75sP{8iY>OY9+$n$4-It$;qFSgOYAenirKs(5G%zuDG_#q7(#??SzHGDyf1e1 z5LObPyIe#!5=*cb3(iMLvDy;srJ@hPUMM00J!(*%K*~SqePr@6dT-tC{o`fp;-oRa zunhz97J|_X!%RNs%bDP(uY9HGH`*|8rs9Ku4CU`<#87X#VG!ko?GxM6#Jlwl%}h?y z9?q@C)O3LUn=3mV>Z=^IiD8;&(`OztZ2Ei~V>SWk7J#gPd$bzf@GR*qu+XT9Umy9+ zKxxDFs+_a)9(W5na5x%{`B8&?s0&{Ug;u1;!7MU7Zi>j!w!Z)X0RR7Z0d!HnOT$nQ zzO>f*tF=}q5$qr$>d=B>r7BI*M-yn1nnY|tc(^&Z`44n(=%yf8K?fHnCl?VZii5k0 z4lZs|`d9c}6k`hpzWeg-zI%7~E=~&7iRj|~WCuh^z%5$R5?;x4Jt-{Dsd0cpzz}7_ zL}w{oVb3hE>6N6mW$A_}NXssWHNBuox2Sr;Kh7^^(&-HrQv?{MEbPisGRmIbd@lHZ zK4*le3j1_utaAesKv2#wg`+yU5p+994ajyMTAvX5lLhLZ`8eQDHbN=<-7ZhH*fR|T zTHP*JRL|5(LOP;|CWYg&%KyT22|nFE$c=$xK#*wKIDXIBPYLu=ZN5J9J9Kx>_uCd; zT8YveqMeV<73WO?!DXzH72El{lN=NSbPPLFZ!g5bqrEwr5~Bv3H*ZE0)Fa@PDG}O3 z;O_jT&D%);K~)>Wmf<1dT78sror7xvr8HGH=TLE-iMIJ0lxEhM^aN=p?m=V G0RR6W2m%`b literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7b613a6e23cef3185b86e8b3b51911cdef8843a3 GIT binary patch literal 998 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Hgx00000008F#00000 z0046V00000008C#00000004La%$7TE)Ibo&k9VLURJ18lqpTn26IJ{?3M5VPGXs3464^H{0K9^K0;59xhLABs2iEa}}gJctt1NcwI;R(_=A0L68zE0ZWFFfEB}7z?xwqV8bvKaLRCe z3nTLS)D0d!x&zN%qSDM>q*CJcBI2Z(pk-{5#sXJ~!HK|iYH%uWGd5UGc$yiUoj2j1 zc#g!~NfQ^@rWV;JE_Jk7x9}&u33~&dTX`Zya}fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?$0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I^t00000001Hg00000 z001Kb000000043c00000004La zWqk5|>rB3XoykY0P2Q4!M}v%PaOd&;tE;2EzY`m$A@+B-rpMi-rp1t|8@Da`s?GF@_|drN3mMQKgGB3CvG|Yt^8Z@L+jPT zzmz{i`gg%tp%KzQUx0?80u6+}andSM3{ofXQCtUJ;YVgF( z@}~yx<3EJIRBSlme-$B!$;RxJg{|ciI?HyV_C6H8DP z{pC_XxfoUE=B$n;fRwf`#nlPbs`a}qndDOq-(DYEijNbjel3-T;bCDgKzAez05h>T ziCjIOSOu)Qfn3F!FW$emL^B>7`EA9-Tl{SGH%IlWDM7h8p@)C+IV8C4$Kp~jH)mBz zKfHeF34n#sv*votxnj8r&G&1T;=3sU(gOGPq9p(ps{(YBqf8fH-x~|iH;L7%tSRN{ zPNWLu!L__LLsb)ox0OB;^a4~xH9f3|D(5N@{V>uUq{>BK7@r;TkKjzA3N>G_G@7fr zUH6L5ReWSg2L1abiK?ZY&f9S2pkz=1?-hLkJZO~wN$JX3j{2HX#ggi9)<|own15eH z|3=T%+z~Qrb&`LRCZ)$lRZsx=LQwFD#kLBj_~2)g+375{ja}PGS5{8M9WHda6XftB z*6F2lm*OEyzNB)EvwD!ru@$@MR|04C4tE2?f-^68vWpN`b%*u;Y)n@jFR&=+Fap}zgvy|=JXHo zZ^gI9$MR~O_{SK8HD>LtkUufjRrv#+m##0W9*S{Am(S05ZUhtHIhTo_=kNLO65jZ* zzQhN8B|Kjhcs$q?AJ?Q4u6rZFkLiEY;hArEyhX4Y z*JOC&a@P6g<;FkN&)Di1o;4wFZ7n?>o;kV6^b@qs@aR!LoZCM+{_G3m>G6^C3LYKC zy#|kd-rHc`ucPrnA3w2C8w&AMr_<~5U?=^uMK)*Xp*|<9>3vy!_BLZG=Q*~)y%5`H z)yDhjjQ0i@lrNzu2D77&-5$m!iszijr?o%i3w-C*)%*Y6`f$v zY5K8wY{ceM(GE8Fc?`bcC*yn6N_ViyS%Dq<26D*e@e!NM{Z#t&?NQMAxmt1!`M2uh zCwepw;EI141+ zrp7aJ{AIdR&^;stw??B<(lcN<$f@5kCNVFh_(Fy}DP1zQVWoUQBL*XEysLtJmL-%f zwrR7+@;*=Ev^PBac1h&L%lZ9^PoEFT%>lppJXtP(<+}HI#=Mr2{fgUyf6VixGp^IQ zzVBAaIUuaa*Km`HNE~d7|cbFJ#BER+L&vMxyC#; z9(_eH_ze!bR5pR>rjKprnw-|~^tW`~WauR>(sLom8cRobI-(UOT%$i&9*|-WkddBJ zcddu}2LJ&7|9Ao7VPIrvU|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~K) zFjz1%Fw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^# z#GDKkK+@bqh#e$?t{-F}Zv7w~Obih~@?eXHEyw{PK#Z`?!_zg$G052i;aYZv4xqBc zeS4k(6@q<+P!07TNCNCLE(Qf4Yn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt z1qRFv3xM>r@M3FjAk7QJ2#ucpKrRDA6cDp9OaPK94KK7oA;1sB2xUO?ofx?pI)H*3 zuX#)dQfLZ50n5nBkO7p~G5f*`XCN&A#0V4ofll%Tia_jQVQ>Jl`W-Cy-vQF7Dm~#U kEr4Oe1C9^FTdQ+`3K@if7-S|qB;%bNgIyT`00030|DiR;eER+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR7K2O!0wyS33Z`8rH=y8!y7C!QW^GJ+?u;_v`>xPUO>ouM?{Q1M$ zA$g?nnA@)-v)Pv~d%o=1)E!IIo-bb__HycyB_MbG|6jrQC?O#wBOxIn;@*szTuUTc z8Z2(y)xUTA{!POpm*r1<;NfHRG%#Q^%#!P{LcpQ}nH)hyMdVSLgRH z#h=+V8LFFj*Q8&XcTH8{ya&_9{0-GL9oa4%hprzyC%0~2pMq?Hd_hBP!L5QcRu31J p4#%hmi7bIn5{TexXK^_007$Py7>SA literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..26c14f9b42db25e339288ec22bdfe888d5367004 GIT binary patch literal 2419 zcmV-(35@m;000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I^t00000001Kh00000 z001Kb000000046d00000004LaRIcg$ZcBY-x)s4U{x9!N^5r2fk z&aAAO&*$^MRj-`QW`C)zt%5Jh4eA}x-@zz3p!QGcqWwcH;*0pFa*67r4tuy)lbtWH~HhD|_9SuI>T#LiZJQim1uXX0}$umz(o_TWe%u|zRo?g!M9VOoU z=lOh_Or&z{8rAt-9@XzF!kx$Wuda^v0`IN<5dH8n--nMMkM;lS_=9&YB0wJGVo~zH zK`v<6nVp?<-VS>HP4eHBKi|h#*q(qday23MJ%8jD3y+tWcvjy&G?MGG5 z$Me@7VQwhKA0O7idw*;6dw)|r{MY5v>aUMy$_FkfAH`}J{}kWCpSb1pxAJer53N@V z|5E-8>E8{1cPsuS{-t39By+1&E1<{cQEC{Cg+k-)Kt&gwxf$5!m3UkRMmlj8|K$FpP?zx-pnaG}5*AL7tAUHmBTW%R?H zG8Lk~#6PD8JhoFk=+EKtH^(1*E)GNMqGZa(|B4JBj_92JlHCEhE-hYvJ-M*oF($c( zihb-7rW?Z9wf`IbRmGBnqBcI{g&i!!OnEq13@=azP`Qb%VoC8uV7xFDAAKIpAM}6X z@=f$d`3u7S=*GBn-S`_H?bE>me#hyzd8J9DboWeR^dkMTCc=s(x!?^YxK z*7$h-HS6W`XUy*@>$ML5n*HnJU$cKVoj>Or^TDszCj4d1l&{p$GG)KC=1+Xy=|;&2 zkn%|%l2aYvKXUQ62g?*^WALqf*2$k5{yra!XQ_q%PNToA`?2-B^87V-u)yEvkJe(> z?_aI{w&K0NydKXLp4)gwl3wE#KQTa#9=k3*$ilOX$!NhE-pkc*l8evME}u|MSJZ9y zWE0QWBRtb1sNu;oIM4Jcp66}JR{ZjOYV?E8=`Y2tgfH>oGfK|iXmf=>{%$q;o6|qU zzZKsaAIqzC;vZuW)|j=oLjJ^9SLF|QUb?=hdML&fT|PhKxe-i&=UgU!p17vY^Qv@zi&G{{&Eex z*KhpM=k;6ur}_;qa^1U5zhao>6LT=cIK`X4KK>;j>q zU6bL7%US1}mmB|7KVz$7c-DlxwYBtkc;@6L(@)Sk!=p$2aBlzP__HsJr^iRmD|mDm z_ZmF$46{3_fzT9w?{$e=W59{euN$}i8j)y7<7%r)k* z@#rgp!EbQbrLqZ3H+^g~*W|Q@r@y7^CPOcAk)8`d)>t~i(-EyO;TrwH@_-b3fQz z#C?070TqIMhENUlA4mf1GcE=NAZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7La zQUwOg3=4qtwD4kUZXnGI#0ZU^{y;7RLlh9RF-!oGDh)5RK_S2o#0X_T^PL#E89IQ1 z8?Sjx2U2JXKmp6h%8&t+*fIOU3uhoL0K^Cr{DDsL1&ToIVqtIqvicn?_um21s46|- lDlLFv!vl^F!&|F!fC?Feff!^aJS5|t9D`jM0RR91|Nl#pzc~N^ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..90140a45b360d17444f1dd7aa4cbbb67e379701a GIT binary patch literal 514 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR7K2O!0!AoZ3Z2oHU!F;h#!ZjrXE@g-H)5eZO1&@~M;)2YX1D&xf@#8;$?H z{r@0Z@>=XYdqv(rLDNJIZ;po?{w9Aqrt0V#+0_(I3|e_b%kAi@j;`R3Q}~OHan4H= zmC*|{-0*t+?>3(4a!)^3iT|IpZGY#>HG4ka+a<}s@c(}WA#moQy0GM;Z#sB~S literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(3).cnf.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(3).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b2e7750ee0d18c4fd2cab4b4d0d564781ac32e59 GIT binary patch literal 2056 zcmV+j2>169000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CJJ00000004dl00000 z005i<00000000OG00000004La)S26gWHl6qtJ~9fM8#W~8xcV-bbNt4hA?>17JVT$ z-7xfc+b`uicq5)bQBYw74Vu2L;vcn}s@O_-MZG=!UCNX_wSNnP_Lf@6_wZ1;SM~6C8T&lo@ny5@XPTuG}ub%ew)P^=r!$3?CIO zkl~MEIAg_7Vc1t4o*l6kg1ir&zl}y=%$;5NB_4oaH_%QM@co?FXYqU4Ucbff@p#&u z=D+kzLMKmNkM*bY&7g}luIgYXhOLG3qMPlse<@$TX5Vf)AE9eHsHyyBY`%FrjUO4+ zQvQ@cj~}|2;#w}u>VRYXEnTNk_(A%;UNGQq>4wwN&Hk3I*q{BT`m^&7{boNpbQ}d} z6tf>Z^-GMjPKF1>x3Ai>^LO#y4jsR=C!@|D4z_r@#i6BJ99sGm{uItGbhAH2vOHrR z+uR#|OE>$Cu9z=aQN$la#eeaQVnH6nQ{Kk(tMWuO$_KCnAKE1NXh)F9e-K& zf#&ve$=!^>PXsVN5eBUtZS+gQxYj`4m#T5^d@5lao&*4?Ld@f}Nk`+;s=X9^CT*L- z!j6%6CoJxc0YfgWftG@gw$O$5z>HJiE<~nCt=67WkahrM?xi1QJ@4oC-Q2#DTOv++ zd`0#J9;0%fC}?P_NW&wMd*v_4ru{u>6U#Z|((c}7DzPGmA8gVl9=BRkknd7KBIyfb z)woNDusk`3$f9NW`mt9W`$YJF9;x1g>zAazI>F(3O*Jmw$aO^)W2se}JOPJo8J7-t z+_sF1mQ|-??i2>*M}^lmCw4m_fpV=%b5RG~kjA5DLoZ9Dp%=M^J{NxqC+WTD_G=LY z7Dw?icc9-=W>@sNaVw%>g;Dhy`ds{i)!+dXM{0{N(`1{d69xVo@;pgVZGw|Wp z1r+S9@$~~>7XMuQ$Axdd-MCfx@?G3-D+-q>@@o}2<^tYe%2+i#s>JJ<0Sj>ix+*n zb>v_ZAAC;hgdEWKmnOy(;lyv9>ITMIZzWKS+rmqgDmryzLFXKP{F}qi{?M*37s9fN zCM%MA)A0^8jVH^-CWRoOqbEs#l^fM0Et zkw3);cqGSn#6ZigQ&T6~2ZKopZ*e~2NsKkNzzZ2*OXIJ*k#^9Us`h;{t1~yg7SJV|D>&N&dG< z(0@k){|5t$#lXDOl*&qC4t!dEXwzZ?_Wvkm^Mh7%WlM@D&OuvSE(_2zNUj2Pd9C>V z0{{U3|9Ao7XJBM#U|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~K)GgvS) zFw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^##5@cZ zK+@bqh#e$?t{-F}Zv7w~Obih~@?eXHEyw{PK#Z`?!_zg$G052i;aV<+4xqBceS4k( z6@q<+P!07TNCNCLUIqmqYn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qK`p z2Y|Hbo@1v#P7(%Ughu}Wpp!!UgMfkz4Awx*33k8J^N%bb5h)->RU9ASAA}s{oIn-K z3=4qdwD4kU5RVs#5qdoR8QB>o0EMzA#210gR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>M{XM0RnH3sX$N)r30bj6^uOrr@0Op z2z=4icy#c;fV{cCrHB8&hK?zdj&(0>z4YtqJl6SzYPpLNxbhj9Y1UFxjl*8=54M&d6&CK9C#IRDk$goLdlmLhp*4uKIu-*_8b4RHgo01E!6ET zJ92?`1b571u`z=xkHDfXK+_=>MJzeU$a7U-_579?;F%}y}BSOXd4$l=kY?uBg;IGuM4?o zRioqX86Y0Dh^f%7^4p^x#l*dQJYMF8g*@8~IqzTKa#|fQ-Av&7J$C&^f67*vZZ@iF zjCJ4UZr$;F`S$r08N!qJ)OHBZPkJr>(QeY;o_gNr{!RT0oR3yb(^}okm3md}y_3(j znO2OSgih~#_U`MmMBZ~|A)7q|&p-NqEhcPNSdgPp=tT1h>mB<=(m6a&-tVn@an5Vs cxobC%uL}rLpJIE+^oJKAsDSjYD0E z2188@jSQh+o2#fOB-q%*7;JRp5Y+gPu@Te+>=6D z?6k}nF@r^hJz8kF z7DdgX4*5yV^D+c|`v)21y5b~pg&#H+z9XYnSDiJ1NB#h9-Tu@WeD?5SLsn9M$$l|^ zzAF<9RK+p9Z0Mx1rDkyGHRRj1>|mbd<@!&pI!~G7cbmVBJV41#=dcD|oR6D8e^4>q z`+Es-)c^@n!hi11|Ck$_GGVSwY-_4a)1MuDtUGkGZSpCe>}TB{A9aH`Usa4HpMR%x zB`T1(kjD4V?(oNn^AC%$)rZC<%$0RUDCNO!O86#?To}8h7;ABkpM4Mr@!5=CC5PYSc#EHqlG)2bE+w>_T3P`upe(R$!WSiBQ) z{(dob%zLLQiWt>hjP>){sp?3aC-MCYJNmBe4|gflZX$el`aJm4Hql7376nqWC%V{pAILyBqfLbGiW;vvG$FSI$?hkOD=XJngv-&?A%1Y>lpQ zhU;6yT~dmf7b#yT>vaYd1OE;jNcm0q?R*CW(tK4qN#h^yfaVMLT3Ome9siJ1>$$bp zs^7%)Msmg=`nEzq)vD=@7hw_G8{+k%N;+@P%|&i|clT|u<%1*-xF%Qk3~baFSF)!d zH085J8|RRmoGV z@oG#G?_TOa8o+u+3;vm;`}UkZ%eyiPjX%vbnaRgK-YTEM)=OfuF1&g*rD@2uwh+ri zCoIfEb&X}8-!2)pV_C7R$^a4Yj4!H(WI1%102(>)d!nz)k)HgKHH7;|vr;$OiqCPo z=GxB_`RwRR7mbTK5yuNL^ikv6-^rJ9IrP0(Uve#Z2$G#La1lAdP70Yg8a7!^(W&AF zn6L*`@3Q`M7bYy|{u{xgJHua1hBWa~_5-i0HV*TSDBwPp_$;PA%AwZ`y;!3#X~8Wq zq2$KW}f6lkGmfgcM^8dZ}t8} z98MjUQ>6|=snWt2a;8ob(nYT@CRlGO<{4&?Yw^tMI;R4croGOlo@s}i;%vm|O-($* zln{-VuecQClmEH4uA|l^J?HLvq_3ryAib_1($`W&*t2fP$8^G;*F0nAj?AQMkqr|y zni`!Qnd%uQMvy0jsKls^2SL;Ct^+btorWS94Q``7C|u`D(i?Ge8P`^BOQ3Tij<62f zOd~X~c=Fe{6$Bh?GlSp;+bkl?KT3Yz5%x&2wa6=BaPHQU|Fx9+1QINNR)YGcp7u=adjeTsM*3%U^#hLtto!czz^fK%A6&q3mF5Hx{N0*t9 z?J;^5Yy0*DK4v9SwD-s>?)RS>pXno&(f8Ax-CDabEah3xBa03|yZ_vonRV^$qAv?17|L)cF`!u*&F zPaA@6BO?3Mr~T^>yo~HrAHLT}I~N*5`=;lF1)3FpU3wSkQ}`4~@s^P0NFy>i10Sy_ z_pla@2EaOJw#}?AbRC9`goRH!EbiUC!kTjtnYslPFp}MWc+lBb;BY!ZvSOoB!;|a5 zRY)prKQViUy^Ps9*-mOwRdF1{j83hOo6<_c=r$+zwfA;SjS2hROmO}pZCD6UU@wc# zfmH=23r{0~&a|Lc(E>a8uL>g_A&^a1A1h_R3$p2}MQ@M(M=*=LXWrYkCf~FY7fVZE z(xq=R({mDh(o{u+t>K{)Vs<;xgQZevLGTxY_QJF9=VLy9=$MBWbx4OKy(`z#JDksP ziVn_<%7%vfEXLV9=(P+;*W8{DC#=*xF;KqjJ%oHJ=QI#n`hXa_`YHT_7jICYKE`vZ ztev{1F5AdGrb~Trzy1E3TmO*$RNTnkDode^{P>xQ&2k(5o1Ks&*8yV~LpX5Xs) zz=xW8ov!9%&TVmHZ~!hKrYoZ4dS~1)9j6!8)NR2wTa0tu59r=ks1Co6jQ!=C-|_zc zCFqxBil+i(irMjo#&iR0*w*(Y>c#9)5Iw8u)Umg;u=thj=jUsvNk2Avx%zf`YoCrf zt|sGg&3Xg+?rB|&-y#xq<6)N6?tVD_;VBK_CTr;+5&@cE& zW1_-n+-ZLZke$En^*A-!afCn+Tr<;Ex>$I&vJS z=J4JT)&^N;OZ+-@Gj-4MVTV7%Tw{icrd!su*qjNtBnvLNyob@Kx#0V*#g#O`GXLT zmmoM9hCqfR5Qu`t$XF?SketvY;u$#pHk+4==l&w}}afHTZ@5;VA!vUSg>ZMKFs zx(0;QjOKzhoTcQgml3&H1`I(hYfN1iwbzsZWef>VoHxvrDQ%#oTrU<>LZ@W9OaBHr z2rZcSi;03GA67Df_-wcwq|cng5j&QdV7}Sh4tV`WSYvLlqSP5tF?A_G+RNGE;Bsr% z-C{u*_B?@7g3We%8d^%~ku`HyJf(L9cMvSE2e$WA2aSU=)MtvUm6%eJY11!%1x30` z{St!O;L5EaYE+R@_qB`-EqqL}ZjWJfG-d&jcsEmJSkT(+hGJqVy-0Oa)jSQYR-5Py zI1?^m4eexf;suF=P)=L!5wL*(O&qWxOl?!q6=DM=(}nS@CB*AgkdcwQXHuJ|zi=lz bT>(8&%`yX%>)g{%2DTD{>+~W2{l)(PMF?$* literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(4).cnf.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(4).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..284e1ed1c4b6f1140c0c01b87409f0ae57220b9b GIT binary patch literal 620 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRu3!P00t9IwQ-PoqO6M>^_!W$AChz1s zWWdwbZ6ThJ_CQoX(>$2{N~gF3M`=bP<5uRUXCp06zqb%!wJ|hEJlXlz^y|c3k<(K7 zmhkR9{BNt+gzsrt8i)2Bs(W>`AYnNRZ~1MlZGWwN9<6Xvuso8P7oagoEWhfk{5-+e zUyUl&lxCLBnfvo!$nofJ;q%ulk34MkoKNM()CD3zoS8?aAHB0~N}Kbo&{drULA|OL zlO6;#1wHSYU2|Gbbp4V?QzLBRJO0-0P@G+8Z}zw>=h)pFJ+n7ThF2IlJ2yK&y1L;) zN!D|YW*2J{-_%VC^Wx5aD&Mzbf|_2wA^(Tv?1^*AE@gZM`uYF=3dTnX2`L!~2?-JR zX3XSTBGJ-dapSK3z2o<98XmbUf8qlVAET#%0iz)|Gy4P^Yzo@!mK~yN{;WSSbF0GBAcr?kB;H5~zJK^q>WRdY zH-eJ#59CFJ-oJeQj6aCs8;f+r`6ae%y;B;MCON#3ej_LUNJ_BjL+OUi?W@--d$2Q~ z2$e~YpJO&Bl2J&IF=>KWg@j{>@up^Hcb%tmg*-&ACA000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CJJ00000004ak00000 z005i<00000000LF00000004La)S26gWHl6qtJ~9fM8#W~8xcV-bbNul$KXXS1%9K5|e+z^5mRiX7@KCu|_3(HZ`#j+BWwY#QVV^6zJbGg% z+5086JUTqMwv#jwee>Yx`r*|VUx@&iJ;(GFz)J}Ll-&TX+%CY&y8)2(Ys(W19~CW- z;g4ZBW5rNm*jF8%9kCXIybqqgjYeV2on84Q9)Mss&`uTb{hZim@q5``zs2wIc-o!j zzw}H(Cr@6F^{4dBpo=xG>R=~^t%dWVo9(oJDPO;4-)=e|p=&y*sr+VazIi*19~sqB z{**wEAG(<0S}x4$fMfhEU8hm_LHfO3FyL?LhSSo`{+6!TpZ%u#v-1!AWR-HgFc1Ta1k2CW@!^h?3G)mV%GA(1rKFj8ot)M5ais)}B(3b^v7Vr5|QJ@8|a2+`f}rB2IdI zMfL?AqjH}pXlScQ!y}P<?@~b`=?i1k zxJ!tzJUNHRqGkE|u~!`XMEHOnsosO@m!!Ws!QpyUH7?%Bbww6qsa2ai0f%iFmkxN` zwv3CGRi|U_6b9x;h1WJGb~_<~a;-{pQ3u_S#-nFLFH5AM7rBN$7k>&T>AmRoYY_w% zNAWUupx;tvSM<4YE23eAQS}=7T>OI7;9T^)B)?c!#lyO@C4GJT!RM-5L&viq=AEm& zpUd{d1H>*)%o|;&L+H^RPlSVC>uRJoPU^u=owrmkXZ?KOSXXrLgfAWcI$q{Dtmg1z z>l}W=m#ToidJjRV3o+xJCTgf>`Xk~uhhK+rkXS5)|U_u^#foQ|6KgXg>S#zxK;V`UEFUg3YRJJYZW==(9Yd@XEMte+n*WoUy$nfLAlYQ3Fr^*2zKFbi5OLnVf{+ zY4qWjv0b_hzY}yqKf>W9)zb^D$1gLxIJ}H%evMLTbe02t)+MpV2Kb`mB>VA;7k#^R zS}Zt|d2Epwn@~5R zTCJs!H}eG{n}B8-jYbRIT+n<>m(kV6w}Az9TRMDJz?T^jH}9%Am2E6@#?S76Uu~3; zKg9=lB*%BeK+CREQzzR8gGmZ+aX#YYyGt#QSgI$+5tM9XHP;)!NAS$ScCocG?e9&W zsFs&83CNibjB(xkAK1X-0&A_jIeScFb^&Ke{$N?fijIhqb(>2I3$k_woS}ukTpt8h$d!7Lm zf_;Wi4fP*L0_-zh1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y1{@3r zfVAkIW2Zn)5(Z*~M*jeylS2H1fPxGR)APg|a8a7lF*=Ly>lIb7N!!%d0fJ&<1&mA4MMMF(*bo zh7O?M#%mtaffSkoP>eFNGGqWHcFex;!T?AM05QS={=l&C1&Tnt$HL$MWc52(?ymyU ls46|-Dm#I4{NRLOcx!bIkOL1jcofDvIR?8j0ssI2|Nlp!(Lw+K literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.(5).cnf.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..6fc3a5624b66f34b6517be3d7206f1ff9972d90d GIT binary patch literal 591 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>M{XM0Rm5usX$N)rTwAe6^uOrr@0Op z2z+_#c%=EiqFvs+q6zcb^xqoYa@cx({zuM|r*#oVqsTX*REWhLg1o{>9OmYf5k($=J#$zkHFUOWL z$u}=|$64_2tvD!F$20jA-+A?j10N$cw%u}E<7IK=L#Tj`s`+ibviW!ZaGU=={)lFRF`qbu=lmT#Wm`@w#|({=x5MHzO6-M+xZeXJ{R^CrR!!4d-OQDGRqef#&$gLX zjGu%~?|k;|>$61Ob7mo%Jp<1_`hP7ZY*$#2qfzKY^9t)7`$f_@JWt;5t$T6KYu~wR aH;=Ci2vVP7d&u;S2y^Fb^&f1YPyhf7-t`>- literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.asm.qmsg b/Exp28_Decoder/db/YL_7SegmentDecoder.asm.qmsg new file mode 100644 index 0000000..dcdf771 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.asm.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588514806555 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588514806556 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 22:06:46 2020 " "Processing started: Sun May 03 22:06:46 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588514806556 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1588514806556 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1588514806556 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1588514807749 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1588514807795 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4552 " "Peak virtual memory: 4552 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588514808374 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 22:06:48 2020 " "Processing ended: Sun May 03 22:06:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588514808374 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588514808374 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588514808374 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1588514808374 ""} diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.asm.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..fd6ea716eef19d94279c059041439a69b49e947b GIT binary patch literal 1540 zcmV+f2K)ID000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Jia00000001ln00000 z0027y00000000CA00000004La>{jb<8$}RzfbxC_Dv)?c3=)DwBJrb9Ya%|>v7I() zOw!nm1VW0|cYDsY_i%Uj(zsvvzkyuD} z4Rz@?d|=4NV-!&a*Vej|Tb;Xzw?=9Uhf0pF>!B9iNH&G=%>ZujG2z zjpI$`ZJTcL9T`a_jC5(s52WVZo3qudhN<1^^Bw#^Veka)6uc!*d`HsW(D-qrH>kN$ zexRk&&fLA)srYYaG+a2N7j)<+(<>hNj?`KV)p`2#fV{aUkD*;=o!H*ZZxS>9XuZ8Tk*P_2R|){>q`0z-!)NV17>@Hiu+k@O8Y zaX9n?!NjmK2&>|=Y0RGsV}w>jv*z7F#DtVXr zK9j2nzih^|2$3W%^2xMo2C?&qbet$8B`;R+9eMsBLH=VHH_cWSh%fT($Vi1HIb-v3w3Nv!`02&fQ-bqEtGQpFT_FFYs;oC%y}iG~6k6KO-gI z_|RyG(9E+W zF(>c>WeUPNV9xl{6a6F*ihAH zDMh$0pBs!rVg6)^HrHK-b`gjZCy^o7SJIhgC1%HiAwDSi{x^^hWFQ6e42{y%mpFKm zjPh3zkC9m*g^ef(-vfhry^5&Q2^0w{JRDMzO2yfGJI~a7zYd&^@FRmtoIP;p`+mT8 z!xP^eQzHmyn(C>uevc0ER6%06ARGMz;4?OMrSh@4hgcoZ&u;EyQ}qc5gxOz?l?8Y~ zJMC7xN!?y!e|HA{p$M-4U*M-eHn3?G*?32UnV-#(kytXMq}p0#GMk5e=T&i+-{yRu zb1A=S)61V`3jU$|hWZj;rKyjX>I4r(>x3_o=RP?21(H3;t;PI@3*RF}Y-pRZc6F>gHyBD|_U;3tjVAI*)V`X2aeu49k?4kBu$b`^|3kCORk=MO8_Q9Xlr~ z8+^6Zs#oicAMLQ~X!5U!1AYaN{l_f2LH_^%0RR7Z0peg_WDsCrV2CobOa@X6+&~=U z;uIg`8sHxk0uo_h0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V@%~O;uFeoG zoInMvU@bz1Ys^4eM4(z+eVtrgTwGnCsu|fB6o4|ZJ08Bu0@5&pAqEDA1bOi%M;m`$zvTvAq}#I%D!aDHj-ho)RgozmJlPM$PyAN3E8rb zeM`2)NU}9Umc;qpRHpZw=XrYHbN)H!dUIV(u4}q~%lET=zc&jN6;F#AVDDF@bb8|5IJ?OmldH*+J%oG^8sximTbsI!}Fp+ERV@k4~w38UGv!3+qRbQ+EGTzaj_eUGxSe)-6TXCznnX zN_L-Et@pbBX=vO@r89Dnf1cIsaMwa(9uVu&QCw;=K$*MX|i;-bTL#*3f+@(_odA?#tv) zB$W7Ui_waOPEZgsE6@&6p%|e2peDR}(q48=EVq4afpj?NsnQ9Lsi|~TOj_x)*%*DX zA#uq$qC;b^rG?aLOaIk|=_@qvYnwjIw5%~)t0tk3 zoDD3&a!tiWhPo3(XRidA=Ni8BAMFWT8T4UBOByYkVdj0%_sf=BoF)#HZI@p*H2L10bj#dkl>8%Z$34s zY#aZ;i&8iA8sQS+phe;Ms~WrtOzQpcb;!0`$p&xkPSZIhY3uV^?Zy|cTutWRh76IG zLbBa*5ezh3`^b6gAO0K*@56tS7ZJ0a8fm()XLWwiJ6SNsR{l^7Npq;=Bh#Y(S>9ho))SeaQ7 zvN!FaY1gaKp~*!Fyj{JIS3Gkw&%arGpOh8W?N`p}G9*%`s`-3~cYK7NXgZ*Ov6GZL zd?uYp?k&Hy_T>%lbVfOH(S4}Q#JxwQ^m)tV`rzJqIdAVd_dg@Uiz;dJ!!Ut!)@OV-9Nf<%)^vI9i z#j8zr_*(M(z0TO$6yJlq+1uskMWgGQ#Ivi*WE91McA!~FEgrFw-2n!nM5_yLLT6)2 zjM2AwbT7p2YjPS|wndv=<%)@PP{iblnW%Rtru8%m5aFRjg^waaa$R~kALW{+3;Uvp z{ph|23|>!^wZ z!Jh9zOHB`>J@dtqc^B|je$J*dqUm>=!wJbHYnbB;)z0`PFn?QZK6|RMv!Fw$K?D0nKH7q`G*GuYRk4d zv&hf)z5k1|xn^CrF!MKd%k%|p}X^%t3z$*hK|^ZusQHpteo znKah>+p0y%LoqIv$A+~XX`)rnhCMK@6`I7XE@PDCi!D>g1dDeAchd;MOcJN? zy~zx+83bWeBj$B*GR=r@$&#*u-eKQU!u>Ww$>;=26@)n4nlbDTeo~WHLAQwgIJOk% ziwW<0yf{5tJ=8h5?Fs6lw|cz&xFp^9k@GtiBJN;9GvAKz1MEK1yf=QeD>P${XjG_( zr*kZ(&iWFc-F-T3bl)v}c3igBK8>Z@-DgLZ!kn#>Eb>20(^iaiP5A7M!i)06EaS(; zq|8#o{2jM73^s&W_jLUR4+%@iKAs2c)sBAbmPH|VSsxwJT0~c#OU3R06OL7}Yv5-f zudCydoVy;gsN?m|jt|^D+|dH#hi9}P{nMj-H6aa&QxWwWN0>S z*Tc!n8XkCJEd|JNyn8QDL-5pzi(x-A%l3`*^uxlzBg6zs&pO zm1Aa%e4%i+lih0<0wM*T7Myhkatd`vy?W00ih&hnqk26a3y%Bk4E0E`=9E|VzERjC zM+KG6bJsOti0Gu_t!YjV8U3Yp?wGhWdem-LXi*jOGEu(IWlz_DZpn2&tejLx*5MK5 zLWeIB(6OR8za8tAizz957w`_S79M{={KwULx3w2mJJG+|rH#OHGb`~ORNt{(;~|c@ zi%V9k*#Kp7WcM{2>a*U7=cX7{dg>4EVTqXAE+`c%xJ1}{<)BX@$9};M7~J?$p5 zH4R(m(5Pv?rkTJ3a}G<7c39ix%19RhI`E{{tORm+ctV0E6DNO+f~79I;kkl>!e6Ba>Gzrq#!w_6I4p!`54jq z%IRU~TlgJ}qXV#ANPBr`e$w7B=o?#Q=)Gb4=Ij=zc3tO=WWBfTip>EWGXkN+_ooY- z-f8;q+4c30H~70XVJ_hbspFZHx3G=Zb?nxS>so%aZ1Fm57{}<=oGJOsaAX?GePz1z zBaK`49?g9+Vxi9I9lTp(4!?x_=W57RxmCl+S4xT^y8jJK3gl+{VhU(&>Cc zcKt^stD+umC!6z@b%i<4dS#mlYV49@@FxEZabrK_8I4aW>< zqnhDh86?f+!!GNFJvk0=(=tR^+5U^W6e-A@Wzggm);0oX`n9z(6YtP=mt`Xw=?WNc&TuETEmt zUt2sChLpYW6XO9a7W^FABg&aB_nV?rzbA@+R2Q;74^`|-Y8OFV|q24MrLfIc|M zpDwWXO6>SHp4kb=3622K0@`(I&%8DJ-nN5~y}h-=ZK33Hs~ZU)%dO>kE$r}r*$?F< zuq9_v0P}Cbf(;jt-*S;ZmD~SkJR%9Zylm^;h^_i&)n3z4Lie3Eyv(?nUk!B16R+=Z zPTKxpeg?Jy(w7ZOMKuy~T=~^B-|XkN7sNN91>aLbVbH5U?&6ke({pqA9QpxWyEjZw z1U^;)A%ZP_D%d|qRRz?G2NYM@Yy-IbY81HYKGZd^>T%5A$k9pYUq*H{0R&{wwL)Y@; zs@zhwe;pd*u~z^%UCy#|dI%idQ=1h0ue+krgqppr;d%ApeSL|RZka+d=UOt z{<0AihoO9sQTK!sN@O>Jp;HJ-^-(XF1z`kLj1U{n_E$wDh|;4hJKja7rlyWgnQ{AD z;~r}^UjJ)gLv5-!t*l&E4eSWO89<03h5l-u^_C4f$A(nOdfrhl633N($7lnrY$T8* zO;B9BiV|-yax3xK1=#&iiVy{&Zvn@)Z{a&p1}?g(8qoyh47@o)5QzO|bR?rJ#uxTg z`)-axK#N39QaaAH3nib!Q#B$LTD?&MV@`e`;84J#P=!61oTx3_&f&$kpO}!Bl>yfW z=7itkV0u|yt}VELN&fGuu@QPy9zbbUr*pGQkb!@+a1+8kfvZEQ9SYGDRdT=eTJOb= zT!Ae^H>Dd-%;6zaY~_R}i_cAJ`C#s27Ne!zKX#EPGwWfds<9>{15?z?Canug)7w^4LXhmQ(iHyXDN$}kx4 z_!Udy7X0K}-4yEC6fx;g)9{0~oBIZk*j>qCgWFJhkfKgP0Y|HuB3X(qfdS%XHaoQo za3k}A4U`V|)UqW04^`}90r6SlKar{b4Tnvo`8OP(b}kdZfs8&*)(;O4kC`UgJbijJ z*g`Rny+97KimkDMZ278-Wg!=}499&Ibui+9ypBSt5u`EC*INOO%O_D`qP|^?u>;S1 z>Gx~7wH3-5jLAQZQc69QQmzI%Z48Wr<`GaZt@<8JM?hNwQFn=OxF0`RZbL;N(-+Rv zptb1n=rx(b&u)4+pyNg}4qxA{`1^uaK(hVqN*6Z<5!3cfDmoJz{EM(Ps&zko3>qeR zg7)z#BN)86se$GL%07Uf!)^O0ULa*Ib7)|rNA0trQIc_$HO@jgq|K`>1Zc^5?Z|#p z7QQ%RxOzX%P8 z55cI!b2k(6q>sug=sjcPhc_S(?)=u22mj;lMt9ibKt%b9YX|k=G@0_H@lQV?Lem1? z!)=?yFD!V|X@TOo8|U{kOyIx0I-4iRUV{nMHJCyvKMOkodqkW%KJggLh;rJm(G{QH zLk)&A6=3L7%aQv_o7lRdpYGD-aFi_QMrD%)FPq0503%4}yjW(HGaFq!%|HyeTI83X zYXAm=&~5$wMlx&IVB8u1`i}q4UfY>9PHs_l1(|mC_^Mzq@)7|ZSPCGurrm{p5vYHF zz>{MF`V9wKm!Si8>UBeqG0Dx>oX>_uZX!e4j|Q95cW->nV5%9E{f>ni-IPMpH_vL#U+B0Bsep$KQXe zr&zY<+)uC3AizP)1S#vB#^r%6YHYo2iNxEdvu=0oddGkbHxl@ZFP^zcSPcSi*Votm zsBLYn?IW$Nt<64pU)~#O%S>lmXFcr4tCis=)EeohuPPT5DNoDBE=tv~w4Vd5b|Ylp V^{ANbS6;E_^s%!;Z|K+8{}ZYljfVgL literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml b/Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml new file mode 100644 index 0000000..7a3648b --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.bpm b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..0d29df917faec89ad092bdad20fc3c14993cc735 GIT binary patch literal 706 zcmV;z0zLf^000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Eo$00000002e;00000 z005c*00000006=Q00000004La>{QK4!$1(OKZqhK-aHAufZHV1{@kSPK`2yE51y7Z z+16m2ElDaC#CP)I`}hnZBL2jgHakt)dg!56u?xdazI?O$?ab_kVHobEQmIdvXKjn1 z;{$Y{-GQ&hLgdWnej;X-hpj(Whovd$#XiL`t2#`&@3itTsg8{(XXE1F%7>_P9ZWOw z{N$)~T;*wOxjvLr5HfpECaoYW$R;s01@9Xmm1d=y| zD?BrRT^-jCy1@9^)1Zh3H|q7ghLX|l!Ej(PaUeE4wgJGSBOb3xf)@{5hClIdBE~`V ztQ{=}l!a#lGsg{|XG_EaPU^#pS692x-&79b)!T(IpNFxFe ze~}U$gk%IB5~PUo1pom5|9AmnW?*EPz`($uk~%F6NHHh_u~UF=ypw;ZpNnHqWPE^s zP)NM5qo1R@Yf!w4V~C@ZW3VeoB?A)>Gc$YulK+DjuLgHLFzm_U0huKQ0)TAGpGSE00030{|x&ykpKVy literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..899a23ffe04bf5c2ada9ce28025f1e98a7ebab93 GIT binary patch literal 16235 zcmeHuWm8xI=Jv5AFmR+}+(Z*f6*Z@aEq8Bi=7h zovL1^dY#p$YuDQQL)UI>7#NspG}wQm+rLNRA8K2;y4g56QgU*!aj*&O|CEJZaQ~Kpfgu$7 zFTmI!{txX$|A$_%=Y}ZIQjNO+%NQPgk3MKjeJBf^{#y;5Ad`H{FV?$SO@#!1NxaVq z$t?J45}#icsTCPn6L||ib%)fOlc8Hay>@T=PI+&=Y#n=VJ-oGeYCiZMtxgF)oF8wz zuz;Nqk&uvv@ufL+;@!MxfAFeALHO%=(ST|7T_G3J_KNvtrR2svvsXh;yZc63ofPJ| zlEdisLTf{I>k_(~Urlx&RgRaehIeCPEht&nV{#2i^4iUCRph!I4MzH>1Y?X~W*PxX>otN9ESpdMUR5N5nRV&fo6WuF*4L1=mTy?Wi)0Q}WRYga zyR$=K9J#}fn>FEFrE#Ub=en}3^4f8ctwf$pwXxfBf5Vn8ijJwtXaI@dfunXHPmsLqtzFb_BB6GeyK-9ozkL*mDEs@|d{S_I)< z2{pbe2i#8+j!d7S^zuNCv1-hqvzH8AzXHg**Wm&N9wF{RB1=x?%QTD2kE|HNt6p8d zM3cz=W;r2$7ivHH9Q_*B51C$gB>b`M!}+#2gg)#|^nEBf?w5I4UX#W<3C^q@Js2h)|1jqh?w1Wr z%bhYjv6}YnSwkmelfm`aW6Pskmhr#xax_@R%v$z%AgfON$>1l<>&01$$gYw2X>R8$ z)_WZ?QT=^au^6X&0$Z)uh2YorzJBiKen83jNd}D^G1pT>D?bq zvYBu*o|6jEbpp)qcX2gza@#|9uj;5S4(3Z zBvTi0T9)Vo>pIms)v!4Dy2nvZXBK;rj1HA-0Y48p8a^cpx z&~m%MnQL|BkztLrR@Q%+8vL&5XoF}UrzK z_J9X;wA(uPp)V}Y5y5uh!C3*GM)-q2?Zq6D2q`^>_SHAbv1*ll1ryoavDc@_40?+; zi?xZOMB;tqTebXBAJlz62@f(X!c#yONw>|eA63pz&lPK{`0c&PA=maAX2yx!{@O20 zSSD`4a#Xpi;m65V6uEfIh-!Uy(Rf9+MJx*byA}mJt6`>#Q+h(Rb76YGKpMgp0q>!? z-$#dXp%Fp6K5B~oT-3EJr47F{a)iu2 zH6J{9(PACywgmeP>E-gR}I5S5e2eIyOi2uPkqyu zlsr7fB$7zgECSAbd1#s2$WlE&$z7d{Hbmcn;e0;)%@c2Yqg_vShw>6odIp^Kla@&b zb$&t1SVXS$xqBi*VUNP^>KdqghyVL?%GHitaQOiphT#BRnN-ZtEybRo?(~^&%TTD^ zntSW~DY~^u8lg@KF^L$krcL=}pZ&L*Nwd9M^Remz`PsYr61F8)Bf@C-v6k;bHL~31 z!KUHB-Iaw=dLfG3j_w{?DAv9oYJ=7s7rFN}-YiwJ?H)a{q-zuAqRRDT; zD{VGKd(E@NT7r|wG^tEMyAk+W-!%*VBxx@>yJw56g_m_A5dU+Q)U!4i%YMjD{6duM zN*4{dQPCSSZf6h5*q~=;w&XcuRan-leK+d5wyCC*oGtSnY*qPKLR?HxqB2Nknp}e~ zZIhJZsN)B!(k2FpxT_(?zQkkvX1f#*E1$kPEHM7JzMpt!=K`HkAAV*mLu|p|_-EUI zNmN3;K#ry_ZgQK2zmDx|AAD`6dGVN`M8(mj(V11>sp|U14Xd18eV4u=4{y#?0a42H zipYtZt5CYymGY;n*q#SG$CINRte-pe?w-O=Zy?w@f#34z!tt;R zVS7JFFT8_UmTieKYg9vYx$a>_%u|FFZbW&`ckIO(dkyh1?sYmlR(P#&rSGk1u`K1R51ti@aldRWs9ksBRE2Td#tmDE)RkQ0 zut^?ssN3r#$9iApeLJ0L?yl&n%`IE4eQvZF>2f@PCocCA%gVJ_PWH2`5 zwk&|@;6wF;1q-U&4*^~|>%@+fA>Z-#qB69zW8mG7A1)(*+q%wPzT^^wJNgTOV|ufX zFZ=B3WQz_^ZdZI*O!365{seJv|Css4QlmP;<74AKiDDtz8&d!`f`3 z{Qg?``51jKEHSV0JGsuH#o`x@2m9|woXzNef8%e?EjO{?+Nx9rro7B99_ThtnAv6w zrii)_gjw8rOV$fLPKR`QSsv&UogFyT!-_bl;*{{NZQB19{%Z9)see7mlOSa@AvxwD z|3h`0PqS!_oDfN?af-Z^R|<7GuK33JBa?y77atqo;14YACkwB@RNHuWp_bL)CzoIu zSV8(Ac+ATO!`uS<84jKFG(M5xMkeiHss+8SgC#$yX9!}%pW*Y=MaLNq+Z z=V(@J79npFM>Qzb%&$si;3g7p;#UtC!h()CG2;W@#B?C0#4 z-z#$(4BugWb-_kFahZX5i@;o^{av7n>pl ztCAFr03CAFrMS~(c2(j(u%DBbNqfiaX!g}y85(NwI@YWhicKfK#GDP=7=haFXpS3J zBT%ngbxxjLU}#S-X*0F;qD^0sw%aN)(UO4~b_p%i&wulp zb4iKV_joKV!>p(Bjl(cr%igX@VZU4QPklXiMqScLcid<#}>BD!Z<|NB$IkP2ZO{+_-5=Zo8P*wXR6&^+-6-?wHMn zMU?HHVzw$PRqGsAqRHDGY9Rk8UOS#5M{nd(D#Wx!pNnz}Ke~ZsPd$a18Ry z8*vb2_!ByhvA?_wsXX-p8UNwk=}uZ1C#wbPFbea^t_4WPxZD9XJiFMY&7KyrF4Mep zM`bGWzxFxM0Dt~9%xz>nerU-p)C9ObFhrhyQ4yi&yrbgLA7@-f)he(`(XoImjMUg9 z_lR#P623IsByY&0J0(x-U7T@WKc(q*6cU#Dj@(x%$Qe3f0NNPNF@DVt;&nU~w`}MA zXl=RAs=7t!Jgj>6%^zH>?8-RI)_>TzNA~NGLkrZFr?{0H?*a>)&!+!1=^ota6|n05 zV0al+ri@r~z%k%=&Il0`^5+@2LOpp8smaZNhqmCE0Xj&UIEEbZ&v?h;+yA~)q>b%? zYWDZbe2wQwSG2d|t}%vROmN4L49Aa`;ti>$A^VWhcUrP(Et~RpcCp~=yjS3eFaC*Y z5Fk1BRv9GtBK7VCCGYu)y8icJ{1N;E>OnMp3?K3f6VtJ1Is#DtI%M~rd5o=3?i_Oc ziusy1;88Kxjo~)=^V2?L8KySJ<@IlP$ySgN1h|>yM=1c~l|qves*K@JzTJzRyB_ zpTm5)M2s$~Cq9RNX0VH~Lu}V_a`HtwcUiS+|5j5sB$wK2ldq#)+R*a2yE0fryIl39 zo^bL>*drhT_0VHono_ylaXtSGRWIHX<>%t#!-wVIEy@cK+yyiLR(t4OE7hfVGY@ou zz%FlA7j%MBPv?ff`*}FP$o>>_*iLkgG-62780lBmpR|}f1eQkjozS~(g>Gbr-w1YZ z5i5&&&Y5EmRMiN#cNu;2`)6H1L7o{3jrg0K704tF=H)EnE1~*JZsl{52shJk9=!f} z#92I(-`uO@4~ppKD>7jhTG@RbBil;X|X!gkDIO0qbZ~vfie z&@RO5s27(TpLm}q!lG4F$Qk8%yTUz^AF43qij?;%2z)FB*(*}oR^@riK8b{c!eh&< z2N%m{c~uLAuLQG~BT=-k7xDO9)z1t1UU?WEa(g@X`ASPph1Q4mu5LbK{;jQyi7A}6 z!ThFhr?GhmE|s#+KbjGZ?K!n*Ee=8wf#hG? zMaZV#1dJ(Z0Qw)0cOU0DQkhE)mw)+h<#dxi1~Gp@cUj(MhJF>9Xo)Xya(g^G8XbcP zZ~d^JG0Ru<{_}$T!alQGH~qQKHj9i2Cyo~hRi$#x=yQ+^Fx+$9rodJb%}zitr2mj# z(CYrjyILJlfnu-Emtnkpl|U`g0_S`ALuS;PB6=o3KsI^5uYTPtJ7Y5>Y$cY6-BfVMtU>nn^$R0%?uEE`reJAuhQ|)^63Chr)mA)< z0-e;ysPmmVUm7CcqncQ+pwN`x#0;l0jRvw7w~Ih5MW`(gUBlLtimrvxkUxbLNjnl* z8Bzm?qr~I3;X3VER==ash3H)|Z@|NPV|ayu9>1Y!e2*aT@?GyHy~1LKNJnGA8Py_$ zkGHm~`o3htC@qyFNn@56;f3g<=k2}}EByMR4RhIvV+{C7x#Pk2Y_ZKCA`Ewx(Su}S zUtBL2P9#!LtROz%I3ddzJnP@TD_We!q#)vyyF~+2MHCX8;(P3e&- ziKd^~XH6M)reQB100(u7rs>I9&A;TZS<__|)UjAu^&sPHvq5T*V0BAd@$PL+iSj{S zAb%j9@oi!x0SWAsRpJ;j(gD*NPlQJeo7Wp(0PSYs3e2NWi=HB{t!K`$c&tshopZC~ zR|#s2M}NAR!kJ{*YGi1F0-KrC*`|1!I|7G&a@=&MKWjSh+u0f7a|E4gdmMaXK|I;4kubEfZh%hPO1Ov9Ak4)+%%8?dkt~=76w2X3+}5+H+{>*P z!5If=bK*jI8@8S(?Bk?Go)iMj49DS0Vyr98l2{h8PO~pgqWtDj{h}V8qjh7M_3(3N zsNC+rOLvI<#ab6R|CtHPr6ZYt8k|t%69(+NABi$#b_m#b^xiT+NC9b`U4X+0l<6Ya z^!sT?B0IaMoDWqDtR&EfxlY6BBPwmOgXv>LJDzce>8^||weCgt``8xqrI(O(2`*a? z&z~knhc|p{mq|YC%jdStS3?qas3WN}I+`A{q!!u*?nQa@Q4lT#C)y?MZ{W8M9@vBv zjs{nx$=38=*t$!Uti;_@LAj24Oj$i?@Hv7A+q=tTJsU*nm9S?xVuP581xbMw-BYyU z-1Q`MpI|O;ag5J-QJnP@mdFpZV@>Kw>SxHBQvLk($HpD&u`Vjef0|M}U%v6aRAG*c z0Sz$Lc5Pd*u2M1NH2#&U2iS)v9OEWkeCIJfa(xYS&*i;o9=*D~RX3~IAfAg}URHXz z1|iDj6m@y}8uUka2X1LJg%_QjYY|Zcl&TMHdf%IOQU>b=@~!LXIBZh1?Vk2>dE@q? zn*(drcBRmU`e_{Ws*p#%05?!`P3S@Gp%v#+(fBa$xXy$F=^VIeFxjq(QnRA{k>wRU zdK`Lskp8t!V#?_jn|fMGOHOQ^FL5}#e8n?cnF}65c2QsOI7610Bm>xfW}ENb=hyL@ zx53YF;1Y(@skasGysdP4XHKtlrg_P&bE#zv8Ey% zl;B9P5+ItxQD#&9dx3!t{!fO`W>>(zU<$Xh*>rM=)+tlev?di@BL2h_C{F&J@-XqA^>b*Ve4iI_gySksqTOINU*!9WPevj zq9@*cE8gH_^K+X{ZtEntNPpq_a497?v{4CzE4L8EJ#h@5Ccq2p60=uk_v3$$Z4ObW?ZZy+hzNm*5afvVZj;DPAj-(Sv5tu%}o{WX+n=r_3C# zGbU&=?lE(KG3pOud$w_sp9WKR(4b5!7cWLZh5Uj&-LPJtBXLLo;Hq7 zeq4grooGks>@22i|0X-dubAvyASSPkknJ;^c=cD5F2plO{u=KJ(t@=-1kwJ%x-28k zKS!LLl8VM83itRKIPXdY5ZLj;SW!ihjJxea?29#+eM7FYfHg5ButOd`2L2)1$qqJS zhUA8v6@PqVx%%43hU-#Ho3zfaLPtQEoKb1o_<5U`#@7%Q6^^j-+Hl(rri}LB$C59$ z^VY+)4%uTzFM z``|lP!6hIm{W|xLxqY~sa{mXWS)VK8b7zfMYu^nWkTeLSxpdB5=>YLR39^db#hG^UA=y@NYm8e#E zUE)Iw_^$#qf>>;+;^~6*JE+cEoVH-dAZa5yK`;=`teEjp z>xbGE+Txvp(?@D9OeV8z{mOH*oZU2sTUN+H7Dfgc+Z7&ytsVs;>l8cy$$4Y&K?Cy% zHO*MA3&YuakM7=laOw1{IBee26V^@VM*}8=Sx$Wi+Th4UNJY)X^zDepkK)fJmK;UR zWq*PfJr_-MgZHoP$g()#1mKO@4O>vka;V1!IG!!pHZoD$YK;q1=Mv^k^l_Y0Y?l5} z`0$#+U)C|XfK20gofCxwO-U|g#E*reV*haEKFDf2tVntv%cM)#R>+rUhmpvC|I>GY_V8Td}{?F}K0C=Sub+CYu8_VHRuY9|d|mCj}FyGZnYR9JV< zjbvB%`dfnx6@-}?V+h^Oax*IEF2JCoY=#E?;x*WACaP3$`E&N4M^E|>#*A(RM+PguPvMSPzU4&PyVE|) zO_~!phXsv9jl2KAfT0Z9K@B@QYc+F9{4IwGU?0<=pN z3EBMs3TjE4_z5MEanq&?bzYR}=Ah5`yZbv*yYR!UL^+K^pKggi)f)v&Z4J6N!@Q3A zM=~3_q0dD$G4cw1cPmFg(XgVwQ@SO_f5K4c3Y57Cv-v*1OVkH+^lBxI&}U42|4Wk( z!!jrYpU$v*3ZuzV6U?$EiZD^6z_LTQ|A!fb3Vjm8FWZ=DOBE#4kqzuyBLD1ms0=*g z8we_QqtnTXK|0&&Dx8C(3LkLdKYWO z-+p0&om{OIkM4&RMI!aQe#OZv25{7{!vJKl2&u^xAStzuA__>k3SIN6U|HM_;^_Xqk7lW1hc16Hjl! zR=UPOK{3o9jA4PJMY@tgidD}uq?w*p47o-*hG_qUou9K9cA#jblmnsm#pssT`tI{q|7!7!Ti_$se=mA!Clxlb6 zRQ69RcC;9kHBcsQy;Y51^T{#ohQ*H(2lef)$G8^5m=?-)wM^J{6M`mToq!=8n9S0c z9+@5dA=R%F)M^^9sF zDq`O$+#cKo^)-njg5F{e5+;fQ>g0^T;<#Cbz{&l*JW@0=FSRjmv?W>u}a;VRIusP#x~ z_GcBzRF1;1cJb*k{+biWAqMp%arxdFywPF63Kbb+;V6bE9O-~-XV07fr9l^cZ*T8> zJ;Pl*brL9)#!+|W+2!<|XlGvkrb@BjV2b62MAlQBz2mDRqeIoiOk(z2)+fpguD@aS zh$QK*fWwSH(T%70FYuecGV!i`ODZ|wn8Uv?IiJ=&5y--ubyvG2q9heoH;zk(>JmZ= zXc6Q~vU=4shfSmoG4MiPixt#=bZMbW(KTp)kbzH_ z?C`)Nn0(n?2&?CWrz_>IlNdx#7UhLENx9E6LCiE2v3Hk;7+Z#sVu+M{S9aw-Sewz4 zKe8U7Q!fl`l#WC6SIJt&0MKVm0z-P>|7PP|d#1ZNTs;)O4_fv-;0#Ky)Q~xwZXBIMr##SqYIJQ zuTPqltFX6hnxH4as5f&l+3hil<8D-NChhOcAYr+D6#n4%@i|!C*?Zu;RvQREkm6_a`IcLE9<>3VuEh@s#h6mT`5wiRVrJzHm^Wx`8Fe>WmNc_^ zB4@#RV>W%m&!}6yuD$(qkN=7H&)P&~-Dqk+iN1)EuKxG9b3{NL5qcip4+#%iCEbnF ztBA&a%%I3TH;l{pZtXsq5ig;qgxsazTV2?*V+o)t_82TeK&~4vj4GJFudIA1NY-pV z2wMX5=wLY9idBuxU+Jq(NX^|yRf#Pur)t(eOi8xNE&Q=KU_zEamr9Y7%3nbD{APbm z+Kpn*N=(-J<5v+Kc*FPTnbMx1(a&Cr)vO^zx~f~TWSNOr)TE|j1U}-$mgEg8akf-v;-j^GwaUTa+Y_d8GGb{yPxK~X>>{_u zjVKOPy*m!3`xJsZynih@ z;fQ1-DRwdu#SYammHrquTL>fMbwY^#Fm5)vqH1DqhXcaIG6Rsjrk8!f2|5008l=%f zzl#wr+wUulOc5r+H!oX5(v!4|bPSF)E(W#-D z!W=d^9A8o?%Y5X0mQ2LE^R-F|m7`zv|VN<%SLl7oUhi>?ZG!uX^uim&vT>_Qpz9w!o(bIiy$)cX*1 zjl6Ko?HYt`f+WyVca$?}b@2SxG|y+Mw&VI6p>IFZh;HhF2xBZ7ldkZEmT^FBHr$~M z)gN|yx3;(E8*)OdR_a6tNZK0c0NX}6p$wKp0y+Ihg$L>EjLF}dN3YE>A!}Fu5A+gr zCfm-6R=dhqO#<&VO?3rgEwk;)eI%IiVV?75th<{HC*uDzqG}cI&aq@5T@uwSt1-Aep`X#%%q(R;YleHC*9VF3stV!@a+8=1 z@6w(7+i*Ie$c?+%H2}!A8hl$r0-)EkcyG|3kAJmLkVD9q17hQBLxV8CM{Pq zuS&mRV1qQ8Keb=&BvT93eYPV&#pCrcYg*!!N!x?G4b~hY2ft(`M9-UTNd$h#>5Q19WnIG0(A$RqsHJ^Yv|(h@1RThrO5K2NpgzlBGs71>mIqaPgP(K|S?b)HxGMtqv#PHJrrmv5BL z-=Ua9XR~?Q`63i6Q4eoPYc+&Eshj(L{QYmCI$wZ9_jIrEk*J~>s&r~>IMZVJi}AtB z*Ts{{`nhnR4Y#oLsu>t2PL6ckH8qA>Ro>!>)g~3 zZ&D6bmA-SNBl&-{?s|YJ&XK%ipAc2#k(JmDu6%kK7RvmaabwRjPwH^&$;Y;Rf+d7o zFFnbdoBXF)+6gY8w-U|~Vc^p)?L;Hc`zH>)Nb}`zaQTPW#{m51H}=dqIG*+Zgmkcc z;S=6xct-C_0fYqd_bV~q#`nk(?1}e;Ws1IT!$a{2Q`g~88cN;pd}iBw(KG%&!FeIk zjMCE_v6~?COxY-26mE8<(u(&+4Y(SBtda@mI`% zQBJjo$|RdTtXkSRXt#OhOsRd&v8hZ$wI*Q#rw{<;EG#i7VBkar=7EXYZbqY3@9e)Z z)&P1d;2bU9*^&5%ko-HQXoDSKwTB765XE&9xP7ZStwFu%$y50-rs28e_Q`SvVSwl+ zX*B)sgNt$11ATzP$EJ5B3N>cNQC9m~jhP5{ycU$_?qeH1ER9MLR2}i&u>e=Gk7dP? z^%m7=J}I5z5u*&VZ61j8W*GGKv*WDxJn4^Lf3JT*xgi|g7-n!|pck2OF8IY?n{e|I zF^xa|-dZ3`G^R=QNl411qjNat>9rPjNL#7u=#>i{YD7Pxk6w1c; zsXrw#hpQjuDP{LwNb8*b6uDnY+E_9Wak(bNettT=i0z@ta=OA4hU5dx9E z$^@y{IQdk!MW0N7CmuI#er?p_^!ADGd#MZ-zy_Bal!n6g`uBRp4UL2;Y+3oZ!pp1k z>@vb@A7g>v-|t5g77XXynM{%~UGdH)>Kc<53H@B~MdFECo(~8p6YEuFcd;`^OV^fb zv}%}JymJ9}jwb|#(#spqC=?>OV%*9Vbg6+n+>n{SL+Lk;k+4S<5$O$>uMN1 zgUFG0pNa7PLH?gw2QRjT04D@t*OC~axG+F|!8@~CBj9-=iN(*ZVf!*)+HV#d!B2dx zw(NM{!7=Oqc$i7k657&LjN6V(QJI2CgVH}&z(3VxXctCqk`#v0!ZqG{1 z$4j^s_f+!!q?+vuG&N4mXE6)U)8tyUBwB?_nfMp$xTe(GhbILrLmDYM=e>5B^j-sX$pgQS zV<#Pc|LTIoEIM`Z!R2euB=OQG`5Ul!4^6BSqo@+t2>g{OwglPc@t*Z7|JSB_U5&?S z5)9Y;7#HRW1H?R71ui(BkR&k87}ifxlYbouQQ)74<7+Y?sB>0Uv(rdqqn#jJ_m1Vo z$HfO8h2b6LXOSuZXH{NXJtz$omLYseq~+#q%=1tRgeC0}cPCsM=u`#99`j83H{mh+ zAcz4l^Lx+&Jx{c8D<$Q;R{$O$lp%+d8-oeZ?hm( zWLT@8=R`M#dQUYuBM(l7kC^U8JMAmx`}OkMzA_nLJKU$OY5;bg(bUQmR^$~(RmFm0 z{fWo+*d6!jjc1N8?l_#}QDQ16t2reZ>=Oxi$AQG&k=YtRK(pq%^`qU-2yn};&3Cr# zA6@?CqM4tLrk`=z$b;&b6YOC8onL97)A=@CGCO!*e~#zuMfPx=``ushX|Kzgq>J#| zyU|A@^58SwB_L~^Ax!48VbQxP_*)XR7Aw+vngOCTv%ZWq#0D~6A;+W?7E*PCD!8=l zi6Vh^UxbPt%&EId#G-jGWx#)>d=!J0Xzh7x`9>e?sf8=;?x8z$Cok$hj*owC!Huj> zpR)DN(GiryIv;&Zi~B|2sndwdPXJc-I<5?m$GX1F0zmwxJCex{(IBQzw_8Kw%P8#0 zwYcP_Q^SgUPnhz_Sa0kX%aQDRk(2ASxJJKUF*STP&k3Jfag7HU^mU%pFZkBp$Q=G* zS#zZwbl0xTWHo-9%I!xPbVrcwHFNuz&22!BaoeJ2UH0#wH{M!&H`UGqYBBbHM!*yK zURh70&mDCk>Gak(7;6cSiga&$nJ}rWLInQu%(&I(*_B#&=_vWx9@;+2Cw|D~mv!1W z8L)Y>Dll>CC@lubOK)`l!;wM3rwb03`&Hqc9Oapj!f!c*aBLaHsdP;ClNG|P>C@FXN=pr(p6$x3Ns$5M#eM>@ zCOozCh`ehC_shlKEo?arUJJuZP@WtHPbzk%=PqK*orN5CdhPM;t-k6jfsbuPuS?ko z0_p+V>Q5sNPcZDI;ZUZ#6Yc;YRu)$W(RRAgxp9B=p(NSOEs;*nXsV8azvlYTb(EEkFF&!RCX;8A~N5h~0QW z{jCiMeTw_r8hpvsBlZWE0w~wFtE@uJ3qEc^~oz?ZLdGJMIHYo0#j1$-Can5nVKoOlTcm>#={@TbJ_o6Jx~id zIIJ~mY#9SgoDzRVSW~Z*rYmy8ZuW_%c>BuqsZB&I?`()rpuv4`|9QbW)P;E5>L$Nh zF%+=a-L3ibxRI}6l*{;GLmxitBi@;B(3lmZu-l38QO6yoh!xQ9DQ92eG<)a}(XQ#- z*z$qs+cwjh(@!%$Q7XjS?M_#g;gi|%5jWlgge*by^~L;(ZldZF7tSaI2)*gLg16g` zDzJG_6D!N8^LXEcknevWWLecj@UWfsf8^LGQox`9`4>5nY$VaqT8l_Qc1)_5;=4tJUu`=ra21t3;#GC zZuQZ~cnJT(3SidBR~Rg`tnEu=WZ%uh|B*k&>T&dm`P|f}Ryqh5?7#E9>LcF}*9*jt z&w>_HKgP4F2U^?h!oDo3<~@9!BixJS$xxK{WCETw^Qp9bQ^(r7W9lMuB2iT_H&f!9 z)mPXDOYLx^(KB_mISQ3u`+a=vtX-)M1WWfqsi;RpQo;Q&@JDg5tZSjuqmlAwlC;k~ zt&l*&y0BB@(m=3Vn>cmXK2TDq5~)5XPtC_UeV^;P)Y}qcCK$#)*D{+I;-@rF1(o1j z@>Ff+x;c@sm;Fs%4;W?^{&%X}Q`ChL^|$xkNMwk-+osM3e?Rmg*cfTcm*k`X(d)$z zn}4?>ksmV->xgD5l-f}Xv|RzP`rJ+5`RTNkK@6=pXLrz}_mwMa->pCe5dTf+NLG%$ zC0ax1rrSXKZbkCq+H*$oguB*FbDKvKX`k$-OBNzmJO2#xu)oz^$s~MT8pA0(USyL$ zEZ}JOu;Sku8HBk{mZ!Md3FK#P`!0F8FeU3knq6`|OL(x(-Mv}vRmB=pX+d(!ZwPtT z1kw9Y1m-X7`p@h^EnSD7w`j>Q+rKWQm3kxY>Kv*4+lgDc>=9Z+1cW7i3C{JtKb zin1~-`>|kwrnHp;9bHrQ-DA)0Ur(dK^MKdz?S%g=!ddig0@^{3(Hfz1Ts`JbMbi5n zZ_xIKN=E;%q<173nD=)t*bq%+Yh1OD{_7OgYq#5P-;3^5E0{D(^64T*j~uSoCLJ?3 zneW@$_ec5lUoP@x`$r$6#2arug>ZX)4NEA-|5RJcYq`Rvj~l%zTAlLxLF= z?K^_?GVZqJ%-$6?j?iiJ?}9d599eb*ydD+~%Pu8SX|#s63;PpFdkkO9wLM%_>NLST zhp-Z29ryVi2{|qB%`i8*2`*&{3*3$l9~;Y3PYuC`8>dL@Qg!J+xMk`(nXvQ86lIkL z;R$oewgf>{^IUhh;$rRZq1uym#;cMyxtYFP(K&)p=|9|U)oA00X3sW1O7a0mc1#_H|S|#DzD>zF+*``_UtL*PIL=~80hllfu qx@W=YmE?{6B9&Omw@tHh6fYbB*sYk6BvAS8>Af-Ie;421-~S(zZJzu9 literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..1f30751d9e08a25c1bc3c15c23ad0882d4698d88 GIT binary patch literal 13556 zcmajGV{k5A*fkj2$%$>-wr%4?C$??dPEKswykpz8?aX<;_nny^^JA*3_gcNWuGL*# z8@=~c8yN@)s2UddU+MJk5dMeirjAY)cD95}EcA@@OoYNN7S<+&%q+}=jO+|d%nZzo zOe};drjD)_#-@adM#ffzOoS@Zgc_#53B^n-oc~3V$Npyr1Vl*xe*nlB>3{H%^?%SE z_|gYDn@lX{_#*X=zsS7_=rbyW>I+L^E* z2C})m%cj!FA{IbM?2cc2=p%jWZXGXf?ib!KUPUBi(bMglsOZsXUgDpO+KP_KtY`yM zQlA>DK=NH*uEvPaG-n$Dr)^xo!neQAHvH}QU_Bp-&WgOBdne-K z8412sED$pndP~FkoUhu=;q=*9280ZLNMgo_vyZ3k$|VRaen4Oj?JeTLU#3qw@jnPc za77n^N^Wxnun_7D6|ge2b5;(oW#fe@ONx?Yl%=9L40ZANa%o1EaiZkII3<}=0hU+D zD$-jZwdumb4B6RvDJ6I9Q)twXhy-0yfU; z#%t#SXT*Aq=-!o!17rOl$9>7HJs7ok8%`U~8{FA-T5FHkD$S)R0AxNxjAoH1Ha~Ar zKfQXk3#;kO2A=tkf@cBqpv92Vh{^bre2V`g1kHiAs`AHv0G+4qE@ExC${Vb%GL>J$ z-`Zw%h}_EPn&O4yEX7?+H87|Azd`>Wmw8Yr#3nUu2LgZCBD0d|^qkE(%_L2{ESP6)8kOj7V1T#t~USq#po>|jYr44*HbQ~fTy$Ek?U=})@6AW{eAbv8h->m%of=n_d!>A;@IBcAn7 z$)OBHM%m0Qnt_KFI2FEDZw{rFif(E)R|S_L0xI8&#e37nTl+SM-{M=wN77Y{SUr>; zNDXb^V-*YU;A#Q~ln#Se?XtORGK^NohVz5=0)4rie=q9z4?&HG=Zq#GR&U`LXQI~aZDk?R7`r8G@7d#GilLJ5_)Exw{jn7By!=2;)a(igJU#_Y48y#p*2|FJ&CAS z79TcGR*F_0ymQs;USH?kixL4pp$E#R76#~F0k#A-4;bn=c5_ZfA0fp?*9EFG&qp*e zP85LGm62*Mz&lRT;_Z^1A%jrc^-*0B2U*2yEz3Z|oaTxt= zaVL*EMr8uI1Bv&wgn)RfbdmZnx-!TQ)^8!cI!Utb8_1`f!Jj^X;-B%?v2z07&Ezk@ zOVInxp5L0^E|~Z*ObmfWq8p}*5yCKj%T`G)0VmOXj{@6NBG1cpnX0Nh(W5}zLr8bt z_D81FJgYv^ZbF+}mJAgrYIqB9ma8mktY>+xoT!>rT-TcvmafH@bO zMb@(=j?n;+2>ir3My@iCg-hNHr5KSQAGzSOFdMn^7B#*dKY_@9$>owqt}Dp*hcAzv zL7LT36tvU92bmD_@wiQmdS8D)(gb;b*rfyh!t;Ql1;+W0;_&>ZvLE&9fUvt1Bdfa5 z{D?}hd)2%L%B&(IR#)9YV)L^Y*7ts;mCVAa?hsDf|E-8zfZdpOazUzF%^GS2A&BJo zY*zrR!0hWV-<1eBe5xL#srOy-CNX*G?@#OLDqIz*!841Ow7npwuze4vqx!>dbt=C# znH72$5|ND9wJgW#UYQ{`nRBy?fslyUTF40Z%+^8O4~L4y%@OhLF+;d})8-mFu{!)G#QYP;{}VX> z3A_IUAFldgjn-o`^Z5?JU(K>5JEhS(fQ*HM#sFBrO_Rg3AtxWT+pfW~){J5FiaT|V ztdkwKJv9RoM(UX{di(lc6&-dtXA%ZLwMPKPA$aOF6a0Qgw1u$v`r-9s)F5XnpS7ji z@lvRf%<1FxqLvQ!O2*bX_nPWiw|T5hP0Z(P^;-ePVNmM!{#2o~yxpDkUflN^asPn1 zK1-H;-EDi|{69KZay!!{DA#YUZ&$Lb!a#7aXLnX>E1cok{W9qQ^Yi2pIu7s;@N=1W z)bII5QeK>%SQ+VnJhH5XdeKEjE}*0*VWEz8<0{?zWub)FoZHI75{dHZ6q8#pY;$ro z9nI}@Hie+eQ1LkqF8T*Z_)jlK!x=YnHFFkS3UMP5oS2gyuu(FXCg}j>N(NgKhEcMW z{oXo^U!7s+k)u*FXDbb{_j8FCS?J}n z?i4}c*wQyTe21S`4N&;pVI)u#1gM}_4bp!cI11vcolgTv)PmblEI=$sD4#?FbLdax z2ykdX>|Y1L%)bu)`ddn@2$CwS2(z_3|87|PJ3U<`<~V`m%;z`-cdlu%A&aOmA*(SF zxnV~F{{H_^V2ciz5h0q9pqfC3{Qn;G?y51zNhnvt2~~;$)mpR2xcd~A6Fby@SQFCz z4j!{M@_8t1Trv#~tJ^yuLq~I$BJO1>8bWfg2HO23C*t#zus7#0Z$DKcXMCiV%Io$L z{RDqA-9gH(3d6U-lZyBSc8|X~&(YH3dWY56{q^Rc8+QYKLa_fRgr^p0-HTx#o%?j$ilKEIHo5;)CJN4?jc?0&Z zoK=wH@PT38OGD4i@a$18tb1`50b#(6`Rw0EPT!xSF=IV=D`H~N+G)1|)LbROZXBCg zyb^nlkcDx3l#)2rxf?cb1bjqPghW4h++Pz|T-n&Ht!{5^N3}ct`(+R+q~DiI7t3vw zy=cge*deOlHhX!kO^kvgWN&FS1WQSx#s5H_Yp7CGjVLs~ z{Mwz8>Xx6r1%yZm*G>p|l@$c*dwXiAGGKgLhV`TglW&Mp#Ff%v#052HJ0vdSr}c9z zgBQPB^d3F;Tj5^aGmjqIS0%a8F;CES9=h4f@=dtXZXgc^X|N*H46g>IjiH_tq#0lC zndnhG9o78pI(W4rgk2DuVLZxRkdXhHN43gJ)(=r$zE|-j@~^FKd^BEtjauoUtW}*&|kMQGVEhz zG0KtS$aLB0fCcwvra~Y3#ZDhYv|}=E$^Za=?svFIOxbvK1A}hDsb4 zLheC6*nQCVID^c{4O8$X8OnJ-CohIZ9r)_X=oCd|wF_)cQWyHA%!d(>=Ydr#pqU^g zlKL;G@ONOL1u6Mtc#WY9|8DKM^7g%bRGE`ueJBCC2RkDJGiBJWCYi!b+D9KA(QOfS zq1u8I3hGOzT7 zm!=Ept+UPt?2Z3YD-@w|3-M)|xhD3jTR^uum|h&Q)LWg<V(I!WoAH;Jg;2}W(7>D53)qpGAxd)fTx&mRZ)QLdk=YwDvJMr9{sE%%A4}K8S zZ_h)6iicVWd{}2yE}M4IlOLY@+S#|tgkIefgOJZ(+`Tw&mCoPHhX#cYX~aL;IXfYr zF5C)Q6lc6o_BLh8y_@#D%#Vm){p?wNuAuiPhI!&22#a$9esO$0gU0U&Qq4XL%w0=E zC)+zBcn@wTo1hi$b#hW}#Ox#DO8AReCuK7B*RMpU2~Txm^V+z05i#b|sA@CjHxe_- z1YbsU_m&3$u0`C05R<7$wl=&LsO59qSAKLuvkR;tN}5-oKm%9Oi1nT5=wwa-^7QPs z6Q+l|n7r!fw2T8DXS^^11h(uUCk0{A&64qS2_=Px*%VF zs&S4{h&PfZAKC+kF_b}3fqQXOj_nFY0W=T-ckhhgp5{_YPSpra+cOzBp*G2MQMu|w zl{0nL=(Mox0NDHOv7Ch@7I*}3E!7d(>maIkpIO!kd66Ni#@tdT#xdeOIkw#Tr6-R= z1RTV&0_oTZ5ZR|@4G_GqiSWbWf!ZF|gEy05i!j?T%qc0$Q{!wWmhwnhjq2sHC2k5U zI=uzDm{@IdnU6!&jra1Fv5fL+y-!+g)_Ol)Xq|>;_dTaX5EV#Uay~w9c|?%8cSl8QpepM++}zj~r5(#|&GM}^dAZl2 zyVuoM3rSbiN!}&-^9gk3KcLz|Pi|p+44uJ_vw?uXKpk!7p3uXDE>1Wy2Tik5jY~g5Hb1g0*dX>MH_;@!8@#td8#dX|h)Vr6~ zwRtS{a<+8LJ9tWXcsg}>Iy+SOD&7=6NWzyD?29b0*uRqM_ezJ9J%=9`i!bQ6>hXMw zqrJySCT=Q)_Q&7^*+MOqD`P4B%6O12zE#%TnB^Jiae998J8fN7r^g!oV&&F%H#Br- z%uZJguo%o_7A)EsjjYidZQ2h{jvn-02d(M->b@qA2Qc#-cWA}$v7TfP=;lm^4H{kO zdCFzft<9FIgMH}v9!3C;O*Ez%uMTfKh@m|$b27eeb4LkTkR)fviM?pt8XNQ>r8E#{ zK}X>sRj%6fSQEox9cFKBYaLaZyK>?ym?wWHQ1d((X-w@>^K{v-5cL`!dDAcMAJdAP z!YX4P#$D!AN~^dvvgpEeS2(3|GOL}=I?;AGCRnU;6V=klYBO5K8~7A>j{8}0BxshF zweFIRcI-GDu1D`{RaCyZ|>cqdBcuVK@ z%t_SiS${=a`gv*7$TPdiiFNetCx-6@1Q^i&v|a9JHnI9#yPY2FA5j1J1|k+N5=7bV zRuIR*oHiACVQD$!s--PKW>!xmp1f4<`>;isFR$i`xz(+hzL8bdX!Ww4o7GSVE+fA+G( zcrE2Xwj|@wy7<0y-CMlgI{%31@+0+}_GGr5%FmB?`K@L7lQaSd^ZZi&^2%ReX#T-H zeXe!=D*kR?e@c5y+E-}sD}PG#RqihO`7SZ2@J;+S{TkoqNL;@WtTi}$P55k_zfT+Y z^SfXeGqC(;LNaH52B3kNfA}&!NgGqui#`&OT- z`eug{upJfc$7fOXFBb&v{S7!ISF&oc7X9o0l8_F94-M9vH;hJzl6fB#Eejl&XZ{-o z28Hw!A4SDqa+R3I4C&W_u4BnTs+uF^+I%DU?H4& zp~S9>s(Z=&Wg&Q|iI^H<6`Onsf)XDJxQwD~wb&w@ll0z1lWgvGI$|QmVLWsfJ||Z} zIn^%5K{^P^cL%+SB9i-7e3hh-VRpg*q6{VqkrS=f$iwMBC~46*9-vT zW{S@o^$ixuUp7_NFs6RLXgZNh?P629!Ax(WQ@Vjne^}I8;7xA@4L6G4HjR>S3w*F| zC7nY>-MDz@kL2zGQ4heiCCkdSF>Edp1V3X&NrV#h{pb7IZuYFG4{^}a5x*e7&v>m9 zQ3|xpO!-pV(5brV-T54*wPTfd_ntOV&}p^;P^$Ad!{Pu>l7F<(p@0{HgVafjGG~pK z5=lWcmRXsU5_3LBKsjDh9A-wY{&0>IV(lFCGVB5gFds1ajS(1^{#grwjw8D+lS^co zSC-eN_`?Jo_nEc^9APa3lE6qG@Q3)+qy(Bu4vo}Sk91lIx&(UoFc2*6h%(-;fi?_n z7!)1i?oLHOb`T__Z8`JVkL_3e(5&l*@DU}`9Gor6A|(n3aX+gP;lpYxluLv+RZUCu z>aX^1H?PTXK6|7o2sk>nWrRKDoPG?n(PM!G_aYF|hz$a0st6+*raChD{qrwPojAn! z2%AlT3iGiLRyoR4eM6ac_Ui$G;&%3d&s#&_xN?k8n}b7^f=_$(w?*|!dOl=-LO(&H zP^yA*YE`xOoeGh3dwVO(zq2vB44WB;8E`M)Rcry3qnU?Bo`cHn>2pR@yt22Q9_dMK zI7APc2y#}R!rkkt{Oi1Q@ZtupTky; zGKAJ}o+Ub~Qnw9%(2GiO|G6|qE&VO80$4^I9<{|L)&^8j*_!&bWP3P{v`MWPbJai} zEvJ1ESy9WZaWI)(Kezc%TTzpk#EtQq1Ya_};gZQD*d+K+1In!@GOU?%c$4;e`rO}t zM@={0i@A?}$NO~PLaiB35EUO53=|1Q<8k6|SU>rjc?DTtwf{wHw2tXBdy1bqzsD2P zid!jK2O$8vyIX+#J;R6MHY3*yFIlrB8wA2DuR%r0SOE!c1_uL!F9EWIUiL2F&Z}fw zj5v--X`CM&zzp%f#01WMTC^8;(raD4@4}c zgU|G$`E8B3WrPmq3rjKZH_L&7z~$~3bmbaRAv#Vrdd159ow@r61`+M}6iJ_gcYP|K*+HlrU&$rDJQ|(41jrz}-AzlHKUUMa05XzmP>p1{ zi#@gFn6Y~yjAd1(BGPdy(*#hsxnNYzXvpy z7gXyBYtb@vsaQO!M}u2u!R5XwMG!>%rdnR@x6W9&FD?Ab>WzQTuBprbg}yv`8Sr=e z*tRI)VfG27KL-OVIYF5StdxTy^(6XT8+Kb&IrJlB55HqCII+Zd1cl#p;>uPdd8UB= zuJ2F|)diPq5wT0m@D>aGv{RbyjS8=g2)6?g>V+(&K7y-Nej`_am)rsKr(^2%9OWw>YEnFUrNC zy}j$Z^}gmAs|e4YsA%B#E={6dS#B0>(}biLk52;XHy9o}u*j6?n$XEPD)zTeWUce~?d0NJG{eyRs9cg+mI3MF`EN!j9|nUC0LN;e(Tg<&O!&uV z-k`*sU143d9!fN%fefxha*C}+zuv?wYC|$WD*hSJ07|^Z8$4kqbbREV*`-O*u&l?D z^Ykz(>BN&2sZSBZas(%g-8N$CP&RX>BkZDuUC%eSpY=ttbEs6+*8uaz>w9&IBWo>C z$CR;6BN9j>>WwGrO(yD1Byt;#-vLgZ@mH zRW;{Yk&%l77e{CTSja~}_YObYaWN_Yi8Ed+VmYS6aSZ_1i+30U??JJx@33$(rZNfR zo$Q*feVbt2qhUFA!Ih84qneCHFdzOu)hZK?ODr^-T0|Zc%3IX$xvv(q3zAKtK- zggdO^wM&^~{V&uY(8q+>kX1mR!T$N$?|Uh%do`?kHpn4ZvaK_JO>%;m{{!r1x8_iHam>qBOX4*OP>A71UiSvd3k&8X6_e2g;DV8Z zlUTUNXVKaux*P?JC9P8AYCLo;Qm@ePKfuDbGNq%3o*82}tVP2<{rBjCukf=mZ61mQ znCEy`JDUQm+bo)HdNQ5`)pjXt?pdI}hp&3M2~+bG{(b#;jwq;U!UbV$O%-mfTP39~$FYIa# z3>zTg;$!APk*KZp4!U^H(a&P`scSQ116rap;Pg{L=tH2vR@xGtBO?$kj~ak?3CPWy z7zOM5LJpwn8U{~*>2i07=1W9X_w1-|M)T2T3^TWh-WnQFA3AP1?^oI4l=I+ME$wB$-)GLS5+?`743s zs^=I4Ya@;=R&HJ8&dSVAh1{9hI)KuUj9J$#h{ouW{>@+tda{{a-3#5+C# z=77rX`Ri%l8RqTCN6s`qLmC`I*O;fTJeIo7qSII%@$Rq~G`SPC=~y~7wJ|mrmjX{} zI;;?x^GzBjVJaj82r1b%9oL4~5JDJc)L7N132c))Hsx&T0kS%ux#8#JIoB7Txtau{ z54X?np?%!3Z?1F`od*o!eNV#qPfKXeAhbR0UD`PT?!#Q`Phd`ct!3?stQpok3`DZC%`ZVB(#=-vA1I*jeQuqE51@(=S5L;A zh~g=HLdN21Yte>ClC|!>S;yb$#3{KhU^dyDGIwlRoKaiayM!I{zf%4X;io1o5cTab zT)f{ZG}Wy(mtjbIwFO0(u90NRPJ+fsfC%|f*m7y>;vWqJcc|j$fIh6^rUQ=pc=;iZ zp}82tIv7)v5JAZm;P7%o8ej1eLK?k&P0*+BKTrb(4TuwI6BLB`2HDZ2&{2J_=wl+l zxuipJ=|o}^hyWl10hFFU6#EZ$Nrc^t3MfVZ^@whA=b98g2JLl3(SY;Soc_h%EZ$R zZ;c4#sV#XtXr-%5n4CAzM=lc9oX(rwS<#n$Dg$>kRFmS(le zEU)S6mL|T!_4Rq|tE5mcugrw~0eB)4Y_0TU%+jj#bO%A+A61`=maCXkr=F8wlQg1<3Fhs( zbym(UU@Mi8cL2bFj2AsHz7hSeUYJO30LNqWlLX^(zY~7$xdH5*@*#Lotq|W0w+()u z94mcFIU-^^f$9zrY2H)ZleId>1-k;={@zx5_jT6x4FoqCl%nOlSNxcGmxkyjCGj?- zXeP~n?+g2EfkYF?f8+lLVbcdvr-f?=oLDY4%rVDy_}_S3eoq1^>a=@pzPkK7>RFM7 z(N2WS<;r!t`=?!e@h@+c@Xr&3G@k#_1VD4gW`0uh4^?Nvra~>b73VtNw9=+!%q{2t$~8Y z-J05T$~77-V)zQ!A`N#a&gU73*(1Z{1SRQM2^~Fh!#QE0h(003$g@nzMVL)AL!(FI z8%;+k2@~xA{$sIY4qCJ5SdOujCvU|2y2t?rYDwB}A=u2Ed%BcQ#Dbg&1IArF5&D}A z*91rZCXYE3jXVaSi>9MdrlX{UxWAaPF0jsBb_@YG%SQuua%H@qw_YCY_OI35x}2Z# z2K2bT2MTm$eIX4SnxBLQ^ky6WDmmb=eabr6A+JON$Uy`oXiV-O@~6%iQQ>KpqMdJ3 zox$@D*mLN5IktPQk>TJ<j%G% zUw>tWe4vk-67Q!F?>i#b>xk-75bHA#f9r~Vf+Nk3?Y-lsbA%^uLj~KP`y`G2>x#(j zQi(Xi9k=ONJbK~Y;^E%v;NHUF-pWEvWJd)cC7-drD;u)?l8AmL;F%VlYdeWbvV09f zKY@^_9R13ew48QzoD6-7mLfh@Sl-pCTY(ez4KAn;U8hKo0!QlJ+Ly`h+y{Z`dPU40 zE^w`VL1iO*Qu90g?W^vZ6niI#F7QifQBnNtiK8idyy@Tw5CLN(8$}WzM2uMfnp2@< zv11D;3NbYY7oj?*cOP&)m=9PyS`aNcK}v@AB=El$-UjzZO4x%ax!&`~Xg23s&9 zIw_;LZKL^*O-j)I@2_@6jw4|oLX1cPI)^`YXHNyXWr!!jSK_*$>36SwN}{?%#5F5W zFB`yizdX9+L^q3&>&?+pPx$kZuU`!K5x_u)*Pwl)lPp>Wzhy)}MMXc+p#CJf6I!m_ zvtT)9QJ%OW-#H=Q!6M(uAm7m-JA{S5Hiy5)gtw`PZjurE(hy%m2>;pIiIBZUM()Kx zei@J4q+o705dBmV2NS+sV|u@AqVdYF;$N6FkT^f!rm zPZ!U2|7$8i`r)88NntWdVLDoBGCGA2j$!$U1v!+txNU3wNBj0E~F=&|17ST5tCBfT*WuF*_C(b>7pjai=>h~h%wtbhs<^9?uiJp4>Kq7m!n3z`=D*u+m zzWlmyH;TL%w$9J-qX^Hp_2#y7S@eSt#_ZZ56Zr;5dOp%N*D&{zkw~i!^5J^6Rmyt- z>SuE({2EtW`Wpo5o-t>0=p{5TY>rCe<6cOGI2?0ksj1zo@ULZ0t-TASUP zoSR%*otL;*YAaow-P{(}JD1&-EVs52%p27%@CV^{b0yU|eEqb$#qxdqwz*@?x!`V- z$4%QY7B^aK^$411>^@f`<{m}SvLiU+FK{5jyk?|F7Pjh@h`4N5apiIkAd2Ci;vSNy zGbT8L_Jm{9LW8;yv42YwbaLDplyLz2#BB16i=1p*ts*fh zF@|Lh#DM{WSISgq4~Vq3Sp9wbs;ror+2Mw(_QZikgAq`n@D#+DJ=st+@!4wzb-fOK z(-~;|6sdJ6c~@0S=LGWXgABnn+a$W%8or%wH^ehElO)M}+oI<9s9)1(3KA2 zrCw3=(fS`$Q+wlF?<-~%^JfSZQD1CE z_~?vn6s@)PmI4wwGRp0fABhhQ?Bgq+rmYqDbLLh5e#3sH{vd z(tp8F(Imrx37D|I3HbvNRe$djgi1Vq^lYtN=oio#C1$keyiIZJd}K{DcvvGDTMyc0 z_4r4q2`NzQ>q}$iGB$LiP2Q>oRp{g%IC5Of{x3B%L;_6ON7&3N0nKgr&>S_US(~C5hq{R z%4G1wrZPYJ&UteRHy)?5wr4)J<%|=H%}inGrmG6Xf}9;}6)MUI*Vjx~oSA%asw zMX*)Yk!(`9h|&7Qg~z+gEG!9`CP{{@7peO=9~O}48zRNnl)_S?SDe;v!5k3?)hjAX z)cu$Iu=29yW!TeYhrZRQ>D_=v&4S8#M41=&4(!BrTcbP$)r1=%ak`kB`vZ=cj;=kW zL$0c8dNgZ}LeZ$^^?GIXsBFol28hw&w6ejge^Ypnv zSRXCforfUYSa&$4jV{MCM2(~v1=uk%gVmoJ=FDi`uN@Bg79in{tR#^cI1ret(rCi$ zc4`d+c+NApP>T!jJ|$XsARdpWA=z{a5s9r+;W*e%AnwFJ-wRx?NZ5sG@#F~TjUoQn z?!OgiWIZ$(;g`_qL!I1Q!y1W!Vz^g4G5eYKiF=}OlC`eME>CI|HX^l?TMF6$xI&z` zq4gMsyq@hf;A?b2v5DX<&tn zljw%~o80S~Djg~;s8<^(9Qf7w>G`zTGI(-m4TJYv&_liB-cKwLqB}^bL50+=ooE^3g2GTLz=Y>z z8decgR^n0IRkO-0AK6Yk7pJMUfWsgHsf~Nw6!9bRQTy9yP_(;7P~Ztk3KtQGSkmL{ z)>toysz*5YS_}f;CCJbh5eR|f{7e4kA93kzHRRv%I1y6mYn*6H{?njDCCH4*R@l5! zAbmbWyO8j-MZKhA?kX+dM|-5{D+PKqJ`C3%rM>oX+ED-xHEjN_3pf zS9Wj=?kl3MIq^ug@_#AB=k_pa_tPe)J>u?lZ!z}vOAJoJAM10d)e{tVdh&tQ=OnjN z=S#VfNUjv7PoQioE$kvjDQZ#vmQ|N=#-S(woi%1cmH=5SX?!u%S6gm|uW%_7vEAU6 zK$HO~Xq!qFQD~{@jF4$yCE+rKRwB@9@CCJn4~ms;Y)|+b91uk-V!5NF6jTiKJGs7? z-aX))3rjK&-knf3F$LY5i}=NcqadGgs~s=UD|$ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.idb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..7f2b110a5a0121c84e7af04462708f0ab40fb5b8 GIT binary patch literal 6388 zcmZX32T)U8x3&V(h0s9)2~AKsNR!@GRGI{k-U$RkN2K>4O_Yul=}HYqkuHSZi}WHv zLJJ@SsR1tE`+on-f9LMAXRor?^URuAYu3y;hvwF;TNM^wZ7a96OX zxR8jDC|JcC3bO@^iHm_nB!xxAgvCTe#liY^9zIYTJFt$GjWbvjtp5ybXlD&pw}pD$ zAmi$9SZ>xQrhg@v;9q&f{I7g-8=FlL5U+XOyFtWoLh4G?v)yovvao@k4!DjA2+m>HTf|d zmTz*=mOJX%HoBOT;b)Ht+-UI|WgU@$4+Y5&ahhrnahw&(zBh+de**lbu_T^Q2G$64 z^2JIgtIHu&F`)@^)y6q!n&`JhfrZK>?tO1uSaZfvTC#l>#&r8n9;3?~(fp3+GN&V_ zduAq(toHo-+C1^*`UQ$*?KSC_6(+iYEf-wrLjRE zQ4KMkF*pPTA{Mbvn+8auO`}PpGo&@7nE}ku&d|{zSP`r-Susyh6)0OYRlXFG1SyMT zbqBbMxRZ{jNhfb=0yVsNngBa=xm;AdZO?$pz^6cU;EN+(pZf_M!~nt=WfT%+X-(=5 zbeD4{5KWd&V%GSi_USn#uLht5P(sTUql^e{6;9OKDodzJ>{5g!YEJFao97g(%O(-fg zPx>R1kf!I1(3x7zh^8hEAu_@{7?ZAF5mC;~8HXEu$l1xRQmvsJ;okP+^&L?X6LMp1 zHRg?6BF;`%6-mGi(vgeCvmpae4h5_ht9(F^O3~D$Alz&?`cdv-@ex=sr$C^h$tIGU zIjbR}n10y>i zb{y3P>ZC9O1_Bdl!_L%?fZ4A?D1$yXbQy|9&9vaX!aIaBediU55B&(uief<-hY9`v zNdR9&1V;Rug7R#IFwy@@!HwSi5seQGb@Q+1Xn`La5gqKL{$OkEeIB9~MJ#LpeV{DhK|(?xFU zGwLbo$xV~a+zF5Tg-3Yukt+KE)|Hbm`to!pQnn*%wvi#+(ZhuR|x@uMB|bG7Xsa2b&fu2PDKPB zd`^@v3_dgj)T9yNNFQTpWufmUK%IGwyouHf@kbH8>I(?!_0?3B`8>rS_UIw^#H^w<%+kwI ztr2Oc_U4tBt)=4|FM7FGw)vtWax@c}p zUEnnBpr@wui+k<;*ug3RAs5$u%{(%8pP{#%oN)-hD~yLoGg zRf3-k#ah2Y*t|47Q64!8S;!o$pr&}PH*YqMV##x$3Dd&sLyjD>Qq-1=cDLwyP-g&# zvc^i@HC{df=){9sCfXaJEI;4b?`r<1;{Nn)6= z4V+)g0gDf(xOcwpg(?=3xKVA`i%#D4gWzN!ScLT`74ATMbRvz_hM@v~nFbNIh9X0730FYl!uOyehHJ1(w|yG;g+OPHhrwsXVZ z0u{^?vrk(jO?FJ<4W7GO`}I0ivu$i6_jykb2k!15h0v98@UnB*qP+v=EVbIq|JUZ`^62;(eZ)$^=m z`NN(TWCky{_5(}UUN5EfX-}(c_jcpbYgCeD`uj<6utA*w2_OSvuw!NXYdZ4&@4_q) zFFdrBVBr`GIe%p4vX*_@*jgag6~4l9F%|H@ec6eXCd#~nf-2CV5mSO<0C-dHL&m~y z<%Z$O9$XBKDHjr*nz}uC;%;z}?UnayFhp>nkAD|(P0MlMsH72^YwnWf8b!re2p$en zM5&V91KD8L5BNNlvMf^lP4n4hHrRWY;R{T&-jUnwr{Q#LdA*<^K>YZzHom{%VjW+0 zu_OItV0zhNKYgG1P1V>>>q%IuqFDW(OWdxo#R#ZovMFF3r#3%x`1{~0^^-V_ubUva)tGS2AIRLy2FVdL(~sg<8s50)yO)IGN+d?! zAJDy8bE1|UpUV)0e;TxVd}wGMWHBstFp8U9`tqYVlZGy(^671Cz7|)i2Tqg)1$_G3U~5s-N3)JU3m<4jL!57uzgM^*>Zh9=W?34-tx+88M+QUc0J}<{+msV zEsCAPW3Iw>er6UL=|kfnw!aze`mGgXcT3Hile?jpf~z0OJ?Fl2%e7kKNa2r$j=rq$ z6-_?nlAYgfdD~TqF4>uCNnICK8FSCcxPD5jy(2z9CF>pTv1zUVcLOU8JMVNce=40{ zm{j=z`2cq+q-iE+4y*5TuR@z?B+ zGK5d`Aj{r1yu@|3EC;=(MXd=#>#xGPr`1nH%dbCd%*B}XN~1R_@L__iM;#x8c7T7r ztiaK>nGy~yE3tM(O(HhAFe-)Zq>RMt(R|wyGv%2wVKPgF&=At z@cL#0E^kUp=5?tOAzh8545xuClM3&-3>jX4qT?pe`&QK3+_i;c32VZx?omja%;3J= zl!}G|^Cs0$JoTWz_1rf-;~nacNg9?SBd1F^Hw|C{SEb^8h3a6>K^%0i= zd8>^H1x%Y74emi*5ScjG1Nf)k((5h*>TuXi31~*yQ zMLzC)B{*ev_IbazWo}P*+cy_Mft!M*R`GLk`*IRW?ykCID~Q;c<`%Z#4-|6lombX9 z)jHLg_VQlryl0pB(3{LatchA@Qbf2s(Qv8v;EAZh)nM)Ja+lv8gs{$Wezd9-Yqmy5 z#RfE7FNF>dCk%8Ptp`kgBZWNRN&}G!ydh{hPQP-x3g*V5$CTAz^FCwr*m>RWE0qGc zGF`V^UPu4J?da1Vu`)-0!=%lPQ>^A?+Oj7cqMhEY->1?|@wn;-KIRL=y!o5H%CDJbmN)Rrxr z+)kJ9g11}YXgO3sVxu_-yU;gZ-i_u52263aj|N^<2^|zm?wQEjWyFy@_}Wf<=Cx+% z+BVXL+v{yYT*w@9Ov*b4h$lu(>pre%fjvgMX>5I38JY_A$lq`n#EX*z@0Q!%y&jv` zdn>)A%Gx4)Wvc}6)}HXg@v{%+<2 z44*@N^6-yETD*{$T=h?c#Hf{pEg)Y#Lm)v5!eH9$!|$ z1;{TnSBy4UDTYV`ZFJw5$=&5(m104GoZp?@ioKG`D6x7*-%f3^KcX{`aG9FxUD0*C z{|Ki}Y>3 z)N)kdBCdf&B)zV^E+Y`suWOBqJeaKJZ@`#?hx?WgTJ4XfX30XBvH4 z%o7jdTc2Tc!OgBtwP=*}B4=Tm&6kZI50#fogw0o@n_*HeuAp*-&vibw?AKq;8FSJH z&RS}NuzF97Q-OWoFOuZ;JSRfE72bE)yk6euNiQnj_VV>vM0T*c?7Q#riti;pc*Co8*Sih}y}qp}ucQ=bywH5T7j`#`vERC3X`}LN|G+7W)2L$d zrJZ&0=hB78wdlp_PmN4^fYH){G4s1NA9(~TQQIzJeG9&2?i#Geel3DpEVxb;-AzkQICge1 ziPrkcK8xHaL!TbH56Zo~>g{*lEkGv+eiAE6I&p`+J~=rdS9Hy?yUIVU4w`)!Eq08l z6U>4tdT$oPZDD?xt#`G^lQAhV?HPdL_vKCP{`r%-tK{*ad&J`|jDONkk3mm}pyEtjHSSExSUU_F1R z5Zf*6rIza+U^?CVIE&{~Aa_PpMUpl7)tqLXjIe(-&+zN-;*Gy#qN5aD^>^N+nh$o>g$ORIzjK1uE(FJ-uq2$*P2Z2mkC`CmmG-mxUNb~JK*DW39bGduc~1Da$dRZ zzKQJD_U-SqoL6L+dngg&rJZB%pUVrmvdDC%Do;SKa$3-N)!SFva!a6d4a^q%3h%sZ zAeYU`(z!-)b?`Sev!4<;Q|9?|7e6Y>RLmF-Gu3_-q zB+uVv`8BopJ2Cjf!H>hQ z`|2VLFKwxB0$HeRXjQ0*E5K%9c@cyzAMI>&oQ1U^lTKLEp3mWk_iZ1pGGtC!BrFz> zEu_74;sGGVK$D-aDiAIk$S@>+s&`I&mF)7~M`>zf>Wj-~ByxT5jM`i%<*dMk0;A{E z{_Xkhrw><3tVI@eZRxVSy`?6}mlVzUa^s%@?E)%B386qHnJe%_ zZbYz7Vi5iDO_}(x)bdB5o1QS5_09Q@3EIVUEZ;F+F`|c^AH_%BxLU%l{8f4Ckf%~N zU&^nq-`qx9|GK3@9M$-=Qa07%rc^7Osj92QHbpOn4m9X<){}OUfvCoa6^cg5Pcz-P96rjlqnmGj+uG|9k0t{Jw z4ckw_h8qA`4M=rmtSot>a?`Uv+wXk)hX#d)e^&z@r|M!P9MNEX!tLXpzSoh-M26z-P0CwnZZNXCKUp*5sY)0k_GgLi&gJkv6u( zvc3gSWl{n>j&RTc6O*-zEjA2`gt2bEG8!Q{1!QR{qKfQ`X?L@ZatooPyqLdQ{KGpaVe9B pFp7(~iH0nGmp`u+eWFH+P)_wfk8C79lQ{=Zh1ve+Kgad;{{YR8nfd?# literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.kpt b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..c0fd8682d467b95088fc3d17329bfb39f8308959 GIT binary patch literal 230 zcmVuG0001ZoTZU5Yr`-Qg?ImohxXc*9nvNC5YizfLyDoLlToBgBV5ah zBnJZh@pUR_DQzLp_1*ivJGez>L#uW$qNj>IZ`#|2UV1WNMRHK&QrTOEOX)<;>%mlv zr<~z93KvHIl|IA(>ow-Ho23qTj3e+e%~Ou$s9zO|vOqr1c##!3FVT=YX-U`$*@2_E z#}n;vr*xP|RwuxsqjPHqZGvw-1%$Jm4t2CAM@ACEL6e&%wqkD)$i5SQOM(-|$4&pW g><8DAx>EB=h|BKi`U(Ehs*}n0@vl002f_)K5>^s#kpKVy literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.logdb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..c33cdb4d5dfaaae5535fec0f3384e69b221fa2fe GIT binary patch literal 20718 zcmeEt<98=r@Mmn>ww;OXeB)%|iEZ1qZQGjIwvCBxYxC@H-|SzoZ}#-*u5+u;?e40& zRrRUrbCH37fU04Ef3A){hwu+oGj?z^x3wW+W@TVvU?viFHn%b&Vqs+=V&Y(AW?^Ju zVrC^$Hg<3^H#8EOcx{DhzF3=I#Vcs@iv4kX^kdU|bLf-Y_{(OM3nLI>nqRuCpqlZTHdcs1N%sL&)i zDrZ?EBWy@$X&EVdc-^PR5;Zh(ecnz>eZ{_0Q&N0#zZkbVS|5zx%E3~-dp2M`^Gahn zeVCwpV+;f;e0m~8@7kYfot{%w`sGi*zj5EceNVr>Z@#|)Juk8EJ-gomuMZ0XB{;s} z5oPYj)ivBu!abK`ch)c4@?SWkXMf;7?jsfW$~tRdVhJ%*A}fOvXk0~XXO)um1dA*x zu6y9bLD_LUXnx7KeN^Y4xruytmi7~!AP`pA*j=?Qt(C2aXNI2nRIVrrx$VI(GW~-6 z(+ZIzj7BLjix^tb`KPwh#}es@DxZ4aM`ctbyKt#PsgLR+R@nK-wJV<+7cBK5$Y2Id zHE%k=-<9B{%hCDUf5*~Q0zZgnn^su(5wh7nkFWi~BmY!z{P?*nMaD6*#4Yy$a@%gTOKD*4l+fc+VG9uy01xcWwHVYIs5`=G z##9XFxHVg3A*vUP)V+h1jj#dQQ@d8|#ec)A@-_RfTV6*-XTKajWaSVoJX|`^wQ}eB z?Q+?CMfsu9((Eq_X%Iohd+p~a%Yf;kMf`YMK>+*R@%mbM@VjU^_M1?q zwe8c3)KBq#Vo{XUq7%s~ag<5Hx)qxmf?uW3iXBqO)_sG=GP*r~{SuXtvug z*rba~D^eVTj62TL-<-u5S?8nm0?%I;+w=2;(W3@9@bU~=-p!r7H=5ar#IXse*2`Y8 zlhcQXE1&`o6ggSh<*ChyQz5Phq=p-okofae6PH1&?=1za0$FOv=&q^k%^|5=OKfmj z(10A^pcQjt*_B{&M?G@_5# z`fm;d)X;AO3V1Ad9v{i43I==AS}sMBL0ajg;DQ{*y^_7%7v4T)Kc@ICjJhq8?^SBc#w-(}_Tg}y4gxCx8 zDPHfE?jyUBNvvgJv^9jB6hK7oW=en#8geED`zpl#z~Wez&ZfyGjwho1-aPPks%?d+ zwplq(*df2e$p7*s%Wd9beQX!A!SoF)_dd=qpk|>sJ1ck`S3X#V`s6n`3Cshqgi*{? zJVqS#N`6SHdae@+=3pon(j`+YvB?w0Hugdzoq)#&qVJPdkqK(2|rkpK#6=%%rCh4?O+)kZHU{{H*yF z*)JjOQEtY3+e^L(wZ;iKAbsRl;IXqj6%tar>80!;>C~t3!Y|uCxmzr}1q}oOh2Lhx zm3T{sKsF}Uin@C__-C0op-|+nmEaYaK^(Fy;eOR~H~QOS>3LUqiGV4I`@+-uI|{E< zg-EeNb1P4xu$h{MO|%!3`+;X2m#6uQ3Cg_N>%4u8N1`7XZGcq5XUZ6Wo|mr{xCxuv zIT-jfq)LYM(1cu}EZ1oo+$>_DM)LY$$`ZK${#<(dN$eiQNZ*r)M1s%c?EwT1wtppn z1^%$%M4Js#A=fB4FF6=`(94-T@-1uxAUvj^H~QKs&?}g1U9P~3Ylzs%&m3FpCCxbG ze=D5W`@0M_j1f|7e#D@*I__Zga(_Clqh8VCi`l~|1SF!&Gyaez;81zim&Ww!D`|Yb zW+RIAGFWei%a{k6*n%fSJ|S zR@lt%73@$nansclil8wGreS>jxDMLY4_K~9drOd<&xDlCdHFLXGY>yjBYxpk_TF_U zk^yVT0F5AnWa2A0myD>EgE&_82OFO8L+`Ne*cx$^<_~sA;q=lt)k%$t<&t#0f_k~n zJflr^ovGK*1s{{oV=~x%(e<+)_yRaYz!Wk7@~@C`*gTJbQDzNp2>LVSaKt-%MU3JW z2QGmg>O4dgwR68puFb&IA+(|>W}RoM58^x9&@AlYa$&)FGB1;lPe46A+8_kZo*7?Lw4+wb;nw3%yMk zkhedWRlS+$hQ*7}g~W5D@s+PN7A7F;p+f?}S|RV{&U4V%@bxYvpRPVGVNHTgi&r4 z2${rUJ006JAuIfK!kPrCv#*8&7+#;nbqzDPr*f(iX%}%jS*~`ItbJ!o?KgnCS@?p( z$F5i5i~Um4lM%*bMS1`|%GAw1t!A1vjnSWdL>-V?$~5t}b`~J(j31|yU?pk~@SB~= zQdceO>tVl9OcFW2ekZ~Q^UGC;zYHUm5uHKBLF!Bms~S0ssOc%NX4)#u?5NTFYjR;a z3vQZ8&Dv1+dw%TJw_;__f=X?DY9&m5UNYx2^Oddn@L#uCe2sBUQ7XTg9{x)?Oc|Lu zGr+LE;ly+cuA`@dRXtf2AF4CT-B-d5`~AxE;0X`F9V0>`4P9lwlQRm3i3CBFvOz{h z#{f6MbfA>7RT#C3FeweIhy-c8pOpKLzn#O2nk335?jKHuimW(K#T0MEk8DC@0A`rb zu`<9zbzq%wq&>$EbjM}`)Qs3%Omeb@xNXsV^AP2CsF?@dFD`S}edU|d|kt$jMuZ|u{ zW3e``Xk8~XG~7{E)r+qsg|8kkzyUE^U6YY6_b~hhp9Xn>0UL zKZ8M~K{oG$NmZP0m`ktFAX)Uupdc+W!mpKQ6fgO%la~|{aT>u{j>%`9x1wh4N}bJbkPVdjS>F;U-17_MXZjUTrnEHfNzXFZChMhP- z0i;VyU#-+`NDnFL0i|EB;Ho=X6g<`t;NM7SvR(jm_AggE{v0|R3@o}AJ!TAyJ3V65 z9h|ORryX0xI*hD$>&x(8BpZX**$bFE8~KC}C27=}$NxN^zv=hBbA8`V2@~PLWZL)s z#I%1DdoqgliFj#z76w|}&noPGon4p9nJHOn%AT#ZK7^YiMfL8K_nuLDu^`KmHqo8 z!H54W@{7i|;>?1k))B+h4E)|1%?l8FFpj1YV|&=i5Le?SrO_dTTwfHN*(tN5Ju5 zB$zsPr%;n&iaz6?_dKQVV4WwpZ$IIDn&R_-UnEfhs?t8v%{4nf*>_&C){n|5e!~+=pz2ADl9?H)*FM3nMOhyM>r- zDmTdNG@;AotCiJQ9lwKd;z{3}f~n~FisBih{p$`|cIxT#Dr3;`E^PK2XUaI`dA~8+eUUhgKZCG==Fz<*&>7D z!HR8`cjdM}We1RF75s%q+I)w4*CJA{(vcBg3gEp3+!|RGa-ju%nm$8Z z?tcS8+AxBczOttv*DzDcUyMVu4b%9)i6Pp(R^;QiWK+Z7BSg+PV~R#+_FmF^vMfjU z#BWBc3uVkF_uQplj@OrHA#77%1J-F&JYT+U*@*8fbJt8vNBuAqC09#y`TjmI1ookO zb8+J-;pu#XS&6QAzu6`{eCD`6c{2LGQ9D?e+vIfJLGFcJOi)eryj02yeAbd>rFM&- zRy5Ttp2l5mmnN&_Rv{`?$EKDu9M(e!n#)+eF@D-RFI%!JALr)LX)j29l>`1YNF1zY znUj%D0i?tUy#I2OCR~!vWrgMJkpA_xhAQRcIxEi1`}oYoAw!jnQQ&AY+^$=I*R|v^ z8;rUIL|8?wH;r=g;yN#}VB_;O%fpgZlB?4B)V1ICc_^a)j;t(!n{?sFi3bd?%f7tlu?;Zxi*??i04{1X=Nroahh z8mxOroT53fak6Yh6j`98rpt8l9fX+yGo|8aBMO4zq~(%%(2e0)mJ~#Tl0j0!unf^T z!MVbsI;q1HnDfO^=M_<>MkzjGZ@ooEX3+ivwlNB$&EIhm5ez8=0-ID;3b&OtFV81? z?BFXXUT9R3&w*3}?4wfPPWrkX2$iH5( zGPSkmTymqsAh1;%fqUU~1&8G*npc=#`?Qh=KHqe!J)GuOH~6SHa|u=DpIW92FM_G7 zt7~g8Th%yWabpS5ms?wwVJ$ObIbnjUtLYPAqFOq$2trgrtZe3 z_vU>5n&0^wpy=?!OFlLY>^o`p>A}=4aNF~PD?@p}CbK;~<*tlRbqxe29_{H*@dmXm zviS3gPVhqd^s3&?LX>)?sug$k7&a6w#d>6TzAq(;7i(8$JP61OfG-`}XQuFkdk8lD z`TCMNjPuxCyAO;o7j*8qDqGWZ-8&cT?r~*m=4DPOji=e~OL)9fbd*n2<*LFbz}94X zrMI%Osj9ANL`zvS!cz8DLZ;YQIUPxEZCtTJfnAQRh(4REKkd+bY#YypCPd@W` z%yU=bU*+c_kz40kWj7v^Hu|MTeB+FsAkx&bVwS`9XZi2*?0fXUu>^W|D)^XPmBNd| zxkTtpMY74@hNpy+tJ}l;EQFX?4FOA$eAE8K;5bNWFf&D%p~S(beWCh!*MCi+!dSL! z(};J#8}$PJ-{rrhqhsKx0a?EyI2N5{LExg>SKid|8~Og$PAT2r@VzkybSnAQ$fzPp zUK?H`{LN@%A|Rd3HlBC>Epn&uUlZqHm^{~=ptG+14fqQkuqIe}W45#@_)t?Vu&weg zFFR=D@er^U$Q~c?*Ro--x_PMxB{+>j<4h;vPmFc)m<1)Q4rMZHwyY#-?>DkFCmb-g zEJE}IA}S4aS__#wHl;5WZLs+8#JNc+@Qjg zJw@Aj)nJbiaL_Vvq9e-gs>LQAI)4$Qh9R(3U!X5{DV-&Oux*yM>DFwhsS~yFWj_K3J`|j zmF{ydiQAA92@1a_KzG@a3LQ;ho5AwLgDxjl6~a6i@!!6ww0%qn_*+_BAE#C&>6qx! z#a1v^Bot5Q1GMFHj;hH}*xbVK3RMLofpazLN*%@ypckIvFuchALy@^NFfQMPuB(93 zu7FboWYuQOUa;S+vM9!84qG1{&@7;4@tTwpEzU;mKrZaI@}a!mGSTSlgvtfZt@~bt zA0^mVSm$UG5j5O!hlN#QoHs7tYAL;9q~t9r@Y6V$4cZ$wbK8TY4dt`-6x7Q49kN&E z*vtacPP?SptVD;VR+0Mlax7Qg!p7t|1(A!z2aCcW z`tV7SUsul&V`GYLx6GgU6Uc|cSWflJ@N;!CcVnk?B#48ME6W4YzQ2ov=`E|^6-Y`g zp6F z!Ha0&zTtz}5w`XxsT7?a#TeEN)CJ=&a_D$x{w$U`!xSpz5c!yaD!N3Aw9W^`r%fPPA!O1eSSFwlv_GrUo`m+azWoJ0^aF} zyIOf*@2Cv2e)`5bWPPST=xM2D)%(7W|Wb@5|L2C35a-77* z34B3FOYzr_n5Q9IPL(BQP;Net@hQS)Qgfodi9YkGWMlr#>IrOm=DV0O3ByHgOBaL; z149X9{(gIDbv2N<#Ma1o9==kxaBI(=>w$T$wfv18zcaUu3AGB$J-N2y|H_k zM2}#D{8MFFLxplq50?+3b3hJw2r8tiu7m zFxESe+$|m%PRezCmayS>A9Ks#^YETzjafcae`DUwa_D5x%(h(5c5>LT43C6Azq>bU9|IoDO=31bNsC*+v%vDO^Q_siJkbr^589sXK(<&_FKF zGhWi(vR^zgC0X{Q=Jb*86uv&%R93r|RGeX3+ae5glP5wuOH7E>`b*o zhRU)Z2n;CMs-36PRYXJnaEz43bA?mgKJc9J@ln$=$UmAtx>j;`w)J~-a06&Mj|xG+1_1cZ)q{-`rvZu0Gi~pIL*G8 zC6K5oO%o$fs@Xuad8iuWS`CG8T^qc(9W7R6y~r>M0y0aGo{Qza>xq~kDr|zaRU$b0 zuYuukKzM`Ry-gG~2%a+RIz1da>b?mI1K9SxhjpT0CFJ_L8Z8C@Z2YUN9&}+#;9B3d zAso_U)~LnEDC+WaaMhHYsUPOdF39yo5)HAQ*vWnIcN2U%1_+^w1tGsJUi}6o2U7P{ z1XJx^`bv~!xyM7xvCHF2krK}t`QhN`(YUc(LVwdg5z1fYGODR|>VWZBoj+N=*Ltxo zssscouh9Sx;onhm>h*&MreCk4o#quG>Prt!(tP`L3$#T>5Nu(=mulv+;}wCx(1MLF z(Vx4?g%M+@v;^9Vl`4o+q^r)x9-s4U#Ue6)<2;T*HHog$(Cj)=aW_H{J(D3!g^iQO zs!Zl8!zLD?n;1;z3+s#4yMPtLvds$#oYf`hGACRlKK~Tna&wDQTdp^O5{aEA={k|b%nOJedQOc2iuqsn782dwt2np>a&Mt%wmU8woV+WY|q$r zSHaYGK#w5{jZ0v8`<5c%hB~y^G@@KYWhL*vboh`>wmLM@)(D!X@G({rmF#a}G!IZq z=$wWQ!L^p~sEMZSUUjpkX-V8M5{0ES%}935>*E(Pyxvw5TtZqsaYQrB?5S9n9kRxo z*0uJrgk{!0-0{72qt+$awAd~m+jc> zJ6JtWb9=DPjgtl0&C<;-m(qQFvex@{rP!s;IAur@!_TFyyjOEaqmJ`pY4r1vX*Q+O zRhWjHe!tuQsVrFjdH4`$VD!_!Gg4n$A4_D$q8s#LBWI_yTJGHbyF~~y&gq^sVaL{p zfo%$BN>pjOte`Wo0osXuyeYXr6acWvCTG;;c+&0MEap_-Wn3bViuFQss)2HUpu*Q- zwlm;Ve3S@%AAIoUdFOa7QN4CZwvCff%x^D`hW)0C3RyL3Hpf9IOReww9i42DYN~lD z#DpjQ9(l5=y^zu*yyv`>Wd0ipu-nXRZ~d#+20p_*{*COFZ!HJwUp+3BfKBub&77BV zPN4Zo?lR_1!N_oVKr!$7r(^)%oxJJ^8xA+e@XE1yhJ(T5hz%R_&2$0s!@dy}Z&R%q zlsJLEdiN^eAd5UviSSV9Q|_c#Av8- z$1DU5O(Qx{=B3EQi2Y1!MLO-tiIv&CO55!+*7N|pl_|jG)F(7n*_of2fiy(v0-}Ev zfxDyM@-h_c&>tMvr8iu!g*Hw>mT8jFd`XWJ zUfwb5;P9)tWdO@Z3)H`=?G_q~x*YjxQ9_f#Y@5wu5Tj(Tp3}m)U8vbE9+@O&)eUn5 zCnv}xCjz)hAXFgWm_<%QglD54>NykBC8izC+Gh?RCh-rasa2iI>S>k^`wTMxR!?lu z%u&pa;mg)SgQvn#yB_98UYI?V(Dd>~{>%lwWp*oWAy9WY`YmUKMkFkN|J4+xEsrjy zcO}9QFDm$U3MXRP1JJB43g#j!O6t#}$s$pVeXWO9wJ7i_;0e&l%mh7dcxradZkk_6 z3ik#^g^xJ*t+LpSIK2C<;V;RWBCw#B2lrKVUcE;xWCV(LR8Od1gIyYy`VeQ#E%5vT z6MeJd%#v!^YX@51Y&3CCO~L7#%8g!mdr<@Lx6(HAkR z#LuK@$5-3wL?d?CZ3yt(Z#Y>|aH*SxCo6=G!Ff}AJ2pv){4NA^oD7c@IHAXuR{cYj zLkR*0o2Iu)4-J^x7JP+$WH7R6$0xem+$KW`{^ez3jH-8-W_-fFZH@UD5!Lv|5%aZo zUVE>3+YM90Hn2X>G^P3`hLrB{&`k&_;-F>HOJ3K=kxd9H?Up-Do4X0JGc4=69mX^b zsy9Nup>xg7Zg5NZ8C0bD9aW_#dl+Mql^H}QnRN=(f2gP!Xw3Z25hnDtFrwT-Y#eO~ zC?#4~o*>v9BLyf1ZB&Zun>HDmkeT~_F{yF!iklOEL@{iV(8PR5>uO&?iUEEu6bBpK z)KK$%rnUo>mDF{LVG2jb0;LjEzbFz;{JqAjgv~TAI`B6UroGt{i*BP0v4q$bC+)y3 zkq1=e2xw!M9XEdoE~Qx%wU$3p3M?hE^rWu3Avs;c2y~SXOSIssur{EmQjD2|3T?;v zgP5cgio2n=cpAGHxoF1F4NWE$spexI^z|(LP(f3wGyxSDZRBz{r>cX_qo^^OEDX8% zMj})PBVHB^{}o9-z*E$C3_o=>YRo1ueQ*k?SiVJamMkEK7Yb)Kh`iVAZ^XY7kJK+m z^Z=^h=-wtIiS4j0wimb{}9?=wCG|+fjb!m_*2R+qnDKvV}l20mM;4 zcAqFnH`YZ0%JoUh`+SE;J|W*9&O;ShJ-;X5^BT z3y^i4Sz${R0WD&;_EHqook;es*mGgE(itOIQ2~dBGj$gFCQ%ghNy+|GM@+YAGilE> z=9ZWg!W1|rs67qC8w}h>z?xEwX{VSH060zTu7;AFXC14%$Qi&}12Q3tO@c7~?0Uzo z`2q*817e&3PZx{4zXR?D`6Rv3cel49h)C42aolg0K*D}-;*JO>`BcehA{i92D{wa( zH8mR)2(Nu=>QdHQZ#*T*d7kbhP_ap3fg{A44YXDxM!ycQFsZggQ*hU!O@`%p=!Zm9H1(RFgQp33S5fjm`AV=&&~iF%0@JSxQ}a2rNA+BdNHlNrb^6qj?d9Mq-)Tq7&E-5~wl* z+-N9(m3NW$PpyOZ;fo=0t+5z*3YwK~^wj#O{-KS&yz0l|GaveLFR&%g?>mkYbjW$A zfXj#NqMi12KD-*lZUG=ilvBtI=%T1G%`>{I3lCf7LBBYUqk~8Rco>Khz+B#^RjsRQ z2p--yW$mc3;AWF_E=!iyR-Dje{C|65PD2^$l0J)4fxczXtX*)D>r&L5wAAJs?|Y-q z#BJ*TrR1bXM^iO!V|i_5r2EHVTl$e-P}Aygvcl3BF_7G^a%4L-q(|FIaNw#c9$A8h zVAnbiE5$Lmg`HK$uw2`ml!5)>vrPEiG*@^hn`D0FRl%?^8&uB3fwyznf`rq!+Cc)*u`L;Nt>jU+4;gzZW zq2l2>z3yS46~p*zW|j9}PjNn{4(=t6Q8KK$jrbuMFf~B%bm+l$2X0C7RC<3vbZRDx zkX{Oeca;BL{0+R+=3X=bAS`119b?DlC4T?SYr-KEYWejo2O4 zAh`Sr=NXn~o;sv@AQz8U5Ka?dKe-+=PMq00z zl%n77nsrbR=foiFsPxR=={1~fHh6SA6V5!(ajQVfLE#<(-o)EF&(V#*NK&kUX3%82 ztN+ow+#G-SzE`9I`L#ya$Ia~ZbhD ze;&PQL;c+AKaS@iVFvZi(HTfkaRo?4Wo#nv!LSos3ZEXyg`riQ3-=z&g(X$t3dbA( zBsT?f4Oe7wPK3imkBA2n!`;om&Wp;g;M8tx)i_vWbEV$#u$VH%v2MI6u*_)MKvrxp z$MJxV1Q(SXBp!gkVk8I;>vD%Qk3-;?X&fj-%n}mti5vw*PU4mNpEf$VgCTpal2r3| z9k0;xMWp8=b6K&41JMo(#C?qNNDWHdZ|fDxe@sYs|1Tv?3D%jEE_q`oXJTb zoFPu=lmY20Y2VHvz@Pl&W)BFf&w3xy#GQU{B#t_)n$)XSrm(iN1B=}qML2q&yx5IM zOMwp2TeC+kgnZwNJ(1yV0?!e4;-b^HliyO)L3WUq-MKIFYo@%xv||FlR=$Y6^QwX8EiH1&Z~P$e7Es$c6&a%WT(Mkk3^>!hZ zqbgSN-$M%8G@9J9VXPYtfb1CP4`#WB%ny-XKF`Jm2@ik-RIOInD|^u`Do`vpZH2=> zSg*$Qv5YwT^^#z=uo=}IgeCR~Q;-B+vtr|iz(M?R9WTKm&~|(p7>MgzGH1D18#zgp z9hKah;~J9z4QSe5`Foh|uLn7F0ECRv*p|XBQ}htbwtt9`)#)xgI#aNTH#ECx6eg=q zX!BA?SQ3cbxOdntQzI(r8@5@SLW@lItGTRh=ew6`EF9`#fdkaP?or$ijDp@WW|quO zCj-pJW1Fn*3TY`qkAVn^m`h5Q0S)Q|oY|cvpsomv*eitL~mzVzCKDSzCKX6qu6XogPE!MBxnx( zS-WvKrjCQ{o=cKkfqYJmTar|AS4x|Oe>EM_&MTRyKn^&X1>a`lICQ0}3 zZDgh_K{?db5%rmb*hrL1Qia-j$fqCYH)eOt7BMTsuk#M|#3D4AKl+Qv7dgwMC+Gt~ zR{O@zJYJHz$78FLjPwY;Rwf_Ge1us6GK;`97tb|6D|%aaMFnM(O1<%#{B;iF8P>?ImBwU5?{WKN(&UdCFqqV5?_ zrA1b9zz8l+q(u(ciMRv2Wrg|K)SJSQT0JlOk*2Zf!(A)T>9}JLJXu)*uq@||`YX6l zhX?(bD(%7aIpeQTm9Z$372X!Z`w=Wr0R0CHDLiC-8MtLqA+Bj+_IUwq@W+ohSm<% zzX@1<4fKuxp(^yT?nTpq2<18)$0lEw>e%J)#)0YJZU>eK*Q?;VBB5z?hXBaNlAo2g z(RrGtpORQAmT_2qU%cU=>DL$YIa-Ih%Rr{X52qRu#H8GIrXzWR#$0oyP>xOI=GNw+ z0|nineX$XadnD}Va6|ES!1422yVr(c1W-R(fICm}n?w{7Gg3I{SQKpWjG+>F1c)K% z7!v(WBsCHMLtNavvFV7-L$H0=(y_=8)Rew7;T_ElBdo>Dg#>1pTiFqrKI*&->yi$P zhrp0@my39s*M6AE<#S1psjkq3};znKqGJ6@VKc2`Y1`4aE3AP4tK(v2IBwXN{OTN>9|%ce6On0fmsrc(Y8h>{ z0?v@+Z7K^T$wVe04mb9U%|bRIy@h$V8`b$90A5lel0%lji7#w{A!87AU^Hab-MFE| zhDDy==Ri)PXutxF9C+vL0*Av{7yQ-cNmn%m*=#(}F7WT^F)OW~JA++$6?ZhM1Ja-Z zamgnG6-o*+H=9`g^l15>mPCm<9Xh!qRAY#bX?<2{|uHr$Bd#$pK%7x@gj|xJH@*66To};^d=Rm zN2Sptq~yd*i-;>dUvp6p` z+?eAP21)Nx?BM+ll>D$Z`g;QTXii(f{`d1}!Q7>qFEeQ%WC~5Ubx`Bp!`vYHe-R^q#|9=oqYnKn>tK!7ozzfkI55YXbeCsqIG{uz@IFd<6eph3 z+eDp48ZGQp+7wEvO|5q=9P_Krg6X_Ws?C09!)h!dW+Wxls4VUn4Sl9R=5(~{P)X3F zb!cxfB$1+1voK1~7%Vs1p&eNA2}E9Ew;oAPo6NIze4MWeDd@c)#DTkx`dL+|4tZrQ^zQ$Ps6FUH;RK9c@@A7LrKeUA?RkWcFS5*`K z1L&LrVwQPY4H;vn?ZM4-^4ELIB7$Ff9h-EGws0UyS(PX2$HEQHWA!Y-CH|23{SM~L z%b**c4@osvR7hXv32_k2Fi*G|N=*8~JQpm-{#@A8ge6$hYOJOSRxC;VKJ(+2BDyumDg z9{sE!zeK$Tmc zXJoa`=xkmT;rnj%0>*AuF)W=qD?V?|iZtnmlbI8~1t*?5n zy(F=%_Nr}hRYY8BC4y}fQ+mWSU{3<8HH&Tx2jj9ZV+~vX1*DoOA?6dcdqGik21|#^ zp`&E$qj>uVTUL(RM)dNOf?pTCqnm;?Qw#rdu08AFf43_vM|7Ax8?@2rP`!`uK!E-= z!5^*JV+fkdNcPvxbiz4Sy~Q&kN-M{3gs+8JAfr4VMe4gl;1qj(a?KG{4_td}t4pa~ zobu|+!)Q$pW;r5ay7M6ZET)CjQgl_A4AIR#>zJ9>+{C14;!TY&bcZHYhFN9u14}sG zK9CeD7@B;Ppc{Wq*O+{#<_6hJ_=(@S68=}9;-CX;+mJgYSnZpVaxhbA&9d3QE@2Hd ze8P$3QI7KH{a(KCc&XdBTl)yMb4&R;1>`;5d~=>|zIZS9ygSBNrToO^+T4UU$jdtt5tnqjXA7d=;6qQ2J+2i7p;JzEswkvSIOU_zcmcBQj91=d6C zCzeYrc;bWL<4^Pkyeu!@f{4hnI&K~&86WslAYQB_Yk{s25%IP}`DqB-uuV)I!xscI zK)NZNU}O_T?+19*a?jW!xuQfyWBj@)rgS9@h<5VJ;Ic#K1nc3@c=TR_Y7ULD~ zzRB1+Ug`8g+kZm-DCJ;VbH?(Q)ju*)ax3;dTmX)rJwn(tJk}WSp z9paViL7#fe{%mOr2rjDJ_@M>}0rN~`G7^T(Oc?!kontqhQ%V`c0mj85x(a$cdvU17 zBxP{e^I_Zn&cMiD_4-hxTt`#tbkcIX!X%lCh=m$wH`-yVkS~Ar1vgZuE#*~C|IlAz zFea+Z<9z=hNaj?O)T?dcHR#~Y6| z()_dF^`j4^KqA%R>i8*9(vI1og&&W?L0~mRG&7SK90F=be-0)f8{)$mH`#qXLp72uLQgPR078avvDyJ0!6FO9^7&&cc@~<1 z8_xc)#yi;I0SU-qSP9pxMv~@6wf4@t;Xi<8sQ3u_Z%8U9zIN_D7-oMB2u|M1zqT}z zt=m?xOlDZm#85g9GD=4nzS*XYJe_@TaAa2&u{HbB=6ZZ=hNM@hXzUfODjYC4PUO_q zdJ-pzQG9}6di}MdLHODAnRIC6lpWLYxg>XnXiMgm@kB6vZCe0z4E{t zwGuR0ops;ovwnCHOq74|%)pR4`EH9?OgkMGI#44Lvzf@6f~?@>1*a{hw-($=4`2)V zJ^h(n_;jg)iB7_H4*;V@dH{EHh|}n3YVr^G?R?tISZSjkZC$@F5rOjy_`tErin&Hg zcj7|$34T{8WbXRAaXHwp^;JJTsIhWSv7GnxTI=Uco4jSGs(PZaon>#EQr!%MzXVyF z1dYb!Df(3|Eb+x5@}{uL=FX;)P>66_tV(0YM%LJDMNC_0!*V~Ndt!r#czApZm08tm z2>!+$nArFvMV+hLlc+>fXQWCl&w_Ih1WjT`bZp0jB)}pT8v+oZ7y7drZW5EoR@rEG z(tnU&*WamGJa-P??UH9^*LUrk+*BxgE^YhaBg~Z$c7a|z{5H}$=gp;S7+X$K zM9zU;e0%~dewX#^P56v@Y)r`UzG2tOkB^yNXM3wQHOrdg+|yv>obgeu7wDj&Dkyh) z18rid^U+m@Ri^QI$3aKcw$ zg_nTs4uzM2f}8Bds|z7PR=U$v&T_z2=|aM3lx6d!*xV523kPo^CvIawX&B-rb^ey5 zA%ZOy+!Uk}F;^s{xZD!vR8B-dhri%z5tgegIa+itgiS5yd3ln+Y^YI~&&=Y5Fs(Pm z(99DBZn;K*MMcim{R?inlZ?WQiBxx%29+KZtR@ha?=*A0HxZM!G^aEbT%DFt^3&Nl zlOdJHsu&#kkpTo=OxoIxNsu5*-TfkNVKaLO*35w=3=%<3cAkA9 zETn=wkTs4$)^_!anEzTHJ(M>cEHky7kXtVEri1HqtT2yh*9$xUb!4~@#A;SA626$+ zEaEE8TtLOF>J9D*;LptQs}%fk?RdNKxX@prFWVjdm?G$cPL-c^$l}8ST5`p_*qc0r z1%Wq_ptqfSyohZh*%Z2rQOcSK@ZU>maf|6sj)vY#X>p6L$dd$VaSL|MlLTpTvoQmP z%##FRam%;A^E0h9M>6*#EMNc5nF{6tQ1Mudi%h6UT5Qu$aBWU8rrxi*iFh97@5$K)Dwmb9*3?21P!@N1q~T2E8}D^uSR6>eP+(&m)7Fe zogzON$~E6abIqQUPVW?EOHIZaGX(l26EaeGlm(~j6&C_+SxyFD+Lv@S2=YblOhB04 z7hIhoL-=blAtR+Pm<==?a$P$CsZw*@AuGf9vq;zOf=H>6-G&|<6jYhM! zTvU~AYdBO)KpfQfDAoGvSp5%`C8^;J{FWu?ixVYIEz;(Xnl!7(!q#0;`6WxGEAoxW ze22;`JX$CAd`gI>QHuVYz?0(W`U?G@EF_V(6s|C&Y~2MZ+#Z}v|OwjFP4V-Sh19X zuJ};+EsD`JP9~p5?_|nEdVhO*$$Qgjz7D$yn#Yq*r+GZ(ESe|MPp5gDrGWAboaKm` zPV;!dvuK`3&qbrl;ndS~jWGb!TVa)jq2x=>3u#We&tJZ60jT__al6W)4I2Jszw4=K zMNHAH6T`H2T(4GYR32UNAutPp-=Q3p;IdDuTN?mReQ(ejv@fpILD2DZf!YYD1?PTS zRlII@Xd*EJw!MzuZiQY)na4-oIav?hfRliF7$9TOX!pK%84Rw}6F=m%pn8ApL%xG> z*>2QTwd-AyB;r`OJQ4f&6E>#MeTXP*ePTV{D5viCL^;R)ARLh>i^tZUHf&kg~{}0K|NSy2E~18&E3P+Sar9Ub&9vYt{a4>#eU;Q6rn5Ibfu3~Hcm@wL(mVe zgTcr2Ll?xmQtC&WL(}FDsE5LnLh(oXss2>UmU^(ojUKCa>{bx`=0TKw?V7(qi4%Hm zqE)6*aRdO?Bm$qU_QSHA-TtK3+y3u(?UQye>V=vC3I%RI26lnCzEBVxKwQ5jZr_~i zleq;PKs>*hTos-QLZ)%Rc)OA?_%(_I2gK8E2^M&)9y}Igh;NB-54LnYWBZBgeoO5KNFVZ5+f~ zmV^Ohg3|=QNOz=_RP(Cunbgpj^;SoPfvN-pPq9EBk7n-%Mir;t>-9%rq-afHaDFr^ zd|o%|I(*)&KX0Ct&0~o3!%;~2%srGslAmo_yeRR>otId-^Afl3yu|9Am$-B1CGOr$ zi7>P*_b~IlDf@^bpA_$$%1Y_Zi`-tj^CGM4!M{T+cQ)>v%3b!QzbTcFvSZ)p(_+gI zj0G7odsTtV-#(kf-6tVA-Q^y z1UYsqwGUZzNv`|>Ju(-%uU4rX?ms#Ce(~gGv2YT7tqmFM+d@R|J|@4Aj}E*G6qLWf}UHBVK$9Xh8}nEG=OMa(H&8!%LV3H~mXb4cjfdy#}_hn<)P- zQVgg})YA=m=jtL_$LqJk3!civO2x|Geygv1+MseybUfXzsrChJSZTWzyjX^>Q-&R) zH1vAvbTssa%I}e*((iTrSAGXeY&}9Fsj7E$Rg}6#Gu((Wili%61*T?C1~jErGzC^2 z@0H*7mS6iFYPuJ$3_SWvcwweDRIQ=v2Lrn{7%S#CsTiIYo_GDQeWA{L^iMQbj-|$Y zU^^ht{iqs2v( zs>vnfP%d*DC8Z18I}8USom|H(eMmnMYlav8ptIa>4ZHC;j5q$+lU6U2!$8Tw+|){mSG*?W0$LA?Q5w zs!q;Y!!W83&Xa7LcJk`cu*0qU!yIZe?Te}em(pg%kc6b)rZ8P_vmCd&Beb0^bbB)h zX=p^lM#nF~D`K5F4=H>wx;*s;7*bI}H5x*&G|16%F$l=VHl$rfUTfeAu#>2jSK@91n>gO8 zm7feLsTs}RQ+Ambya+3RE@ulOvZ&+4A4HbSz4M+Ra?M1>v-3)ed4#`6$!jI?NM7xT zm&sem<7M(LB$pjh$i6u=7RzlKi=AkcE@7foI(DL2I(DL6I+h!Tu({r&A41&NQpQ&f z^j$}--mstGeJzjxjeO=@5&eMi3tG;`9NG@MxPY|O7}tF#Mpa?+(YoCVb#3-xG3?wS z=;{gl5jg=rA}7#C_mrw279RTSX%C~vDk?|(Vj`}(Cp8-L^Kho)d;bhJaIS7qa3ZSzs-#_EUBcHItS?nZt% zrV(g6|1Kia&_>(Mg_O*QHp-~9#4%=d(ipB2dNvtt9m`VDRiU*#4>#HBt=1B}D z6A6P!LM#>*lYan%NJK2A4rU99fyLktuvnaX-@TO%Cnxvb&-vc-D+UTg0HBe~Y@&l8 znyF@OlUB;6#csfb=7Bi{V7^(M^5AJ0jX|}#t`l?3wn$c^b~(F5b52MDfgnUM8z=!=??$^|NpFa2^atX literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp0.ddb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..412eb048447a20b728ecaaf5cce50f9ecd606616 GIT binary patch literal 77958 zcmV)LK)JsW000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JLm3jhEB003Vt0RR91 z003(S00000004$C0RR91004La?7ato6h+WBJbN5)l7kWzkh}|Q4?#pkKmCXY+h=L#HYeEzhXWDXsKW?@cKSyKuN^D*0LIR8}Q3&Y1RGiJ&1v zgz~1W#{xyqNex+z^2!pkq_RPsPiRS$v^zYurUvt~Lg|LAS8039sNF27S{Ea8ReDQG zsq(kw=NQ=vQ_4hAoj1>@+EtGyl`?xOVQ$()E!CFXr*VBR^mmv3rD9eJBy`*feOKQs zD-V6ZIKkg-%HzNPeHeH!SkUqGk31%II=|^+JXor?ZoGq}_(4kCXk;_%pw3yJSh;HfTzhh*CU?~!TlPg;irD3C>w-<$V zr{Pe+Dj|_d`Wja2zbN`IZ2cEn^(rQzypV|BQ!Bm??q^4 zq~Z~{5v~UtKYC~X3jhy3IxnyQO?rgwmdr`Z(V4JC&po;U`NC_BgzZ1Cs`80CIvlpY zhL20a75x`I1{Nkg!lE$t-v!UT@X-zU7YHq>CLqCN37iPbDrEp}!Lsdqi!ISnLs-kN_daq6B9FNQ61x5ugt!MhplS2PQ7|n0dna zoTSoQkESgGyijL)1=x4Ysm%Nkc8o-TIh@v*N3*k#S*PR618Z%mOcT`Gz<6p@mFP6cHcul zs&HnTAAg@@dcvFhHKi$UNTK>CtP!hz%;LL0Uoq)O0zQQ;KCwbRUj)$130pUDSsC$f zidQO~>faR4JvE|s4WLFPUCU(NwBb?FMK#uQpR#<{A03f@*~G=2v;3PTp7UmnR9xHL z_3TZoYjdU#KWu ze0GXzd|y}<<-Jlv6-ZRMwo?~9xhR#lB`vN@qts_dtL};y3#IYZTNsv{TB|O)^Ha5fnZs8l@HHWh|^@FwQrhS$+`@=Y( z4FJs}7!a;$DGTQFJ;VsDdEJ1n-rJAfw3r7sO>50`A-VU?qiH>`J&iBrqiMY~KDGDm zqiHR)ezP~lgSBefpmQHlYlo(3d=Jq&wdSvW{GrG0hqpCcj}@zy#g{z1z2^I|Mh*U2ceGI zHrzPn?fZio{hMz8lP}~NPSGGVCw3hPEgVwS`(tREJFf|D(y93Qq|tkJ8ndQW9bIht zu#$Jd3))jT5&Y>eo}hA4ttm+>wl?7V^q2t`6yNMqun7h<0FCce#1^+b}Q3x7)Xs6}h^ ziSedN(4qQE60Mn%ohg{7Ol(uH>_4*o%C>unw))piM!MZah4<#^p@uA z^)3~>(;tnq6_WN=#t0l3+pem2V^R+M9fkvClWNTwLF>upwY)2nYW*vW))$g$eIkO^ zXF0elWNTzhOgj2^z%vhdNB-N{{;uYSBmo=g)Y#VBPmzFfy4u*`lnG| zy2CKck)$Y^wd>IhcsY#DL?qN(Odl4=?`ys^EN4w6m}bwURk)UPw>{v95;yDKrsUr2 zPWW^uUkElhp~OY8evPmXo4Dw~>B+qb_5>a49Ief&-zbK^`M;(0jhg2+$tme}L5<~k z{rJP8^r!Gc5SP2P*EaucRy&s;e{)CF@V)im%@u7>`r4INn`!V>Mh>op{xFhc3HJn-Lx!s&lRo_ej6{6 z&4XUf?8{`hZPykkcMc4JLdK>*C?m!g0@?`B>gOv8g-aB?go@q@P&`4-Rivg?-t&W)W%DsF%tCU zzs}=J7an@uvD#j2H9h3Qr8cDS_&*B2@$Wb=uVoou&hUmf1fUP#fG;T;PliF`gBg{* zzb8fGWEgx)CGD{+VKidnb$(Kf=fY@wEvd%GNn_%sgt=gOHRujMT^y}$F+VDR@5Amm zbO!l!FPTLn_^)t9uZ4GY;fn4H`G|c~m3sq@Y|^Tm6Gio#T?CBy`uM$A{Jdvqbo8OK zG`o@;PZnzVocAV*9fvy0V(^aYcPtRHtPJ?7)(S;_8zolN{#+Kr&q>s!Jz0@;C1~9; z0P3>1pTE|=f&R%0FM4PDq5|>cw*z-jc@I~9}8W9(lV^-LbMq^y4dL!}iJ`LZY|y$+_Mt_a z=8hIQ=XR3CaM>}PI*lyrNqkk`FGkN0pa0cI7Q-}mRcccc(4RpIbb!S5FQT0Z82y$-+AfE*)t@t5?Ea&*EQZ~m+)^9Rvn=Z7 zOd;DgygossTG(9{yGfeV4Y0Bz>u}Jz6w>x)%BkXH9c3Gq3Qrl@hyjn2HprlL*KYRm zj~m_BU!vtU?_%n(lXNa{=br4ay+KO{o>Q_96EB}_DJy=JIt&3_AbE1xVSdZX8X{Dt zKchZ_IYcxc(;M#9a?*d{z5>QtxZ#7 zq=>E3K~{>^s^6E<2RaI?*5cz6KYlCPENLr?VWso8)P@+svJM0-GF9TZtocZhX{gec znzGUx*!e6gi-FzmHQW1Ft^3knF2xq_IKu8CY1Cw27o_f0+jmQ=3=(-dy)P@SOxU3j z8at}qyiiuAa!>!z3~~M@ecJn04)z3KUBA%qAu^a3%8q~0+aFzjfIpD8lkWnJO4SfF zMjx^{9cPahMJ9bAE01m)AA64~|2%oL>^Lfb%2B@Rm7ya3it69D=nTAphMIbazB-IK z+@+hp`G7wDirw4#1`YMdA+Bw_5w!Hux@NJ-V&T$&thn7L@#KQsipg7HD*#nwyD>!^ zAE6kXhQ6yIjvULfDch*H{=gpo1v~rr|Gu%^8%J=X12t8D3tD=&^xoscMV>rr#C0UN z$x%3Pm&eFb700cdC?+3QE)sOOZBUhn4?4g=bBtVSz12IBDyCMFQ&VH)e9-zQ)X$j~ zP8N@+?Iv6NK2?n71VT_}hl^Gf*Pl32v~2R3EC%wYkOWg6f$lQEP}dcAoj1k*>*DGD z8SA!qM^VKX0D(KV<=|ZDw)p8x!$q^(pGb|(sA3x5PK(Q8^t(%vcE9)X533&MU+mfL z9Yh*2K*Lb>^edypy+!S$#kfh(FMTZvHhNEL{Ls;;Jbt-^8b3eQ+24OocmJ@DwtBmfMw(*CM%C&yuEuTkCJ1ZI zmr`RaX`~6uf%`e75n}=!Xm(Z7tWnnjf z&RFTnO%&Z%shNo?pwTB!Bg>*8Mq}0K#GLtt`3oEz<*&BlA8(PJmh8mtA@*zyTG%?% z{rzWxHM2KuK?{oEJ#vqjS-28wyeT81?qD;if;p6qI?_*ig9kLl3R!YSQy{2SeL zxPRNBvHtO;NB9aChzh_BXbN8oQc>3kU9^0$A-+8ysiuLa?%~+GsMm1+UF$o4vI`!c ze}wv(VCbSmsC0sD9$nniX@a-moOlUZLbv5;ZutHrf6Tkn{Fe^h_AVs|lI~(9(5#F9 zvNg5uNHvdzct=g1+Ug{l{kvcFmI!ZzNt*rF!Z0+ihmu= zx@i7sOTCpyGk!lDp?UXa_V7=y-OoR(bxYrhCD0NKChBO-iI&X<_|Go>#((0;4!#I? zkn5s@()ae?oY&XC>eC$Fyj9h#tK1rDz~R_hkbk zANTOzsb9|5VmKZafFFk|5tTYxzpvZFU*kkCSrj*&C2TzkJ4H>cuwPlL^r=)*{o_Sr zM757ppxFJ${aEsjiH9T1HmRQYbMFs_`UkH3&fmSoBwtRVzH)hL;BO3C*s#+8>Y|M@ z5A^LN>f=||iKzdu+Qxq{+#j=ftUv1QiN0dthlH+87e#Fm1{(Hv61PWx@AKU#f8*WX z`&$m0>?=$)fgBO~MHjtw{T=VC#7qp2gni?AP)*5qjrET@H^slD&qUus8Uxs%iZBLr z(dswaL_$zE-(p#z4B zg-br{hQXt2d-)p_it|6w)#Jmj5F!le3`a(aVLdyl*kW(O_$*Phq9cKG1J8H|6pjke zYAIZ_l4IXQG5gJ4Qe$({r~pc`n)JU(tI0DxWibGh(g2)dH2?(kGf$r^793aWKb+7H z;6NVrJN++<)k`~B)Nh!$7z)X7Sr^N(Y`my5%w6iJUQzrh=q`1l>~DmpS~F?e>!d## zQAB78qi~bH$V&feG)Vk3RV^h@k%CxhQ>oL(Zxx~#_cJXe;P(23qNoiC z-JUKw?#o%;UtbIc@pzC3^G3Rhv;F7yEb(_dbjADZJ06PmBf0`zv{3T4z7-z^<#d?H znW9Z_XS(R=79+i@Dk;DF5Cf8BneWB^zkY}Y&`^g2s_4KmqWYb#63>Z6f<%e?!LFeV z2I^~lOM+Ppa%LDu3l`mpi^i)e`roOf5&qNycG{wfotR` zyN6&u7NqSGwI1 zKsy?i*0@Qn|8M@;Z7aQX5bY_Gf_aMxaFuQ$Bdne|_M7=%m<(F-JQ>c%jBEql-NI3kT ze^{h6`2p-LMwozALCb1#;OinyshIxm{_9}bdvAB~&E$Z<9__o|qI%0Z>6W8UPSN0b zQEbysX^Nrf>cdKFu>`uMc(o_)iolNxWk=SHz8{N6{Im!y>}hx5vCx{ZbEiw<{nVi6}pCY zJfIRTG}+V@qT;lkVp_4S-k}TP$=yl!C3UOBY4xO!mWj2idWc;MS9lxKo}{wSD5>mR z^8QV6dY-a#ZP8#XBE+sqTm93xi{g_;(`9q8Uxq(jH8qDQDqB5l`wsEtd(&hw?1N%@ z)2%+@?hN`iT@WLVDQ%ELydTnljce_Y&wcohIKK5qsSU*x)T#r?spw)cDK3iD^IdJK zn6$^#mf@*u;?0zEr8c-EHT9@sw4T<1!Nl@+MV;b`1_&(mQ?y`VXpV*qdgH_8qeR&( z^~H-Pa`e}2kM=7@C!5VJ#3*$Af zo_7>!rQLRCpMre5d6!L%7YB%{1zr_ptM>Jslaoo3#hUJpDr3JDJ1W)}Uh6a87J@}B z3lu6Um8iV;lVxWa_=~i4)~E53@p@my80UZPvFv7Xsu(aQ)`5x5L&S%n_cLad$PXl z|J;kkxi>?bqJ)OtN;iw4JHm`DNM;CRvzysU+XIRn%$dy|{`p;5DIhmP5@XSFxkFCd zS1Mi>!!-~Up(=kz%M4ucL8Vy=WwFn!zA1}g5U9ugscxj`MlUl4p2%#!Jo~yV79oI8 ze$u^-@9XGI;_TUBVrNuYUrA#6h2R}xx+2_e_c~JFe{$NpS}8k!Bc{XE>OFF@P-AEo zdjL4srsuTtox3mXd_b}IS998f%d27!z_8`SC+x08ZY07`fkRh*G=@Lc%w{Lwa61u( z3Tk7i*5vzacDwiQ{2L5Qrr0Dpis7P7s&c+(91PWTPnRV0wYcKfve?(J-jbSE5r)|G z(NlqR@M??NEcO6!#!tv%-)pI~J)qc<=d##GmMP{u0K<=NXSSn$ye4hK6qQEt4&1Zy zxK~B9J(J1q+2!(sz=188N}oB|U5w9AUVQ55COz4y;9c@$>T19)7nGJyWwYP;T8)!7 z?c!rG;6D#7f2a#JvCLu*Xl<5lS?!mjl(q*HThl75y{?Ap;|GA!t=1FvfjWv<4*+Gy z>`Zo>R}^-SIO_gB{Hs_S{k7=0;0a$bV$4|rN3Xs1C~zDc4cEEgBzDxTM{%7HPkK16 zGk>o3qHRW>^xgTgDKER7G`ojW)O5&uPOAcv08Uw_=yO?@3EyqyyA!S*bXljU>AMkE z>H_Y+PEpeUL^nwf+1TM%uZ!KM)MVWK#yxp8?ak2YGjyd2k~fD&*>_W~OW1p zYAd)qD9SZi>J(FWDsN$gqcJ`12$5&LF(6#)LMu<)0D%FK`0r@-b>h&_fnwDs^?iM5 zZANj06EY==H48)!6ti}`CNj2Z@0%BXZHDkYaSadpe*Eii#Ib3$rQvludvF(p^`>h( zeRA-BfBsT2b$cIiWA7F3+j)XP?B-2P&|yx|sqNy#j$E$^+q2L6Gc5}fD3o8EqVwJ! zC@OqkOPoCG@u?d>5*JQ#uT`t}hKt`PyeZ}#dEAFt@Bv}WfEB}NU+#60w$M2*VyMKr zL-XpG&haAOhl?c&pU}b_8uheB1U7F9eYNpMF>vm1k>>3aUb>(ffKGimkUY7*d~OfA zAm$$bL28Vr?Hu?Gy+QP(8xj`%;crp7&`enj1Ofc|pb3jY0ZF(+!}f^DPpV?n3c2y3 zE-#|D6Kq8cpw(fmUwepOHtzPmPxfI)McWsegrE|fSo6h?;_lZ$F`(1eMLN9bpxczA0l`tlZ~QB$hqPEk%WgD7@>3W{;Ce~v3sbN_Q_w6cPmg9?kohfnvitM zu4u7pmssP=Q8aa{r~stDz4Kc>{7Z+vyD)C_MX_(%775ahDD;lUYMyXz&`qlC9VDjY z>L*(Ldck|SNK^o^sCK9{Txn77G%01L0V30lo&uZb6R>rWgdDO-=X2f@6Kei0E1#1` z-GI?8c^26unlqw8n+I#M#)~`m|8zPML7YB}j-0qRg?-_^nwV;a?*9x1u`eKdnrx7j zHf))~KDP6r^H*7K3cJ}FHGhF%=DubgceWm}J-K~rr7DJYnh|YiBMbGXdp5bHsFPkRM1bo*8-R33RG$GM)Fqc|0Kdh!+Km9E1o&&|C0d1wRY8|ca!%MU& z+o;AOakhr?G_5Hx0FPzW(jX)b5>e%Ebxj7LQPRUH zdbZ>u(Yul|Ac9s%*BG+jpLX6ea$j%5#e1=+zH-pH2Rk}6km`2XPZxSru`t^72r;!i zpxBykE&G?smn0r#2y}2KZ3<9Ke%9JHo`@Gw1=Y3-7VlZqFhnV7M!YE8 zUv0ZYi0~ls=H{TN_k${iNr!GEqY6=Vf;-j5ZK5U3inG$T2UL1t@O|;-UuR^o2$iCP zv`@vqb-wydT)6tRsFyN}uO(5PRvtw4Br*58mGR=cm4~I~0mM_lqGvs7ua3DpoCu1M z`;I)!&J|rOyHxFC53&;gm>su@BEA!3+qK&m50*vq6J6$#P@6Q6Cu9L9fq6KM)~zO-!|_j=3U+H9VJ8A zdyb2xRRy+9QSr;UpAtXk$t*pACvSW#Mn|{gPsk~?GS-}G0c;kdda00Zq&qXI4f9GSSxI{yXRMLF-RJ zi-voG5HNa1oOrfmE$KC8%1&0*yQ%D!(Z#Qdl!sM-zVZ6KSPY7Sp+y}zXk2fF#LICv z#o`LTNo^RFSfc2w3rF0m6l?yxB?^7DMi#?@Nxc&TMJ=nSd(C4;gWF=nMO6&USFeUp z#X`)-mt8CFh+U!mWFSOW)2LeTRo)_Q{{CQN)j=Zvh#{i<9|50lkS9Qchc4=?9U_W$ z%o{hFj2I-UUG5{kY}?AWkF+XkL#I9*2bjD6DKTb3YP)Buu|*!EW}_%fa1WO zm)hQVF~;7|L+uO>r(){jm1;X(deY0Mi#t-<+ght)kTU~d@(-Xo3|;zhN;~&sYD@P4 z;q;bFDeWFvR57wXQaJt6=PB&B?x?8y0RiwpJB3}S(?f&vIoFfh+1`F=aNez58hd;f zwQxYNaLx#)={`}K;blv&2UFXZnm%-WQKMuU`%o%X>;Zs0ejv5oJ*_JC06@NdA+=rN z%0ruQdR%Jzr&|wQUz9nK+V1e#L*YHr;4wSZEf)~AzEDJ>-Chkys@pO4iX9IHWbd39 zdr4>|^#DKyG>EZhEq`dAKDR2RJ#gGZ0V(!nO1s@t4+X^EBc(lg=tCD=z1tn}-%a(b zoP1}Hvp7l&xprImfy3_4W3n7Q;@@6U6+@qz1DQVSz@N=t2sNRl3sZ|^iX z;_p}Gq1sNJxhj60yibn$7s!b~R);}KyVRCv%5{c5){*pi;F4P98dmV3&@|RpA@fj ziIF5%&#y(Vs)Gi?nNXja#ngC8&{<#zP9Q`$mSMH%zw29(W|7BN@2Dq`k_J?4C`kGl zzj$-Ese05|EHcLo5QjSC@WJJ%MM0=``H!RZWWyMH{CnB$?;CtjnX#4Jz%=VbGhrQ3dgFB@XYyJ-ff6d2k5zEGG6xN{p-q9~dSw{&cx=X+~{zGb0 zJ+nm=>$yp)P1qo<*+b|)a;SQ&^JZ~kpR%$e^+tqy5cj0NBg(E({-f35d$Gx=lNyI^ zpb6djfa<$;wd^jJ)hZf88NXxE2Xz>RGEVE*x$vmF;+5LT>=Sjm`zlfh2-m7Zz=P^m zEVH=3&;>h=u<8R=;_d7!34zo{jw_M%n_Zp@ApsIr22FmtqN3t5Go|^hmoM0 z#Ue~4kTHuXznGxwDe6d~4Zp)p#1Q?fV^iA$h-4OfMB5%fr2l|6#Bzz6pu>1mTZATn z``Q=x88~0`?Yl^%+>y_B@SrDr) zDVJ^^DZaY7M$EhmbUnJ|oq}GvqN#pUTGHKuxzqQec!htLC}?k1})iqBIA zWjKwVGMD6`Yf^~#bx+~n20fOG)bIW#-YDnyJx0F(r5_ACyNk4P!M)-d&sAwZ5M!Sn zColV=sR?_EP9*IuEi$-7ULOWYgrK0&;432U4*ay)vfidE#Z)q=_snTD=>3bBn9HJe zN>vQOzxowAge;40Sf?w%vZosK|67z9t55(~=H9At!}^UcR*ATPx}QruAVF<$KpRD$ zR8ned++wTnf2lSm@WT#u&z{VpTfA`#pZr|@pUq-rN<|N>V$6#j#_rd|Cn%O{uZm&! z%e=U#cE9L{n)1Luv~UVurGJ66{;me9`VL6fCbI%D7+k~XPhF$>^{-|k_ot5wJo@}3 zJ&j5Ju>E5ShOKQ#-hIL+M*m)1vV;ODb*!?ifVGqo&&B>;akq`i&U!T%D>u?AK(HDm zL9HAQOaF_M%f}ti7FGbOwSd*pS48X%l#KY9r%PLNPo~zj#ir7op1)iTi z@O-GL>!JKre0i_q9)Hd+63rR8*?C}5q$Zcmeng+zm?>iSs@ZQ3bFk3gbL zTSZS>|C3CUKV@j>A?Zd)mc;r*gNr4kY4EY~rs8y@){=>5h*!Mxw#v?~m5-%t&o`!W zJe&QvZug6%CP-Hw1D@7rg~+=5d8yoqrdL5ZZf^~~v(zt&=2O{FE@457<>+!O$79Zq z=^le}dU^#ltmw{{MThHErE)A+8eJGsz|`rf#QlZ}^E`yPyzlZT^d3T@*@7q0vO}^a- zKfv&ee7uNgeN*|=O_xKSsUWnppg#vxyd(fVRrXk87`+WO>@1jH)X(RWJ=T)CL=yQr ztQw3&cgaqAZ-CP!aj-^I9z6#%>8-~{wpkWf0P7suwm^RKYHr6gJrPJUnjv1uf zvl%r^y!nD?UiVp<9i`pgRANhzCJWc?;rVcVwcBe5z-lA0Pj2+j96Vh<^5tVah-BV06bA-j?9B zTP|3rT|rOh=|1sgO*Mz6(+$JuCpSWe<~jWNFx{|P)F&Q#6G*J%&+$l^7TTZBgCuSL z1U$Lcq!gvDm6fKY*ZzD31TRzK1SWXhf@9h@Fq$HxfOW2e5c!rrC#B($<<@s=NPmJP zHQilw&7+jZkmc&W4&W&dZ8=`h;LJqCwSe_5lG0~l#PGR$M!zk&mO#>iSACz&T9(raE z=1|!s9nq%7WSZwxlk8&NOhsf29XWrWrB8C#Iykjhb}^}m%64K!X;ylqy1(%TjI-Ku zIld2)3QzA!K5;Ua3N2($z$$vKkmaF?G?peRugJAg4gYF1I%FVRgY*Xb!9MfA!`QK( zYJEm}Jf8z|^T317>DOhJRf+zBp8|99aHQXd;1FPL9*%ThR2vtu?k^zSF=E}VP;J|Y zbyIKmG}0TSk=r0M(9&DE&1A2S$``55aa3r9VU6G?@iV zOf3iV{;0c8#C8utwKPFTkZy}=+eEDUbE?+!O+@K_RBf{hIENfc**G0!ulci_MK#uw(hQU7I`C`O|O@&ooN9>Y%Bp7U; zxJwXH$%c{s(4l<`US6?SN18J4OVm)K)*kvF9n5cwz~Ed7DV5KzVxclw)r zORV)NC}U6M{=!n4pF&hCduQBOV8 zP5VJ~XHKYYJjO1W9^dz<0#8>`9(-@*Y_T=!y8QY25N#UqC>UIU8U~bz6Jsu2lG`?O4G;~>D``Se zW!FKO3P_^w^%o~;n;4%_9RFI_owJr8IdrtYXt6kvGy(|Pa;D?*`hH?op1-AZ2Rb9= zf1wNy1^-GHis8VEJY*Q#9f;s{xlTZa_k6U`9r^^|{t9JoMfXnPpUVK{g9QL_X?yzMKs-1$QTZgB`u#qaeC;j4GHmnF)=E(!`COPi-(-z1r zpB8Y2mILyj+}PmrFzx!pDdO>or{s@2dQjc&HWXa~4|q=g(^t$IkcbZJQ7teJ{`6;@ zI94bT9pvhLD^+1t{H3qB(=ibpIpMkcZ9<;Y4zqfRtCh<4yy%ldWzW%L3@eM^ z?h`->_F-urT$f6~WM@_`heX`a6hJn2dDvDV+~ONq|K)m9K*9xZYI z3G>7Rm~S3n|$rSJ`2tk8SQR{)mq#9hluuTxhJk z1I_p9_#J@FMq(a#sgVSgBJ7|=7lPdBy$8MXNazrnwsB*v1(faSlYfgp@4V^qDW)Zc zo4V3cnP81;{l!mBw#eUx7dc~Q|ASs#@bMIp`RU~n)K&C*8u?4E3+NKq8SK(xq(4J` zA+vvc$qLB01-tZW+fj23?^r6KO(VUyuZ1kX9=zaxFWCb5)YLNCwAaxv8JNUP87Ve& z-7QTkq)nTLdgUh8fbo;X#;Pj1cT9T%Jj#H^XDl_+zh-DLY1%Yx+Fi7XR8e#iy6}g0 zGOZgX8j1lbN+?r=a&$jHJE)3=yZV z{*n+isYE8(I(+B<@GW)CVvaT~JE~oXv7k-EJETf!)O5wPDqOtXKOpxk`Bd0y4r-|O zZy2!UqoJba>1`4<$25AzW^6DcYDoR^B++C>q7HSH&%X7o|HS92LuY8y+-z+cUhFgR zl!gT)rRR|cJo##@_1E4tRl;)Tv=&3Pvtht5^gHcbpDjrUi!NnZ8;14ppK7hPf=21O z>p(A!Mz_cCs-hos-4t90gV>X?R^Hv3eI@7ZLMZ5Ri2?hV#0qOxHX7G=F zMH$Y?;6UR4-Ot&@@m5btP=C_FKSC;89uGRPBHr5dzIOLg5J|BAn|J+h)mko}yNg&+ z`qa~qmK(a)(k&E~7ao_&r4SnR9uj=>gw2-a){=_g(^nUWDnpdC+)})7%?rfQ zrYhUH7K@Ru-sUi>;Q}$>nEI(9T&@f!;Ws>2D6vMSpCK~zaes*jjn+uY3ChNQZcP{a zem^QLuR{wD=`=IJwrC`}-FU3;5j}{WM^~>yQvLc&G2xfX@zr&LL{$m~)uzge5H;{I`k znyeRD1<)pZ3x>^>ucQuS(kK&;tMr*`7ve$BMK=RW>@*SpZnS!?f3$jS(f& zt88?r+zC!bGrOk@47hc2nkcyo^qEC@%YYzJ+q_Tkx*B2D(FKlclKl zyd{eMwNgGox}&yyGw_tz2fEA^wVphgaD=*bJ|z1>gSq1HP4z$nr$b%o?9a><6Mt9P zG45&oDMQ^kqUYulvTg?!ok@$_ua=9(jVHlJ{(s1i|G3$fl^4HJ!S#zRwXbZY9kbgq|gd@~7ZSv5fV)E{< zBUx_878Mz8sqBF6ip=Qhg-FZ;?*Wt@dJ%nD!|YJJCa|IIP**1F*5u-P*RAqF-Jg@? z?kZN6X_$JRC|E#YuBt%qdSyEIn}@kH#GQ=h~(lCLb%VhahcO1T4CgL$_;uJ5qd9W~Y1%(sXLK^h{F$*cBu( zd0!HX&y0`MW81b?5`AA)*p6pJk0>8ZU&ShHPE@3L;rEM*7RkZH~Yjj(2( zuKOtnmZKzShBbS2-KbR^VZ)l2&}6y{5J(W#w9<9|4CS+s=yoFrE?91O0DxRuR_#ZQ5Kk zIo>o4ung0%)P-_sfeFh~XF^8Jfb`oa6BZU(N{XA&-a=is%$^1n8Wz0ia*3|HI@(kP z$)ry>=#I#QRGg;kE`e$(wn<1uXBbq1 zG~F^02p?hp0_h)-n3xy47m9MFY0;=#N4a>h=V^*^U4KrCz1ZNBIfeLshPq<`6BWT_ z9)({M;^$vfHbM(U60?N!IXhj1lEYt~X-<|qLlCb3eS(~ZdyFE>gDJn<>TgANEZ`YJ zUG<$gvdm)$UTQvWi?zE4OV{&p#n3d@1XI{MWQjv2+zydNE3XA{S&_aS0*~&GCFQc+ zt&sgDk^!R|iDuub5G*VUF|nzyVuby zm}oZ8mn?BZ!@6@JP?y0fX`jBK0dEa(Ov6oL>bSfqv+zH!?gGoHO)G}p*a#JxEqI&h zK{8E-b1wk%7&Pq3zuywAR=p!lbHWS$Os3Cg>Fl1=P693`cl`*NiLGSThEBgipIWV_w$)T6VBjqfIt(piY-i6Sn|7QBA- zhol<|pH;i>j}<@5ml1T*JHdU?rdGhFPOkZ4EcSu%@PbTKSn@>J)uaTYw zbD-`gk@PM;LUjLVyL{eB;{?MW!q(-RPl_?4)D25N(=bScxgG|1ckSz!Lc>4`%4BuV z?Bcc0PRUm_#2t0dhdvFwTU7YEsrxijSGXUm9-o5Dd~iLDOZNA-Oxs5GH=uOo;RG!+ z+reCxksV}aCp1ic`yZLThZcmvu$C9W7V>V;!OVWDswW^HTe2G~$s*`)-6eP>M#0f8 zq@hn!&JPo9#%z@jNSva3jJDweRyx!$cZ^?@ZK8VYPWe!e(cP`FctW}5X*O-3|5_E* zV+p%NVs2)K>5~72*?*!#!?rsY;QojPc>+)`_p?-oo!|=TbwN@yD8#Ui z>PjwdhD z1=_R^%I6QyAlkRCAs-Felwgs8$w(kVzq5!9RxDmcG%cdmg7R7fq(6Xk2P7lcR~8$x zs%&R2k>x(5a-K%NR2DIrRrUgX|M@piUI@zd42*Ys;|5e0HPm9gyCaiGRw$iBts(s! zLAHA@jF#ED-FV%1CEe}|aMm<6&_%~N>-{h78zbK$Q(d?F1+XO(>dtX5j~Kt_Gx>uz z%)m07`w?xLkEG`E!s1p*6*D<^_11#rbWO#*2^8-QcOs9~j%iW-3yH;F*$Ml*JgSwJ zo7vIwOoAlWq(XE9s*)~8RD`p$euHv>VQLj43sAlt!&Jk9SaHI|P=C8JI#$HX zYcK=LcDvc}$%~7qrs{eqhCxbXl|Z${6W5J*k;}Tf5ajr6OVGKs4zDV|iF?0sya~Ww zfqm~TNhf})u}{7YC-rOQ6e|mkJTO;ue&v>YP;9{k@)U8%@eVy}<`<0`eI_3T)mDcV z^qiv*sKZuH5UW!jlTV1it72rswxKV7L6YI=;$qgeA+k%HhS@N!Bh{1uCcF||HcXnZ z2{mY@;*II}fmkA@-e_x!JyXj$%A+A!5`fKcI3bnMT0*0?8J$%Zu;?Dc%hN~d9;3Z3 z+QvmvCEsTMpty1JjXw>kVY2S*usjAy#SCf1)zDocV5;jKWR2Q#yk;HupC__>q_e&sG(s>%1o09R`*00~j-P5PN%` zQ^&iBN%X56JV|-1zB{Hc1ZBVMn~+az=wC!WplyO4z<;7ko!+EFuKd4*o2btM;kG*U+!HrjlQ!+pA5Pyk0 zKgi#Y^`f5`$l@mnPtRp^iO$A*n_kzuu|PXW_m~8%5t)7$`w9-1kA*Hl_ee@u$RKPZ1&;OxCqbY)E!HX7SDI!VXs*tVUH(XnmY zwrx8*JMP%FI_cQ<$@{(E80Y$2od4$kF4owiYSw%v)?8I<7v>^mb<4?n1I4<_IedM< z{@u#n1WDxQsJM)1c#4#PwedBPZ|-2<{3hnh2n5e1u=YSJmjm!=K!jEq3L>hxY>QR;%>5}Lml)R#MoKM^nWLI6LnPc(Oqnnt4 zfwGRKY(=Y6#H+vZL9&`7GYaAJSG;VF>~wcBb^-T5WnGB{sl{6tK@WZ!87maxDZ;U~ ziD_gMLKo27CrQbYE~ z(4mt9DfinSNh|K1O&$EuLd8Ji!E$r2cMdNK!ouT2cGw@suw_L>=j+-JaJ)+Pa+~C< zafk}0$k~k=J3=Dw_au&L(ZnwyIQm1h#CAzP?daN9ZT@benjI-K6BW$p>yKT7*8i5g zA$a|%x={|MG+cKMYOt7~`Ra=m5Ja`0uC? zho}P<+|1)^KG;Bx_(3{JlS8hXDsMa?Ik_+>6xonsrRU3FU{&K;gR^bWT^Y;IZuFR? z9`Yu_ahFn)mJAjxor~f|#%=h7(a9ygP!_h^(5Xk#s>FxKE%ry_gWF}E1hvXb*<+8O zLa-Z;Qwzc0vXT=;XS<4FbBz>Boxy{=H%E-er0J-^fXuqKo}t3!clYAgUpYRIfoLIg zmwt>V%`xci<@;5T!q2}+=zWGmlRuvxi3{`aXK#zvunWs*35vh*koq8uK6R)K-pFE7 zSmF>wAhBqZCgg*dCGpILM?;78gaJ4VJq(3Zdz13USi!=M+nO4dk|~Fy@HEix)0c$X zyzF`o1hkfDy{fY=m^s!z_s92|o-2p5NpbjjWWzG&Vh?spK8Z(&0vV128JO44yU>Gd zSK?Yc^JON^w7o?5{`SwYm#kB$z=uL5WD&lKWA5ht6hit2!SkgF&oO~T#_G-T73}ZH zGp2W&uc_omk)%)m#~)2ONbg_!tPw9wOnK&P-%VX{@+?gS2AdU*p{HQC^{saMEMKN; z=AMh2uIilT-g{ROqQ;@VqsO5IA<9CRg3tHu8TJnNhk+u4BNa?4_39-63rC^#q;90= zcEINYj(eSVq!KBmj4_x9(~)HVB@jTKVXo3L>+FxyQFr<3zXk4si4Ux^nw# zB@Ys3^C(A&&E}NcAZT}sjncDu)&Yy$oBW1s zn&i1<=t`V2h|w)DS(>N6a&iiHB14qnxP}_OYwNxCN{;Kvtd$6#Wca6G3d*0phoyUZ zmuhQRj9?b^>~ze%iVoODL9j^>_T*>g#Xq$Xqf~6^(JUo#uj=C##J{i@VAkG;aqj0( z|I;J;;yA?LCSKL?VvCj92D}Mf|w?*?Q`hoM4`!`2<*TF$(R) zT}_!q$!8R@QkL}vtd8Z=x}Xldhlmo#C(6|#{jntZ$?R8ybCN@oyJ!+_Erg6_C9_`p zFedDBCXgI`(wtA;du*C?Zu0uF(kns38)Nw)I!Aq|$9$9aY(#b^czjx3`aSUk@e@U< z%rw&MsMUd8Y@4&=x1MAUDP=?AVO&; zz4?l&k}JIUc%tmwyDHF#1v3ewCdzV4XivXEVkM3d1xGz`MY}xu+XMFeCyE_?4u!pV zYt#p#)?cqlJ(y8@3(kIJ~vhxZTdH{q^g0hO? z#xL?iEIZ6xL??*Q`sNwo@iu@zu=HoLZgz3D<-XETQC4c=pYgcP zH%5LNw+WloMk541N9u+pcXFQPOU%($29)(#G=dOCIWuWqxhl+N7Bi{uCFG%V6F3+z z7pq;a;&ESZDb0$prAQ+;z-Ac&3w{mBx?uD_ij%12aa`>(8|@q#@ky}Ke(GByE>eDx zft-!=+uKaPKvJ&vzbTnu=5UFbnG0&Edy&J&X5Yz4zhl|KjC>n3qi`WOuk?4dm?hAn z;h-b`JYPDHe21+_lDylM4mF$!2%{5mNtbDY_(q+2opeKSmGPf8(FVxMrzMct*Q?53zk;Q zmu|;{tQy0kXJw~rP+5W70Q;Mkho1R$fzKaz3;dBhXUXPm^6+_m38l$~dKbPJ%Nxcta!M!E*${D2b)YS`FDCOwtmPin$ zp(!u;U}*!=Cc%QC^~!3bf>WeB&OrmuCMfNg>L+jzwV^4}$N|oVB~%FTnuz_q%_x%S>L+|KqAH0CcCrB=ker5ZLjv(HB-N^xk}-(1F5qAsq?O2-wl(Vp zc1kzKLYahtOKsY&k;=!1FtP!TaQQ+;Khx8A^Cn`OvP$jDWb9&^FxDYz_MTY3d1Vv2 zl*P!=D?uC4vxN-WMKs;|u1}>V;ZBX!n=(c~Nw~!|nU&d?4T~TnWvkAsZJO;PJX&;Oz>BF*FM; zs1(!C_ZFAZHvc$vm{|Zv!gnX;;WdH&e7;_fzW z-FLP>m$@=pu2}V*Et}sR3Vh(F43(7~Zd+~fJe75#BWu|(&2!J$U)PP(5(>p1w0J5H zVgA2#V>?{eWCnEdFA|dP3H^CL-wC|-kiW_X`P|-Ve^;X9sB;W|inL8Oc*zm}1v@ZJ z0dNoN)f=W;b!9D@70G`OT&@}NVD~4JA@_*{0+~m3grl{2kbt>3r*LiX|-G%4m!>WhI|E|kfqYW zx5bMz>jw_T;^s?1GVbm)0wi-C?*!|InoE_n@MZGVZvO>Q@|O`oVvy8DY-PlRJI3uX z-wr*IHkBbk1H?pwKMLnGt9XE)a-@n#qdf2WO-|~x2c|yY0fv%#2;SDqz?a;tMLe)P z65KY%uK`CRI*SbhtSW(SBzxqe-;Hwo&@Hpi{($*|wrA-(Ze z6712+j!XUPV7#wuRbgHyZ+3uXDcNXyPaU6w6>S<2!yS?@E#UC&soV9XObY6&QYc7jI%B)VSL~;~GO8wep$|HvB3XySF}`r`kNOOSR8^YvH^!4|6^T zmqQIg52G%^XqTZqO0+U-wY>;8y(a=sK2WOKs<1@&t%FqefM-Sx{X7>-fAL|Onuf4i z)f1&=6RFt%8A*$QCLc}^PANq#-$pdobVfE4TSS0H?fH?BcBbHl<$8)IW|A!ABd^-IFvlOV`4NbM^_rT(tg4~+LYL)@_%mPRN-HDs!E78(`VWO7At_0` zbL~?j(6?9tiH{mnj*<@(G=iVt&*#ugq8Vd1^J;fTOI%bagVoOveA(74g5y822L~WV zXo+OQ-&Ze}Psw7^aJr%`@knqVm*8FFyq|ShbwUodd}nh3mGM!bSuWHvkbt>JFb2Fo zGy3C-%XZ{ zM((g8RqPn-x|`!uKMJKdS-%h8efjvMSIn5-IY!j6N`;X}yYjm$(Hz~ZJ7H(|O7PoB z+S#x+e)DXKwtAG-!8tD*Tqt;@UTNA{OcwBh$*rVWcy(aN;wAk+T?{Z4E6i@5bdYNiM~^Zhxc|wtp6>agJ@3V!wXR z3^5j(q6Hs-nC$NB%?9Lac`&YBxJ987kz$Gn(nYQaG!I}m#?SD=2EGv#BjpQ}U2J}P zP{=&Lui=w>)wg~=wR`=ini-fRn5N75rm+9-VMQ( zU|Q1H*P;8th(p~(QXHFIeicRp|2radJ2FeFVB!^CSwL2YF4xa7S&)-*y#$ipYONl2 zFRXjh1c2ty@VVx&*TAkjVDpu%MqrNN+EoJIDUS7%Un>jrYFQ7fUct@6Kn6L&vwVug zl_pUA@Gt0VMmp35xf;kb+N4`7#2MH&yS>Di(jE2#3E#2=#97Z6KHP17%SsSJt_6Pm z=~mTcXPvRR4Qjp%kA%qUAZ>psurFVSJv%IImO21}5Fh3hHtKhQ>)H?>wYmV3TNiP>J; z%95sETpnwnCw>}BSXZhXxCLv7PyS|1zS3l~&|f>3tKg`f@R&9nTuN8mz4PtkFA<3s zAb-gwmMm&6MQjN~dNLwnxk-tALskUnlfBMKwB`)a*9erDZ?EtxH@LTZa5)_hJuD>u zHer-eAsQ(6h8yZ3<`MF4?aAuhAS+^o5b4V^d@IztTqpdCce@OWa2v?<@hBw_4$k=C zv|bWZPn7K9)`$G9RZpNHt9cw!z(yIO8smGYo-#SvEq1j{BO#;r zScn@W7KcqS>6Fk-e|mS9Vfk0ZEirai)?$oFKH()63H8@$YgSbLB7uI~^qp1t0&z7xE zMN24cwUh)Qy6{t2-Qz)<)wi+2IU!aWjXh&vF^6BTaYDX?Gn!Gq6r%QB`w)kNgS=^A z-auIIK=)1jCPl#o`i==hI99uh=Fj<@#UC_?xsN+wLS7_G1gahA7R=&ZhR?~e^)%a* zug|pksfbLAkLWt=O4Q2zKF?Gu+*@d+$BvwT@i%lDT|e>2MT(3W=B6MEmgXqeKUI2T zZgyf7wah)r|EzAT-%o}+&|;)NhJV=`$56Ol$ofsJqNa_dF6fx^warnn)IEfSElNfr zx+#p5?s1y`2`GC_{IEbrBxiP@$$;=92TTz^Z%oD8rov2@g`@)M*P`F^>R!0bUB^sM z$=p7*9^H1+?}oE}0$T#$qbs%uCA27hqE?O~!|N{5L!cfIO^!fW-K>Lzi{=yCj7upJ zJT&EXKKG%$eQTF#;U~rB+)L6F9QdUl1FiV-&c|z>$`c6uA?1N#ZUo=?WRw>m3UxX9 z^Y_m>D-5jOl3!u8i{`Rq2qoAhib1XhJD~EDRs@52SU)}v=)e)6#vp=b4Omy~+6fFa zj*WztaXjRicMMn&n9}jT<3vLh_05-$gSw_LVDZLeYBJ$PLrJ7SL9eLc8Q2q;1ooLT z;Ss2H{)e^CAvprFsL$M9)xzFiw*(YwSm|E?rILRE2qZH82k<{1VEr!+82;yjuMXw^ zNF4n3!-{DctrnE^o#WhS1WS!6-b})Od*aDhf)gb!td0xn)3m}*RO6tGJmCO@o7?o$ zz|fTpvK#^yQcWOKs7gk@u}fE1zITkM z^ucX}s0x`ge4UnqGi!am=D6yDKBTka!|0A=)Wv$dU0&mu(Kma1*R(K1I^xD9Mu4i~ zbI^eB)uD1UXOS1Ogh1P@6&Q_9W-2NOE5;-3X!Z*>_o<7KlsCJCrk0YZRK0O*iYRtF@}bC7gqM4ko8hC^0+El}rLadn3F9%o_N_EhS|4xZF$5Bra8wJSn0QN77kgMUySE9ICzHRQF>I*g zMs8sljnSaS-Hs*whhLn!=8B0r`HU~l@&}p6-mslm7KM$NCCzt0Ba5?(SmS^4SDHgB z{d4NgM-Y#1lXUSv>6b0_6H_#o@C6@ui+$@>w|Xo$(>{>zo;qPkQU{_Z#q3}m99nUN zLn0(nT~Io=qRK>x>1#u{4{(n>k);ylsgYP7L{8^uf<$xWFQbN=q0?iU?1VD@4kdDk zU?G)MlQ54pj;UT86K9f6ZTmrV&pIG01LJjidSsnB=T*GhAAPGuyFux)#ee3u&tm`X zw$L85V+@{oLLVXwO|CuV(p{#V!4tuRj{9$d%$`9jRit6_ms=gNq$D|AQX2VFYV{t} zMidOhZqS+*V9;Q)&bz2_zhWkH2ujmbf3(*!LzK*7T9jN`P{Y`bVy|UTJGO*-N(GEFLI6K)>cQW&rJG|gBaw!{PIXa;K`1aR>wN!j zRO}dMh+Z11f*N8CkG18R>c0blDqG%%d81O_oo+~Y^-(rQFWsCj`JC3?4kt23i*wYE zeIGJXc(hOUsm;Mcse9JgQeBTE~sIHz*nqSG#}?DCcvtk*#AKP>b9(T&U zMAQY=8@u}@`*;lfzz%6?kqC)OP+ds=49wuGH?Anw!_mQbmUnnMYS}%ClZVANKAgQR zKb;$L;0(;U6nuhu>_wak3v=M_!bg*duh}V}?Lms;efn$fFcpR@yu-6*0eT5(Zwuin z6N5|0&~#*>WSWIMMTl5I*1)r~rnI{oMu5*whFtU@+_1VbKyIvJjiC5yO?!#4f4k?sKLdwPO7tq*M!}E0$?7w^4Smx zlqH>|%x1RZB}))3nJXS%i{rvQl@x+vQN8x(bH(m&;w^#>)RyI46ix&l*FX4!!+J-G0 z#B+ZPB+(9B@B$stI#3IQj;;JV2>_<>-h}+FaUtRyl7x|jMa(H1;hqPuIEh7Lz^-k! zf=qX#c5;bJlpjT}1#h97#1%U%(VO8AQ=(iFj6=yVTYq-k%pA!yjZ%mQ_%gRJzhxI) zpTfVCFuBYve^`08FNYe>b;#}a@2xl)`1KKqzn|)&A$EJ7uU&1`$-@@m1i@?1Q051e z^ypbvSq;onW)oaUD&fmbttBz|V0rJ_^uKHQMK!z8jY1EDi)?8nEhIiQA^JEg7>sCt zTZ9EW{HjE&AZ21)!&b)mCXY9#LEtbiCWtamZB1x|(F;uSj<#|C8Rb+pD(vh+cA zD4QkV`Z(9B?rGkTT{9Js3ur02X&TVK$dH|ZxZthAv0K(^@7=zKFfSL~5n4yr>sU;p zrnGDWiyN_!i!K#Wxr76@UEU~mQzwyO7%OW|x8L}_slZsWmMH7ju#$C|KAQDYP_6m| zqWIwBw2ZoZK)Kt?GjzQs_+J&w5^BzaHbxv>DL`=W)l0_a-3R{(Bg{m&)GIcrP zO?MX-vgEr=T>cp#eMEq}G&%g4ql}(b1z$G#X4g)|lZSO(S6rqOU5Xb$Xa{=}R@BPp zSjCIb!bdDeUtG@ksKGb8pp(3U2g#DQzs8MV+IhIfjon(V3tivM>KPYMQ)KOquASCD zVbams*(bZKqa9=rip1k+orAz~xWCp(U|KuU;zBjyJlevBX38+$vIuV4`FE`o&9ru` z#RX=@0Cxjm#8G&Q zX?SQ82diIP3$M!SX-t;>}!|bAnzO6dsIoq+FiX2+P7p)!%mxVl%KcwqG;9mSOuIcWX!8*&o)0xQ1KpJ zK~@rXv-9clqL-Bzo2W8o+FSZu)81Of07q|_6cIFl+{R6lP6&<{0S$Q+T0Clj^I=&}CSs=1s;ZP3kQ_nt$y4QkiG5S1!GCN)IGVni)3|4;442rZC;f4@$$JdRHM&FVC(glYge!l zQ*NU0#!bqwUOUTlu?%`jHtU8uJ)rI7R3l4WpWoATEqPXHU8Yz)hOf}Vr3KQgIHnPf z)i!!FN`|cl$(oQz|wttJUuLQaG=IFkhIU&8V|^jgkj zdR)4`7`TM8RrZyq`%OEbfA}knt)n~mKH?5MZT6GkV8ueW;uDm2g@vE(lgg)0|8)Cl zOwcwPTQmOKj9>pr{_gJazWBnVoBy^8iv`DXFJu+`yJ?7Aby_#p3-ITCpN7-G-x{7Q zHR60#*e;cTqvcg-_Np!RtrJm;XDswg^)USme0F*TYprvjwC##26njl<_f=bc8K^{s z8OR{v%Jsu!DzhT%{Cp%^4R?m zBQa7K&|ST~@6dI2+B_p@eH+_%_G8Gtf0^ZlG1}rpwHH3`4i`wNQOel-)7?v(jRY^P z;fF=4^GZz23gTSJ0`k?>m93dZcl&4H*x$3ET6=yxZ+#*rQtz8sLqmAiKej7BQ3|0q zdA@fY7H27Qq>8OihmEm)8aN)L#Bjjd8F7>j*eNrU2pJ2+#yl&nACS6S?3tw=YG!(}1E zA|)fJ@#y(d6$|J!e}&fCg6*s{Et$}hU^7duU`3!%RrNrmY4LfzBTcxj1+oyk@{Sb? zpXRyNh{@%N)^B>LvQ=^Ba9+B5U~T2#{zO>lf9e9h9!S&V05q+qXHcvZ1a9SGDRAn8 zDkjzcfu&rsDXfrWB@wqJa@aoDjpg$ULB0+~p9jyzn;(^`mCvRj13kOL)n%+k@LQO$ zDI{QnRGtM}31Vc>x4FKGcJ{0n=n7LMiToC#5MQom)O)jNyNGMpOqB+67N&n$+w6T` z_@}Jw(01n3d4aa)6rk+#E_YI>#F)7gi06&0pV?-v_x{$PKalYhWP|ZOqjV7J*X8W; z%Hs?7SU75v+>jPXELZ=>Q|@o?hXCth(n`+!{_|B7qj>Q0^-(=|zbRWUk3(@Kf7dz3 zZee|p4VtxBir2-T&U(|FiVFPMK>i%vIZMEU%WmgDH=F{+P&h|m9HNA0?w@NbwP3_a zx;fax?hPu`KQLd5fw~NptX{icf3|LzEDy@f(c8K94^t}Zh}F{A$JVa!)mx|{y(3%& zwz`yECK=62V}8I2=2Mlgdz74bd}iXZNjsVsx3q7Z80T@F=vHv%Zdz8#v)~ z`8*y}-3q*WMT+kW{W^`WO09diV5NWKV3T&43ZhHe;vpk=jY5QabwAmvY%KboW93Dm zGH+~t33MDYuACgdcuJ;b6w%$-&CPk#ijWQ$<9ZOEn`B_EOe`)>A?b!DSd z_RZ>{KyX~NFON;~Ld@E!ADjlCg>r6Kl-vuox93E$J;*>OYo;ZFYnR-P_%q)5?3QhE zP`gsPM#Zy!Pod*z+OkG0CGvbxR{7hNT58?LYxV~95?y3wPnV9%Yv!>>%#Z8xgGzlt<^G3b0a-ezFGi1tG9X`v*74Hevw#5peVs<8*odtl_9s3Vzy zwp&w;8c99oIV{@)&_2AjHE~hwp zXp8zeI#JX9%kKKwknL3#QY2J4EFc>3b>?{IS0)~;1Rx99qwHoaTyCIgH3pzq!o41Y zs&fD=U}_vz(+i;tXcM#~PL86H087A`RvxOaDL*P5G2mtK^S3#N=xH0MT@4AMRd~cz z_Q*SR5y(CjZS2DukAYwQ+{{EJ1PL&QAX+}mk^ao$KzwbgsR&1;8z8@mHPSXNxY9f0 zw6^TfO9-2l50=v!WC3EYEJfKH6H4n#t!xaovIpYgwawQ{2|MFU&Q{24$vBvKB8!~3 zp5Y9nvh1yD?2DtX>?H}Qm_MZa72&>J(MR@qzNz2FdN1q}Vp>_R+hl}i%yBegjm{iu zkty1yo#}UH4T$(~p+rvdq?aLN+hia`zV~+id!0KOrY?WLvZM4Ih?C9uvRif)Gkt*+ zXHJL=8bJQ6*QEY(J&6KyGV`Gu} zS*XB!9a(>JLw5R#0n@rYoZT-a%u45j0_s~xm^SQYXLmuNb8vGA;^uxF9D-VsAk=bR zoY>c)f{W-Jp~d`F@kgcKDu*ba#wnNC?Q>DoQ~{D8xSplzts7Tb$&h*$d6tOf5qaA_ z%^>FV|HTNKnh4N-7WcSnSBQ$DZIkcmnv2tz2<^QrQoKZV_59&|*}uCxOQ1yAI{>eA zsZPsYLA3myhB0uB!U=VM!R?oH^8MXU3Oi>A>`vks{mjQa=_I_Or+8TcQNl()uwTv3 zT3PpRq`t^W*lKK<>TOn*ss!PO)zhAp!<{cU@_HW@j~_&hrNp}3ihk(knp|jD8?aNx zohc59wts1CCC70de0Su+87NnJDkK@RZ7AJ7)~68iS%Bigw9n!U@I?BoU$C4qQZT;T){7_Yc_EF|)VMZFhCqm00eRzWs z6+6^Ubt-yim&@RpghbMOWe-l*01PPIFI01df$|PPXSLf2HwJIU9so?0pt?WQ&hr@$ z;OlX*bmbg7>Xm$fMfbeo*<3KPa)L>R^b z9_=*m^jRazmn;42pj{dv$}gvsOO^P=i=vBJ^E;H5@+~r(HfOxdpH3=!5}HGjk_@+n2FKXV!#S1yuGXG2<0cPZ(xy8IvZ3sXXW+scZ6vlP++1vDT6 zm_fk~6N|gO@^%fYs8hzO z)jnv$Ia>tGC+E(C3hm{lhn9)HPF&zQ)6+XU22snW*e=WR87h&qS}fGIQaQaYw2ZFZ zQQhu)4N+X}TXb=!U?rm~`sb_m%3g7V#YN1L0RT}TaYxa zz8!`}j7<6cB;BcBL!FC+H=KbY=HwnLJ1UYh%68yBGcs6$+C{K_b^4u#a@x<*gtB&d z$^4+i;PebyV#dGSiWN;vC1HK{k#7a~vD19z!kv(y6TJ*dH0NpW$JNiD+TLJVrDXVc z?KAiAf;}Nh z`wi>j5Ri=z^~Jq-qc^jn6yV#kIjK+d6K>Vo9S9;QP`pRpY3J^Fn;k#<#ct$9fj*}c zyU5x^X|5|Vpo8>MUWtiixG~ruFI(k5$OIx2%37egt$Qzy-Q;V%y`PU$f;hRVL6EZy zHTc{t-Ipae#8Fco#zy2GuC$ML$IvB0PD;By!p&5bUL;AeH^ts*8(XMO zT!?uwT75-7~VcK$hRJ`f08pX704k>jzG}{omjD{S0=|!|MM6T8)_!`eP z!IMzrSZ{xZ>;`PKH!{^dKqlw}0mVw)#WXxoEbDY`YVAvHWd~}{=dc=~K}Wwxe=_wY zdX9*#J5sJ;sOjPHY~}8jdLyZQ;PKQhr|8s`qO3r%^u225>K{Ko|4spNA?f?i%Ai_Y z#<;T(0B>q62pD7rNx0>DTQ3Z?olVM?X4x>UPX&ooY6BOnX2vvl82fTyG|()oOCZeV z7pDk`aB=kd%u1F+1cR?hvGedRo;EXf*)}3R;N9Cmy1*Yh1#~)BS9eLz-fqn+9~*e? zRn_ua0gnxUGf8ZVcRKxp+>(F+1~p98`xkOuFCj-ajDrwn6o_ zTo2UflFhjfWr_x1+rs9jXLrS&AK!BL#k>`LmAK zaRbPQZBwlZVcX7R3Vtq2NW@|Ab{u?f$n+W9!ztBQr&RE`5_>*wc(rckj+QGKVOh=2 z{e`;ywCX)S&U#~hz!2T_U8JFZ4;F!b!-&=6;79fQ!OIEFle!jGT$nqDFqMZ;F%<^H zP?yh6KBlPwIMM+>>W_UH?tVO2xovLQO*Gk8L(iWt((?^QQ zx-t9O`6}t|^&tztXn*SL5gkfa?Gv z`a`?WMAP$;ZBO4U5xOtQJcDJ6o3G2@&i|@LkLA@aW}w%1Ur7Ca^Kc2lodB9YDnB5t zQQKqu(U`;jFWD1RO?3~PHvi|$H+riGG#k5wDo-iNSuhRvmEh%pS)DsR2*q)Ob)auK z!OH9T*p$_<2|X#w{r!c8V!iH%&Pv(uiH{du8ec?ZVk5Va5vdfwcCNIFOM`=w@?-KJ zztSVi!BsWM6rF>b%NksiYB5EEXwITnM|03K63pDEtz?X6jPek6A7*Q=qvtDDaen%j zVprvo%V8B-^YvuB_r1bw@!hZM86GPK*+DS-T3n%*2j$9f*3RztNSV2h`wjf= zu$=(*R=qsIipA_`U6)G7#d8HF!rs)7nB#_`&K)fvA<|Jv-pfJB=#)0#pcggzhqTR)2p5Bv%4=R$e@QweQS301+_A_bYRER&Pg1qh7Z# z2)H}~YbstmBE&p`Gn{`2Zxf^upxcCKR7YW7IJ-$AXyDyE8!~Xve z%#frIo-X1Ag#`ZPPQoz)Un0R`@N=yw z{zoaU73Q6PU^D(JX9oE{++pNU-foilSEGHQ{Y77#qkXZU{}84L{*@Ex`!6^7e@qHd zK>8YD5#vI?Y(@Bo;QRN#a_+t~{o?+wN#2h21^*gfZ`}J2f#tt)@`?ZD{@0}4q#(qQ z{}>-c2>uTtkLzDK|8w0}-2BgV|BZ(KMcqFg!~drT){lgXPWFgiG*7>INsJ$aCx3c+ zFm8M0>XY)+Pc+(XChna0&ZB4f`}CWn_j-1NvW&NW;|(S8AFuOiY<;lpPn*uC%qLql zE(a-Zg$uxm$dle}{<-B<&7;o=yEil+<0|I%d)`GGN;k6BucCviciY!Gtlr0tuLKl9 zmukZ<0Gw@0>XNI?-6P^}d{@w)#6aWgBd_OY0h_qbLuV}q4{!a}3!;RLzik3UJD)y} zUAUvVk2{U1esu~T0EVNJ)6C^-pRMN9Q2w4-uk*JYvQIazo&zH){hLSjsGZl@<$>@z zD^AN6Nxh8EOZn}+fum1;@$Oefdbgp^^KGCdOm`aLyYryH)2IxY4<+Bmxz~)}#}kn9 zYST7`pLP%bK66vtW~0ZXKRDG&IFAAi?h}SRzp?a=6e!F*SYI&lfr$4xY}b-N+AJUO z#D*V*;>@AWq#ae2NX|Sh7Innuw7jPZ{;E^`XG7xNMrrsN=5S*K7Gt;)pY7=r`dgWG z)JPj7Sy{pf4!AP8Bi`sY&*7$Ir(C&27`$IBCk1yAZPcp#V#dxS!d5QQh(0!5&D7cQ zCi6w8;3h(<58t>!Y~&*5R1Gnt4*Dw-idwDs^7=;-Q*+w8smZQCRElQ5)35J4syy(d zyc5L;Dy@>-P;k~nHAn#S?e1|?HcYka$Bp9znPkz@3ve$H5CCs@gI zXVFHROJ#IEcxqFMca+oJ9O!G~=1fY~X7rDwjZL;iQ5Vs)=?NRM%8nCl4JG4NGYfw= z=@Y)h@j6Z1=!7dWRW2p+8cX((ba`UJlbUaQeE7kwLEeFhDZbonJ^UK8(MJAA-{!N? z3OUaF9!a7%GqQ7bQR@@=~na3vn*?iWul14F_MuBMxVezwWRQ$pz z@s&4|qS#~^IW*CHYSEK4Bm>-rnSoDZh`0o1Xo;;7TS!n_XUQsm_L=YaP0u(qAsJFc zK7tc7MELXo!<3MU#mA&(GkW8j=uc6@?};N7X&TIq>F950lk#&va?!j+Ot#G@H0K&K zk)!43eIreoEi%@i{0e0^J0tohLO%vw%00Fo#LmI_8L}G8?vPd3EVU>66-`g-pE|@Z z5N{4*%+d5Ifkcui%Jw)Tkk#|KEv+^~U5Ra6t@${qK$ z#oNt3qK?F3O>{5DCn&r#OCvRM$t{?sdQurD-c-fO!kSB{S+CEeVSjHFU&S4h8Cicf z&Ip~O&a#u^_r*3__2`}}@x3@#EIgb$sKylmmLEg~M8Qa~K2Ag#A;=J%pa@Qj zCJ|*1M}u3Z;jWP?UjE9MY;vU%ghH_Q?vTl9&2e3=@{!1$B3`_msg*$ETUA8W1}{GL z7l$05L7D@ctMxFw)Yzo@wDlBq138&n*K71B%T-%S6t0lh&V|4Bpv3Vu-p=ZJ5v`ZG zPM>RiM3H;lb9TmYVI8Zi*O*mF(4X)l~!KM2^m0($6xD5G9_V!6vNZ`g6>eTlLe( zyofeibOCENZmZo7oZN+{v$sd|R?BNmrw)7*(`+2ox>c@WwW4okC0ei3_5(5fF_nvc zOG~X31xS3cYmKf0W1(53Id#e^xUPr439=@g>xyUrrw;x{&jvl$h`n88X zAz}gZg}-;bfz^Jrf(0viWy(UE}d!YuSTU`NX5L<7Krr| z#)Y`W-NpB*`d_ljZg@Xib*9Tw51*4A`98l|2v9BGK91eK)j0C`0ePqOX_jvfzYMBy z*Kjr&67`GRP@;q7VAnU+X9d{+Ci$-^Tzj&AuD^~+M*j@&%m z+(WZ3C**(G^kpgKSEK7MP1<;;y}oJ_4m`GiI@8GX)3@?YdmTO&a12LB(v+t^u&H+T zbH-J*gDviPzZYJ==RR4qR{U~<^Eso%Q$*9H17&lrn280nt+uwhEno1x%;>^9vxuZ^ z@o9hm`cxdV=LDO=6QQnBeI~`v=}qLF-yziAxbXTtpyWs;KLQ{i3ZoF03W?8BeKJJD zjzVFNaaqB{y_-^O@ANe_Vbi2x3sQNKiwaB@EXBdz&1F#J`aBrpFKz-v<@6m%5N(?Uxs7uXi%I$8$B>J`@#*7{GR?q`4TvQVpyx_sup~dT z&A=^gd%Q@L(nq9ZZpE#B@?Y{@%4w-ntu?k|{)$D)F{M!K-&MASpDHGM9OCk=h*}2u z3&bkM$B!iJnWz+UMD{hKP!xvmWEmFtFg8u^1(rmTvdua2p*6sTG zaPDf?;q$ubTDQsGNpJ1u8;a0C-e$Fy>Eu)kj7_&%GaXq3acZhLplrT?8luYCg=T-n z8r*Y>Zb&{~$vgH~U?>&lQqzi%K6(J2x8>bWdeIS6YX^N$v|&s;#^f{z7WNgg2ExG4 zcewY8FDP2Ay?EW9IXG{p`dHi(2^cYYxmcHV!m1!CJeBn1QJqmT^k3-wGq`fc=b2LB@BXID>E9O5aDDk$-=BZTR z^2p$#1`l!PHK<2AA7L5uMPYP|4TC7W7hP|&RSW_10x#II9SFH^wbxFRS9MGm@u_-& z(>lbQ2||UeEa&YS&RGBm!6@Lb)K0Ru01PyUgWCs)&cQ7B>1iV#yCQA8iliSFc&C%NQqFtMRZA+l3w!I0CZp3 zUtKM~R^%UAAT2>1w&JIi;8|sX0kD71kI*;CFIU!G#oD>=dK|HVHH;8Tc)Fg?X&6jOYZrd>iK9=sQ8Hi6S|tQ_Dh4p;g-aF z(qn7&xp{8y@&QvOze|DBsQ!L`%Zj%# zM_C^dm4dUrWN(4DZt(kyNixH1+85y|vSc!L|8>>WjjPsu|Mj@~@{1*<8dlJBtv{4^ z9&2acW@^2^Rv8GhCfmJ*!0toVWo?faOOpKZ@EyfFd;S&x8%DnT?T7pAdsaet&nclX zUYW+r2Va)bS}2#>FF~H?E^(5luD`ch+0Ao|dDAL%h5Gz?+WxFtNAvEPJGs+`5P{Q& zUo}39Z+Fid0fUE5@bN?A{V`>*7th}EBv0NKw;hWblL~p$gp%I;Z6Fq1bQ}M_F{b29 zJ5b{=G>-y1i5SXa7y#-}WhQk@o-I@-iRNeG5{T55tIu3=1^c`de0Rn|#gC&tr* zF%w10)WHZwj`WW|bzp!Y{z-z7_Og=rGM_YqGoB$XE&RyVJ@zU^p|!)98cY_7^nROm}xHc zek^rlG@ij_H>{&7&fYzyRE*a8VI*}FxpLm)h1QvP@{iI|Ctw9V+nYDg^joa}2mx4$sr0qliAO3MGPr(MJ1H0D?9UaiZ4Bdq{$0d z=uskNc8@o7hQDCX&HjZQ`-rJSvx5oy4=lldV8ayo;3*0im`R$>{5lNN@hxqU6q|KlZItX)@`iaxZT9W&8h7_11Ase((SIO9T;+E=dJcKuWqsrxO@#grrK1Mw(4Ry1_u2k)u1M zL>dtoF-Dj41_+EvDDd0+`}ynl&$h>XpPh5XxzD+-=XKrJfoA@nR;A;^>m2FnymP~? zKT6EDs0xTSCqE{X@)xY`-Ws|W$oIHlmE+Zx6gZCMJmE19{Mpfi49kChpXgR1zycIx zT9>ap?r;C;zNkW^3JrYBU6kkee{;_T%oKT%@PBhp|9>iwZYO-bGUmBQvCTjv34&cuZ;}N z!>LNFJUKv&Ib&!J(iI8X`KCn`h$r#+T``=ERv!Lu((wfo&13P;w(f6L=G|t9ANwR< z^W6N^;%u(HX7GAS$DG%3QW%5M;^9_o*tmu8lOf?DH6i!9#I_nx)Nyv3AeNb-_QL zAT=DK9j}6&L?q*q+0Jj~$K;PjPK%+nB@ zc^4dNo#fqV{rHdnUw8IC^GIgH@Y?6GlfRv^9eCu}y`mw37W2{jPY~XAeVHmX1uIx4 zQ)|z2Og8Nf*-TXMf2wVBP#VC*{Z0oOKt}9uI4uCHRY6ZBAx`Q!p5Mc~%Es}~a5k2b zR8t`1fJaX1o+wDV#vnY7p_6;gsY0@dy;G?ZFrzdA34RHy=twVRXMH1W5E<*Z)C!on zA+~j#e0-|`0;^d3QVJhBG5&3cz%Kdxb()nQpXIIb3RZhg-vEPE$O?f7ms{mFwSXC1 zT4}o$tintfM4;R%-*$a?eGbq()wZfP0()AO0cNPuO4<2KfF#Avu!;*(KMMNr>AlCd z!av_UF28OD%)Ck~y-YYU4z)pG1-Hr(#t3Y{2;|BiR%9VOFZ!=kjIktd?c{t{)rO8i+$WbaF9yEUvLfcP&O;uRuw#1c|) zB6Xg`<^&?cHbjO+Kz>9O)xJbvnTZS&yG$oCqfTVF4lpw|0$C=GKD{g0=urKNsHoNx z;~NWv3jp8rsH&St_YP63U_9Ze+)blw}U&Jowh&K$0 zf4#bMVX^cs&GN`$)Y^(9#|L841**@$4^^)7Q2&r=b-4~+LKiCS{$Q+LPZDrac%?*k zdM_i0LXxeZ0~|Z?ph@ld2W}5ddxf8l!}@g3Y&?5fVK!=oD;^S_ETdzG%Cc~;5?q0j+-z((L;K3B z;_#m{>6Tb(qX!4PLD(cA(engSjXv_MZe6nAri8ib);!sur)R7bcZm1z2YIl5cuQH^ z`dvq{#Pmm>^6|TI+OJqGGbXg63BJwx^_EY^`_11|xjTRboKn!3NX8b=NhzV)`0e*A zL3yvJOBx5|gykzpr-ie>jf1*xTHTHe(}{Z*ndDBOL$9oRS3-DZG|9dXrl~P?Et${F zaC*c+v#i3*c18He^+loc)p5-G{s*CVb+1JkSsont*j)=dxlgOC0%Ro!xn%?%wAn zW99v(p{=Ey;h>t@By3@Qd+}*pXiGg6yR5tYHcyL-=G%sOvLs)9s;5aC^Ki>sQEei$ zwcg9uc_tK^+y05q<_OwS3$-h;HLX{OzZ%x0(L*$tM_p>y7pHflU85+6AX!WvBGCs4uOzNaG3kwy>F{-JQ^M z_$YN%XA-P3bTnx$mqTV-+v)<&lw!TgJy3ty(Lb}~gzrwEUfmw}8{>(GQB}6lcZ9NX z`0)Af+%f&eJ@9vJ6{)nXo&AnuU}MB?tNvuCXn!Az(s7uGy=Jm}ch#}U&~fchz4xx_ z{mG4~)rE}M%64eF@-EJ(b8JWZCWGVPIG|o!cA;-EqxCYzGsV1RC`X0dZ6#H}pDUB= zUgkT={qRxFanTG8nd_0=g+9$Y)*TNcYOD?KSz8h_F(+d~pvi~(b7QX^SeaaD7eBPV zDWbm;W$BRFA6V#1%aE1q%Xug5Y5V1P#A8+E{((Q$xF1K$v7XXCr6cd8s6ZMUusjAC*t^+ay!_q8KUEv^LlGEVL z7m3tU6$nkd9JSI`{S^hev^&ErF5)NpkJ6Y#z6p^fBPxUf@p-o*z<8_!SPY)UuzXAV%< z%ERZ|5&(@|u|QSntmWZ*=mv4xzqeO}ZNnC%OY%wK*i+`F)@pJWHl3$%hJcc;hq)eu z8h}MP9Pzl??ln?SKBn-MId=tI8(2OgoIk7E{~B-Np%mtgt_lC`_C(`m#A6${tL zJhWGe6j@2+zD~cC6vvD*RfqZuY^Q#%ZZoLWiFbxRO$}iP8Ye;tsOVK(#8l$Bc<70o0e^hYsReLHZWg=+HOAY`KPXUAiR`99 zS;a^dUqL<98M?$$M}aF3(4#P>9m-R!J~aG}y99uVhn7T(EM)(I>n5V{@{nJE)EKic@U&8WvHsbrNce^PJu5skH zcI4Dm!WaX?LjzIS_03gIT!^sZ`=V=_wcr~aU_*1@P#hGQ>?L3U^0_pl>#FO=6cI$# zeUczz)p-KX5Zs%ZwHOuoNlW{n(l&vCJ9KtZQ-_18(c_O1PFVxb4ACMIWiHl_^Ptcx z`_d9WxZ$n5v-%2hVe z?b;{OPGuCDmrGELL}Za*V(ylkN>QT5O%=1k=FTSqd5Z1zXIa4qk*PTa%?#ieDnaK; zQ(dpzBWvF!BbP@>pt8NHVj8tKoobC>l}Qzj2gpCnc0IQZ9tq5t9xaIm#$$h2s0>bi zZoSk@R&)odXIeNd_ZCR$rGRfNq$?_ab>aEDP16 zgIjd+u)`TqTJyg!?{yA9wlH50v+Y|OBv%-zC}MD@^{MrZEyIC>@cg^m_dxk+4|CiF zt5tjrzev7Brj^9cgMqmRP5Izl)*mNM%LJWScrGNt7SrnQQb3r2+C$O6gFv9aw!SM( zDGC7veeBCCrXQ63dX7AW&Juqh2b*bfk77gY{(!4YS6 zy4?$koasNdW3clkKBZ#70v$){t#h$pj*-6bq3S3wKSg<~Ev?aiN*4GL83>7=vXS}3 zTzkaLAQ;GFn&}kyLB^2ZFlqaCCQk{eOVtPO>dN8vX>AoDDFdjW4hViF{7|{^|=L_{U+q~ z((k#7Y3pC!N0}H{|0JV8>mksZ@=u^77&O#KMMphuIaI_A*>x+Q_!q8W6fbTsSPkMu z2rGNoKb_&)KfZ$tt3#q0jA@h-qrv2LcZ0Jn5|e*I5Iy1KIFW}EpnUFt;-(e(G$4#S z9%=acsBOC7KCKY~=r_1Z9KwvEyox|5qXqH5o5kw4?UsO2PI$>G{2s?B^uRAXQ$IH6s_j{TD6? zk_?bUmGdE{YU|L{ku!b?roTl@j&fDnkdSLz=Q5 zVKxA^qC$!$A~P7uYLd4Vf)gfkLdR*oy_V!IWZ71kB^jdW%!Dhc1L{UG)8BHyYj4s@ z)qxF6<1xa_WzWaG!oa@I)_2>?45O*WyvOh+IzU`NX-C#aEqB`6Gphv?Z5x^9f)+ch zfDXk})!;+Jrf<*23!Rs}q|@B3T?0hYYr?#WQ+sh`?&YdU-pTJ%FSXIZuB9ws+N9EC zN8~k+z>Y%J-kjBu+tcl)!+Fl?R=?!r+a@fad=HyAKnPTWV2;h!hGWWZapVBcJ<((R}1p1b@PyqcK^wt)Kf-HT`B~Nd9qk z{=f8sSY#?ZGtg}em@6PqI>F;_X!sBB z_ri0M${yHI#wT7G+a6>3z$Tmb5|5@6nQr=83#@?Pi5_^9^6C$YuwJA8WVWBra%Ox= zlhnff(lYh>Oy4S)_{#_KjR|&Wq`p5ZE=rzwjSl4?M6jdnkV7Jh$yP=LuN6@CD zQXjsHzWNb*16K*DEW9Icrz{t3crPGKVfja;Gp#P{B{?MZm+KuvTdBMy-K-1BFk^g0 zeT-XIfij-(U-`DfwyNX{L208z{eaj+-{2gsc_`9_E8ZyFd;7U%wBV;wgKk|-%couO z*~rvieiVP&3}}&gEynq#mJeUts(s-FML&gVv7aI89`S~aFUz^oScI-w@iUfnXrbNN z#Qu4S>;jr2GBC?rW91HMg_UPqLB>s(-0Hs9*-v^)nZyce7pk@$|Ejo zGw)1=R2C0oZHsIdYBor`V`+x%noCdR4MqqDZqk!GO3Yil9(00`NKdyN8sp5yeNmqt z{B9}-%Zb(z1ZKef{}wgZ$Iz#N0AaJf^6!F(=CPn>%4O{x0{CT`Leg#(dqQe&8HAc)#rbb0+Wd5Ua-R%j>ORb~8?!o7 zb>BE5mgdnYz=3n%&PpTo%w?wa#uXQNO*P{jE^0dq{UiUSZ!DsH8w05$2@_x1d0nd+ z62w7p<#fU5TQ?aJl@|c~L?Angb1u(z=%I$@Bdb~6p@**WS$o$I{%M!17Dkn@h4;41 z(z-YTdo!zNi3pAG1k1ZPuOlyhJ6G#Xxve`DWHNvF4NaZ;`7B~cu6>`bfj(}ec0{VY zGAzFT0EWide9z0JI)@OOdau)(cEcnOW@_H6oP?0i+h`?22B|_<=*~GI-}7Y1js(4P zyl-7Z%&ngnuvJO2Oa$@$`2Z_p?GMfrluCb_?BS$8xbD0gWW&9D%9KSu)WvY%vcS~c zK~GPXEl42d9Mxl+vq>Jx`Vn zOM$stRh|OPQ4{!`OZ?YiYyjj{i)#Ua6pCOgW6d?iw0{}K;^phrK=QFW6icfd@JGq> z4_k~uxkoz<=bTdKdQbK=o4>2L<&$FT&z%wkeM55~5M&*@XVDwPyZ_?Q?eCUfFX&{J zek-!u?QsNfYE=5Ph#)tmxn<>j_7$$mBYg5{B!MEd38Oo&_yiZ*G4B*wTnaBb#~`J( z#VvJ$z^kE;VC+kmTw&C|aOmxwtXoKJDujD~PWKxj<^%;v@^%IyCOo^h#Th!xMxLj- ztZr9hE!LRz2I5jEH0>6@N#R{19G^I#*nh{mR414mt|~R@9aW}fNv}d}K90Xb#TiaV^-t-a3(nMCpt6%yjH%w>EG2TQ1u@^&Xv?02j7wASdrzT%{nsO@ z@Kjo{Z(4h9VR^EsutVhK1o{dHJsr&!_YevE!;h?Yz8@XJh*bTU1LTy66qbl;)0!pR>%Kf%ducb78%x@T0 zG!HPLSa~Da>~#OcPdf@3<37fAvY9^}pg@&VTLPcIKc$cz5e@$OY;3!r(v@`UhSTy? zkH2sZY*EWEmBIusk-sk69Dn%zjiJ_Kx3Pi6<+Lfd!#b*29p75G{2+$!*zw=RB!~Z4tciO~RwE_;gu2SBg@R-SQ`+s;klDW+Eq!0(?q>+t)8vwSg{a zvxR&NFDG>UG#Ll83x0VE z$DnQcN7Vxn>Of3oEZXqR3zwFcw)a@+?|bn4>sc1>%(MvN5A?Ss8kvCGq+5CFKo<|b z;zK6zR2qN}1KKV4>6|ME&@i-NW|~h&Is*So-X0@ECLHbf_e`4~4aZt&A5ExhIo{zY zW6ZTvYWGn)a?^o-pgmT5x0QQikx^!jNKQ_rKnQ~-ee8*wQ~N-s3SmcUC=DZ->$joW zrgO^zI;u=`yyhTSoJ-EiHxNwn3)lx1(x>e(x6!5glF5a?vrW5=o(WRu4@ZybW0 zi_+Rvlj%HyWNr$c^!3%-E*G@7!9Vm~O8Mcuh45p{>w?Mc`gTjV7v;&s(l%^40S$Ba zw%*1=kLpyycp+?3P28-n?CvN-5^|3}%cNBpDLIj)$oBa#kA=F$$0OR(k^h2uc@|mt za%7OMpUB8)IYxj7`b;bLqM6lKN+#li`3SkRTC4M47MB0$2+ju_vIuRyi~Y7?1NszD z`h(R#A8*Rzk;2DFkgabQ)A(p8tUl_KD#z$z%|M4=V>e5a%KA-zWgFV#6R{?5c*J?Q z32S#%K2%(SIJF4B9rVgc3QAJ=w4C4y1c`gYk>Jk5p{zX{GcSh?m+56iVewX4a&S#frYswas*oz>OeUrzWw@gQ0FV9O@caOO2abz`zBpV#fVVeE( z#F55*gNFxIqEMGGKix$Z>jY8kiat6RY*pJtwl%kGWB)#;f37Rz>-Of;5r!kEY1UTN z>#q@=m>^=+;LI##jqV2N;Gu#H$+acU5|L#8z+FKf-Ur;m5{n|d4-WO6<}iC!|A{5}OhrS0n(+a1YW-$z9rCjltY(=w1f#$kCjU8StVO@6jiF8 zl6bV?{3Pc~?`P}A)B`e)q$?F+<4qrCoWs`3TV>;giL7`!HRHWJ46RU3+ z`4;~6?mTN5&D#6%J$c)=I$PQ`IR10d?jd03u5Nh;m(rjRN4>2iUWkjf*+WRXFnVk> z@9ly$&Ou9LMDg2Klhf&r{=oLe`yf|Mo8UKnZk$sUgz5R0)zd=LUNuQU`7Eq#uW!*; zq6&L9#x#vxU8aS#PO6OFm)hMz40yx#Ed&UW!!g#+pZ;uQm(M{4J(BvZ$(Zccb^hR+ z{xXNb4~tjz`j`jRmQzf`J@BuKmWq;9|D9F+iTm8d0FaaZjSIY_vG3F!n{21uL`JDv z?wLftHFpoyXPX--=|A$h+muc4`Dr2x{Msrs#6Nj>w*tTqeM+d4!?^xRtP4{Y36zWt z*2scM4%>O2g%2v$1X;~p=ALL{6ljLsa2B2d+W5awF)v%|kt52V8iGsMV>6GE#BT?Y zAWk3Il-l^QYs4QAQAcl=0%I!i1j|>Wk2kFhwdspPy~c6j5=?1LM1v-UyCGdd3&J>U8*>IKU@2t0>i4_Xeff7SR~MP zyDnAICm)Jd44yxwP?TvJP&@tjQ_?U?>4rNM2GXN$cFv0k%rxw$dWplXjgrz^ie##iOpfa< zWk1Y6&qt0sho`_!R^Z>aMm12|e!Rf)S2-)jrOS#mCxr$sccNq=37FGaZN2iJTvGQ! z(_$2W+7+WSi0U5?HX_sz7=z>Y*MS-$sh2LAGKeov8+cDSqNQHY=4R7DJL<0O@DooX zXXq0Nvs&+%>&J0M6EsS`CU4bn^DWt8h%Saw#3QD1+rz5O%Vi@G z(bcx@LZ_W#|Mmp_$pg=Qawj5Yo-IPFv*jzxOQhYok(kGY`URE3Iozd$kistoKhi2d zDfPp!jesdTNNUz-=-#3x`X(+T9W+;TYiyDa_0gIy@Ck(_EoJB-9lbJmSCE(Rpx^>f zpo5?o@RQgtRx7GKg1&Rqr4!=yOPWaZdEUupsf9IRN^)}(et}OCcHqn$2Rp{HO}?bZ zo2WU@wXeQ)NvQH``_dt^obFb&z)8o$=W^o=vTOYAWg>;LhF@)aYTo5uYdd}W zQShNfH(@^0=9%UxQ^Mnx5NkbP^~OkLm7nq;2zE(@Ykc)ZIv0&`ljyG!1V1&5;G}IH z^y@WOLJ={qt}Vme5#C8E85V%IM`ERdyCslo{k(|jaB}$Mh)X*^3sdmiA)4RtWo{$# z+A7Ftl-aKJolVLLCy&eeIG|bEZH_>RCQnYqn8~NA0(#!BtUWn>jfJ{m+KJX+tVV$> zUV&)FFRHsPwY_$wL0@a~^f*b140}RvQ!rKIYki(cEUoHHzH%}^TF6~V88$y5Vpn!J zJ{}W9wOX>usqyz=#tqqj6lk7$l`;weKl!2Fbh_oi4=ZhSxSsTK*2D18lVJjj?9D_Y zb=0;c5oWU=R318Rn`<9iA0M^CVG8rU?bv@(4cZJr+Pj^Spm|OlH+;>0G^k6EE&U z0$dsSv0}T`AAF<5t!ycGP=o$#&@tU+v~$n#;mR?wzQ)95q0J$O!U=XuSuLvOv;?z0 zmLHGXaR;s_JdbI#MvQm8jJEqWO(u#;xSJjrA<}s1KE$I$A3MGP73|+N{NuF{?zmjo zmCOS6z58jhNE)$z$MWru^Tu1|txETZ*^=&*T|H?xPr$edvBe$L<9SOWat+BPkRlfp zhcr{KI1%}3fYUpoQ(GlI-B*dZZb1wk=SOE4nL`0DS+LI+c8u zXX`hXDp94C*}&WX>ghI?%tF_Yjqz|%o;LzcEPR=*6FhK6SdI0Rj&0R5HAMhsLRCip zRFtAVP_p^^bVvi!sDGd&;N5^U*^J>=05X5**fH<~ay!h`aJ9frw~>L1WW7Nu5mr%Lu3 zlZP79Kf5vM_;;d^RQ63x$EP1p+<@eg=R?E;JkE*2BE}Vac^Q`eX77qDQrSya?jqzPcmT*exLDNsDg5E>QN2|SW({?G`q^$NV7*d%h48_GpDV4I5Wj?`9SyXCurl_14&56_Mgi_l%&Eu||z>tFRm@LKT}1SiLbs<@{tSFtsAO2sXtCJneR z1iSs%urh&dnA{+nJ!J8L0_)o7JoYqsoY_j+h5sXM?c0fbe8jkLRE>n+iFW=Wy{lJ> z9DKKp++eU~I#4Fzz$ZyzD04t?t0o@3hmTZ&$~TI&yUx@j{a%F+w=kEbaOUAoX_B|u zo8!N?B@~q!aE6j$LyQ8NiiiOy*$|TE+-a5pOx6+2T}z+*BP;ssLccyt7FGZoC|SP% zNjzVSrN5yN|0Tw&{f~=NY8T;K+O<2HHLXxF;Y1U9(9UrKC1#t9|9ZKNvid7gx2SwG z@9OnJu?$Fye>*7Ivv09^{61~V%MAGC6Z{)PR{eN1b-Uj9zg3HbpSHV1_t=SRYOqwh z>-TFX?TRYy>!C82F4IJDNWSD^`03_NV5C;u4~|-q#8pR0rYtE3ZEewJ%V)^r;aKSS zvzgs`u(4YLlOiB*KySZMq%Vs#KG*=ZR2qlbYaDR79~4IVf^D^dsm;Smvm$Cjn4mjy z0~dRC?vtI?${Q@iQRvASqlIY?VEsrTJ?{jY$h?_g3>LI%1PIAD=Cb=WN%l_pyzUp@ z=dkr-O{ZDR+ROE@ZHD!L8UO>P-8Ve{+9vB~CT4!8-}PAB0fc5z1=TS9?~ycj6J*`d z;Y6q^JwAeIoI~uTum4*|)hCM}*<09eD00Ww*Q7qqf4-S&Eyxl3Rd*NFr;g$iCp)+` z7G_IHsanwCq%|mNTX`2`6xuL3C1WF`8+@(8Z;{%Y&F&_MJbas6oZ7B4I&-|#R>icU zn}Gy8bZnEQx|dKj_*?Lwxz=V~!ZZ|}z-?WNXI ztd~K)X13cCK56EbKTnd~^id#txfB8u`1KE9E*I#JsJQS7h_#!6IO%{bOp(9dQ#V~qrzl0U{crF z7P7t?G8nTYLNS0^FDlE-#Xse@F<^D`RC4uQa}}3r}-F= z;QPyb<%LO+4AB`PnA1e9IB>c~lWoxEGN8~(zbi7%xVRNoMFtbb;>a!9Si<0suZL36 z&?DlhRGGbnqAHPP`t{mqp;D+eEza&I9h9DEZj(N@~jos@^` z#MiWX1@2n^_mTEv*xUoG0GlN`ovR!WsvJ=4Vmwno46_vJl+SQ+BW38XC*p?mLQ7@O zs?Kk%Q28B*#QE@k9_$zF$$^QMsbk6@Shy2BMQ;&jo7NwQn9`T})wvmRH?8aRKC z3XEmD>zPmLA~NM>mvIJoqiYYlp1VhSnW%D7Wk~zR)!vG#MzOf@n$$&TXRg4Yl@%Hl zQbMIh%*>gYv?^UKaH@xq_$#I@bf;emn62h5Uinsd@HqcbB3Nw{wt%aklUtZZbBOB%4+gSzu10Tur4f8EH1T4BWZS|zUGU)~H#ePP#_SkAH zW2ZYE_I*b1snd{MD-l0tj=b)l7bTYLkyutT!z*b_P9au#%M!=RWxS`r`>DapG~U2U zk?B6_#A@5HX|TCp2J``MpD{y!zX{3R?qkOo*TM}eRlQsh-Ib`nq_54(ep1 ztT7(}uP}fiai5wAWkvk4HNhj;9+#JC5~7&C`%{e4c1n65;Z14KOJ)1!Q+F5fsO0NF z$<*h*Ilb8h_pW{r--zLQ?f^p&vw+--4OpAM8XR#x6`<`0J9jN9N{lipo71&4ackuX zk5hASJ^6^Ja)cd>1lgKC)XDTFlKhd0*W7;IcM`!O+>t3*9-@XDJYqhGiu!lwA4NJ>C0d0$1)~!6A$#5 z;FF9CYB+`R-u?=2;uie}i>YM$s}Zmhcdt&NI_Jpo7u0g(KXYSGWW<~7LW0aATZjwO zxc@v;_40+wN+x|e|L=b^BFYYKY@Y6xdw+?i>wPFCd#V#pe4L#QHDwmQ{yxHbRFHpP zY}utSqtU{3a8f#mh@0~M=dQ#Q(3{5#2z*je9dn(g?KR7J5;T4`6gW`kjUb=tzrVoy zlkt@mCp~paklFU;o#$O*#Z?Aue&UnrK>T-|9Ho&>p zyX!^ZHfo}eEvIogHsu8Hi?7YQn^k_(9OAnT(NzelXV%HG(>6aL|2e>xWL56C1PYTW z^AR2^J^Y?ls5lfybnKeXewhRF;R@VSnN?P_jc`$B?mu3qhWdN)5|%)m;o8Qx^e{v| zZ&e=uk+V=$tG5gAcOA=lBJ=q$9^FT#?9tV9n2Rg0h9H&cxVgoS=Ccg`K#`(Ifno3x z|AL@j>DtEt@}G8Hi;-wjwU0xH69fOuq9>F}c4S-HYVtn|NU@J%)F*|KF$tX6KP`qU zGiiJ%&sv9NecVk`Eq?w)W(K&JQU_%n}*On9fy987| z_sJl&mk*B6dpJ_|8zO`k8A~1UzNhaWf_;`mO2b^KWt^${%$ts?P*#7Dm_}Qs%J&dw zj!3c{%kNFY&`u9+Pr}XUIa2?V07zqjF{K8z*ttS}Yei)tX#|}{Ze3>9%JZzT&=St? zp^V%YkIJS=ZKcD#k-HJ^?vA-f`6WF5Lw6M}yMb3zA5m%qUFBBYHqm9z*`l0jS4aKj z4cWe8uDDgmvMOoiwY*nB>lI{SA5~$_X(SlEK}=v-FnlT2FcRa+^YV)`Bnv2aU}FE} zw?o0s^-J0_F@<*wt%8vF>J$CfXS<7mfsx;j9*>|p*$~fv-4E^Uod-A`PDdJJQR-vX z28a5}(AASlojLdH<;ydBoAZJFY4Hm4 zwQ6J19VH6L=sWq(&!T+?C!7P|;gnsU*tVWgd*<|En1XtK zyH%BR^GZ+V;{D1Nk(a|3=}dwScStN#q@_NPKx)SXC6Z)3Y9H9rs!1OV%;petkSxs~ zZ5Js1ib@?_Kg_aO>8QJKQffA_PdYY#bERK6=U3YCLG#+{sz8>EO6iq?w8*!?;qW_s z{^$|&5uRR54>9(t9Zw=Tf6w8=Ol-77Uj9D++ zZ-P+Ri};2#{esdm`9=ri5`Uc0YFw}*4ydGw``5yaH08y~MAh)V6#%w*x)twi$_0Nw zIIoRPkoc8MZ;%ko_a$8tvM_sOq^MuL?SD5p;Uy5j;yT>9t3=Xl_R@Yx19>UWjVW^^ z$=kCfb>1VQ#Gh%j1an#&#P6Y*lZn=pG9GFE+5?v+OP5|Qd^eHtCcp$hP@*uWtHT!spw)ajwt$=%v zx64(7XJfU7{D<*wC`>eev5=Ukp5BT0Qi!`h0GQ6@-yEa8O>Y-?6Xh;sc#tFP!Vo^D zfl~H%j0n4|m3%RINnM%;9;F(wAW3q+tGI}{Ik^yPSMG^}hK5WeC)w_hi>HhLr2`D9 zjp-3y$=sKg><${leEF%JpwR2QuHXN4R6fP5@IUO5z2!{t_z|6G)r3O)%b$bQt z=Y<2jM((GfN)zi&Cj2wy)hDmw#do?L+*K|utjgX5Q21@x^vpV36=tR$znSmc4x_%) z5HeW0oGg*P^`=}(B&(U+xs4v8GsHNG&~csrWWn|O^lTXWMpSW}kjLQ|4_Z?%mw7rK z@wqS7T-N7GHmc1n(YTnwbY`}p9wEYHP`O_J@J-_0^qZQSNjkXlXM@;$xPEq?4SHRjfksG8QuE_Z?6(*gCzwvG>Gq+gxHJ+HCKEM|AnP%uensc zv+++_d>6VSTvCm7?Fk8CKV_Va&yg~tAa5`&7Ufl_4~)Je2PpIm^wtAWNV0c-VTqk| z`;_!-POuy}?cw?P*x3Z4@lNBw(8sFs5`5n%C=`=im`P9hNTg`tAR+wm=4uxgge#pe z25rS#cU5C8`*p-H+DqcHzLk84kNNr>*^V1-5v>ZgRuh8-Zfe5=%mib^bbZm3>e+Oh?3I6?LJN4Ns z7)PN1>*5cNh>(fx*#zem4kt7hsN@1jBHmze6h$zCi;r<#0zulpvT`6AN^;WYSq!~E z-AGAlqX1DFSM8%HdBv^_NA>&*UDaf;*s1+1xv`gG+I7hbeCg!Ho$)^`(SJ2LTsYh! z5qH*$W22TjYEx52P@v@W=qP?Vsuv$02~kj6?Mo=f6QTlP9`s@?wGnrZrR0jFwVZZ5 zj|jila06>XHEEz09$>qeSPKV#aQ}SVQs)Izfdl+L(Jm2Bt*qW_=yG@(V-NMu-Kyda1UJ zzuA?Z;mO-2Vb9NZHo5uoLu2QM{oUX1k83gs; zQaW%JypD6G8QCl_3C}dOoQY%8?+AXuV(Ogqi{9SO`K4V2z90L(LF<4)JIRK*m%U0l z{=wbg^&*EH>Xy4OCwsw(wayVKmQ)4vPM|9jE5&6d=RP@Kpx}=9%p_f;=rrng z%8kp(crQ;@?va>rqtV{1;SnLf z*vDxdBvQ&vDgI1Xt=r=?v5Do|JJLDM1_hs=Nh%i4FAAGYRixZwgX~$wZ6{P%2sK_* zEIewou@KARk!t-i?^D^XOqF23IYwN~f=0uV*c#}{H)|Vj@6bYnDzGoY?)-Hjggcqr#&l`m+Ji{05 zcM!zFqDk^B^w~j&>w)7^RiY!RnY>9&IQh`>XM+tZv-9wBeMirg+e+^ zHAd%?NTOOyC(z(~N~2?4EC6dYnHm*6L#rwx(tLWA6ta;DRM$csm22m)TLLv$?h zhKu8_KJetQV7-bm0_*U|M*|Z3-IxU?vidSU9wCy92EAiXlNhhWof0RbQ6G46SYJ&t zAtN(GnnqvUAZHfO{PgCkSE;{g6f%VB2_2u&8~Y7`fPfcF~@R#JRu78SPcQIQ0L~S9&sn zl^A+4^56POPeu+7$j1Wm-hjloA022gj-(fd6=U%sC7>tM>=%#^1ms-wvwVs}_X?!+KSTkqc&22QB z1+B(YE_V!CJu$OYJNH{Tx7&Hy(yFcrdZuj(b*ssIoj`xnoI-mZg+}u`&(y$&BCW#L z*_4OUl*VAe1DDdovmg0uR-T5$sVz!<(fO-27yf~s`BIQI{|b6lnHbTwI_S#2T9xK6 z4Xagwk6!F6_T!T5B^DK1u#pD+FTGeoyrnX?D#R>B+UKF~$0p z)WX3fPp^oq(*;fRmB*CJzBF{2lM3>DHCPl@QR>Cz&&pJ^rF>{)Aow~b_&R}xMLeH- z^_8B?d3rz|3drY^f|Y&U9%yb91X6O@a(714Za){~`AtSc=YbI7V>%_o^yDDVxInXO z(8l3ihOQ!UOrth#PL@?M4ZdXRWu7RZn>O;jLUbO;tlToO=g9NU*8SB z-WB2=E#W$iI6XODGXru-(0p9=dXxCFxZjiUX}7QMQXa33Z9`0N3G%EPXm$_U*r?U z?Pvc$6Gv&@5NJLfkZ3LQ^H`Hc9~RzjUlMx9Yb2d~&IJ$BFna2X=Jm32tg0R)Ua(Wp zF=skp#goHE>NxurR%&0HY(5vcZim68y4)%ky7Cp@egAVVap%Wk0 zi`0YGi$kB7SwdAk7JTg@c#Rrm4!|@CFpR!pu?+z9c~tUeUe6ieOQO+D?K^%I1agPu-?SZ87qxlb_Q?{1?yD^e>dXHL?hucHb|l?<&u85({u=nrrEpOQizR zZogwqeOF)4P{&d+j@LhfHu6>FRduH1cMI#oR>e9@@+wGULl8w%1 z%SLIc3cF*#L-|zIfg$hQLi$NV=X1jlFZIQtr+nINoJ#8B{#Vhw`m!?{LB~2gCF_;6 zs{W^-m-*&rSso32O!Z`@pHd2LAt|W7TGfm!UbGe~l+TA}7DZo{CmnljtdNDyspDz7 zInnom)$$a418r}Byec!NsYfmdweT&k%Jao4)SF0swW@B@)W_oaP}0V+_ijp(gEGz2 zx`Lp?Q#5FLa*jJQAfF5Ab+6Z3r_S%Tw0$3r-gH?rkC-p@Egx1CB z!CJm6sb}(RfxmJ=!d4Ya(c6QsyEBAZDS|*I=JPIUp`{YiHxordrKze5i8>9PPJ1TJ zr@pG{kx;gLJhVwL!vbI6#d=;R(?+YhRcb4vnVxZORab`^ZJ#V&Rke3Wi!%bvs-doo z{foWCm&x<*tWDdhp3CGR3A3=;?KcN`-Vt>8OY((qa6vzGzHzV(jfK**+j)<*RUH%f z+#vFpua)R;nif^HpU`N!)3<0d>upugGk7Qsjb>zFwcGcKyV2yFX=WtTArxEVXF6hNH$Ar9d2DL8qVw!H-#)ubu!dJVUe;Hv+{l!=x_g6@{VQC8gDWBQx z?L|LIGp5=~!m+S!#$URWrd8!_t#&)MwVq0{9LMxySt(jo_tCg9xGHBWQx#z&OleFO zrKv)jzp5?@n*WD{1OKeUyd-H>BX~V)iDVih6=pS&7805k!@nAV?Ll)TWcEmt?yL{C z`8)-ydiBU?O!rjgRz;oKtR#6h)CF<%$i7+KP2-uQEO3U-SYzF+LK!+fUkmYCB}3wA z&$RX_qoLie5--iCr4yK+9!8q}twM=<9xVMdt5B>KhVAF=sEFiiGZwT}D7BL4hf9em zq3t(834|tj?I8IMEI!>!DZ!b>6Y5{a7>Q@;{7zbWpkkW10ua0W^kSL^0_P2hAT3v` z>iEDJy%JBeZQzryeQH(TCYsdO{JTmp-}l$5@>8|DTO~MmKBbec^RW6$^BS2MfyKaD z`nwwQq>%1&k5@@QP5IoE&s=K3BGZzDW-v1wi+#q@D#3V~@z=F8BQ{@Eacx*Ps{}t9 zRzqnI8nSaNZp2@?82OdH(vy)NhSgBF2bvoNfkxnqzMq@XwA(mQTtVg><(WYQUaOmg zw((s-p13w_i=e}8L+HOyVd%;7+!T-))1^b`x<5|iUR7&L=!&$eZL(Oj+uxhzYa*UK z@o|sqMW0$a*AG@CdS5@5&=DDq*VjYbF(#}R(@fV_dNT4*Kt34a{$QZFMi7hpV;N1m z{r4bGvtBeUSHBR_6l;m~;?&uX(!!^EH|s^;5;Pxk!3`P^Jvpq~0`hRMggXMwO@f#u z#Py=51bJea0C{{&vG&pr957%xelvp{#ZG@N?55fKADE$w3r^&4CLn(&=(e{E{4XSZup|wnf|3ZY*kal z-7GU7ah=_MGV52is>{ij=X_IUW36g2;jE$4Jfry1uxKWW;+4u%Rl8E~@PUhCdJiF< z=2Gbw7$2NJ#(vb-_%QOXU#vFH{|q`DX{#R}3_V|{*=u7$n9;a3=&*mQAE##NPG2Am zTKz+|@``47v(*~Bj}u%A|S zoNxvx7Db5H;TwVuZw@+qXPB?NGuYuP!(8O`w9ZN|4!v1H%GzgbG%H9`Z4BKC(zQdb z#P@*o;w?a)cyZ!M{U(nLIj5Ai)YWU0t z`v>)6nUA2?G<4ea9CGDJp?1i>&{|cekUXBx(V=$Gkn!ZuyG6iReOa6oNmMZioYPns zXP~S@gqq63CvPhXn#3?fo+xuwZD!0x8!Li|T&$n7vJ|YUd^HQ57ncOb5-t&Hy$b@L zpJ+hz5L-JwyWs(ve+4Z%OX zSVDcn(~vausOLn<&X@8sJ`stWfoQzu#5wv(InT*gN;A4`12JK(=C%>jo#s75x~u&d zpTp>Hs`;wQR|)dDEAWAfLw`5FB*-}W@A+ui3nKWUGuTBQ&;c1!eWd2VKTJbSwk)S? zoY8P9`>-As&LCw8Hx5X`Mrh9Mf+qUv2FXWUXRuWxRnM zYixJntTbb)_hw^M$%nFYuu?oFOcnk(koZI{bhn&0f)J`Q&!bN8j+|xOaox z7bNVTt;QYtSexp#`jEubv>I*o$HCX6BpR$$=m$KMPgP+BsgiNrCk8~_@no8KHeICY zAA%~*OV~eKU0h;mF{SMVnO4sg5tXK7tN3;>+(C-Cx7%xrFGctqPA_w`Riv_dWF01z zxoEX~+PwFrZyI{~uBnq^9M%&dcb}FJG0m9)nH5?WxkXjgn}V-#NwLnHe;ssq|0~QJ zXy~k%tk2l0jwd|TYP-EflD(O8H~SPe52x&2DV!k#__W&?3Dk?_xjDpL_i}=ceH}{w zQroC1>>N*JZdF&2%pQ3&(SQd~j>SEK0AK?gtO9A)lFGg?e^Ki*=W#4!kZymWjd^?cZ<8q({AsQ<&;*nd7zn=ai;Hk z2HSW?Mnhi}32CA27L)KaTJsNCaIt=72YdeCAW#4HH=P~I4NAn!Hk$oSoE}JvcDvc% zl;);v7%dO&2>5~)?8-J8nXZtoPz zjYG1UsOs!2gGRUXNMW&L`4?hw`bagK1+4WWmL0!T>?=i#FHlZpV38(8I0h+V zeDKd=p}0qUSfEiv>G=s?rY&H`Cx#A0X`(OFn1*G@oPEK?E(=|;?vba|3q9Ev=1;xM zZ%sr3O{>~6i9h?=CHT66N`O=wbn;2C)t7{i#XYXmyC*9*+U-3^)|7KqfBjG+!t(qs zNQgZ(ps}#jhay##6o<7o+U+0JfVVS85lBLds#O#G-4tw58X^jK9-_RGS_3^O~77Jftsor21I zT@#R4hUcw!-m$C9IW1x>qjfjv=0p=$Ek+5UdDITdr`^uWpH@YNki`q~_&No?JfA$Q zRyZ@is^{zwGA@qAdi;_gvHXeUVPb~DXYIfzA46-mKakPT8FwM3rzXt;mhf%Cp0TG` zFQ!>TU+KxntiXBVJm>6F@Z%(_Pr+_>Mt6J8zbXXUpk5^D(1#Aqd!&Cmh`W_14QqJ6 zI{>TepPo}4#&4)qRsU@))kf9%Y9Ycydi8g2U^%8~EZ7o&KmxXnJU6x5F~2JhJUM?w z1bb8!ofD0^<2irR(6aZrY9n8%l4@tp`WcCO_V$c;^p3|X&PymzouziX`t?S4kTZ!lQ0?xpM=dE~lBN5Iq^p&5><9^&q=8ZW&PfODjW8{($`U6Tp zPo^pCOG;G4Gz*8&uf~v&Tuc*tqw#wa7e1Oxc$YGvC-cd7x>eP6fhNj)ONi-tAr?0U zJ~2(7qXB3oV|gwQdY&Hi{JRi(+}#;%0c@l9%o(n^|FA~xsp0mA6$hp^tuyl_q!ep7Ta!(~-Wa#uf zEyOFH*%&o{eTY~8w2G6!_2QUDK0~MHe+3(xMrAKrI*l?96g^qyxaRh8N*%AmcW0^G zs(uzYA0Fy%tajGmIIIcbn;lDrT%bPiWM3Z&@%m^$776+}g=l;%j!0O8GkxVGguYG~ z**-ahg|+(1iY1&9LSH7(JQy^#&l1W-V|q+zAD$KXY#G9e)^bAdb#kyV3tL0KI7{WK zx-(cp{;gjAT8i>wZR{Mtv2d4MLf zfj}n6L#KC=geeUNlphQN@qpCF4Y8>b9M$ecpfW3nk=bd~8p;avv!a6^+(Biz_n^ipFTvdk! zWLDtZ&Ai^wa`t7yO(e6|PdD>=@g&};XYkRBOPisa*XvfEH14H&y^+W#)nQe=Mqla4 z`J(?emTH6AEyQs+Eddchcx)TF&9~b-fuonl3CsRidX+=nt8pn ztpy!=n~w*Lo24tq+aOBz7`2I?+N?b;wrcm!aAA70-yg1NZc2R6U9{|mgn%m87q+W zVwxC>*kA773cwGBRRl+c`EGpO*vnHsuiMj{qObJiSj5!nW(DAdL1T{xaFVmbfTKyyvtd`CbMPXp4=V8ttG{)jxsCnB=OJ{^z?0`g#pX)=K+oZlXN zMXk;Xe3Bwl_^c3UV*46=1K_e=_Uj>S)j2BTQu2c+H3*Z;Jt*@@A! zuSdcf`}YYCSi-zrYkhZ+`Qosya`~WV)*Gvh|6dgNTpDu9F9Wh;QUEcZR%qqM)w4sl z)*5S))fiZw_k=RxPf1}1npU-Eu(8vV;)eO`9ynk3*F3EAf;LnZJef~iL3(cB{E?7T zP_FtiAunlL)r2K2G!E5g-Vh3Sl*? z?o;b+R^6xCh-GGM$#k>oe$Puj)taAT?nY@(q@dV5JLgYFV0GcxU9?1Kdh>E5RH$;jTxU-b!7;Bi_lIuB!sn8Kw@1GM+WltYE^w8gnndb zuf8*cby%o{sTj*j{}yVZl+rj42J&A? zaLoCjkY3wsK=fpq)dEeD1%)P+lmA~3_Kj_N1kh%ag$ zW->>bSqYS;FT;$?3Vga*;h*n8sH*;*3HDGZNn&}pT!_WIl{xOD7%XixoKwsESZGo2 z5lX+rG$daP7V=og7m+hoR_isV{H5-AGR?hRaE`wEhs5%ye|);}6-N}i@zo8@#tBjP zdcLg-J-;LLq+;sCG1x>LNz>=U_(r7pcIb&8lT-#AuWrt}7#H}waV-mt`CLqcgNiW{ zPq%nQXk*9Srpg0P=Cf?bmGKmaBVNiwf0_=+R$k0U-;8rNHCht&Ip+6n_zYdmnC}DX z@2rG+)J@Sc295IVDXu)FS5o2k8 zq#Kj42a-f%Dr8RF=hKb(Vx|E-`v7tb{ouJc#gk9l2cLxq!gC|y1J^6)Zgu$Ki@mdJ z9BzE!-$33jsdd;r7sMDhrmo=&WA`TEJIM(eV7Diz9|@W_5MVS|H^nrdyFzG~4-njx zXthsX%CFM>l3dK^n}r5*JM{fba)ag`rCU>ir2zU6-R(ATyqzk~RLf&(4&ZWnogy4F zi_3=OggF1X?i;H_UnP`j!1uM}Q^V%?b{n?x+n3@pyQ9A?2v!%<-?0=DYX@%sOFoQ> z0J_xAG?K?G4toO-gQ>N~mF7=`SSbbT8=Sni=J3VNW# zK*9#nH%iM65?bWF!Qty=0ME#`K`nI}?XZO`Sb9Jiix~5~k>+Ed_)iPkByZwPa|`l5 zy933X56Tn%Zk(1ZQwgz>v0+I5iyDrW!q1 zzI`p3DJ&H&bJI>Q`4%NVY?51an)7?H)W`u6jTgzV7s@2-B@acuy{3G_fi+*&17^69 z@~E#Zg}QBjA}v7MKMt@E+;1a;vsOlVbP{9J!$s5ANT|v@wIeffiyti=EE>&+&@OJY zr!9?ufMRa#X`~S*5eCKqEgEp>U%MJI42%O}s(qT?+Yu)g+Yv+2VnW*QNH?DMbZ6^Hs>V1G0 z(j6h;|5kMKKfGc53iiZ1=YilxZx~PbFc|W)MziKghHBeUk(32i*z3ts`6X8488~-cQ>fg zquXlomeDfbGVQ?8zC-i#MHn#weK{80(Dof#nQ#Bm;SEjGq8mLZZ7*@_hVQ^*+zW>? z?ZDBpcFKHvp)GSLqeI1aB!pI$VW`l7YqA#(SOffxAV3`1zgP}F@li|hNzmF*Ykd4e8X zqtH0+bLi%rh_^4|r^eyqG8*Tww?*JohYGhVhzp)LSstDs$(W8Sau8f~J?>BGKhuHs zv&7d{J@4(@{7Buraf5bo|Cr(gd7r7DisCCpKrvdwba>lZ(9J;B8HxNgHFe>-RgK~w zZl00Qx7w-pfqy?1ALTHurU5P9zy;%7941hV`~u|_?;6U_31J+_Vsx92t;7fO0}tGo zR|f4%op>Te@1Pr&H#gf-+u$(qHgNk<$&MlWfn?AT=PS6B(`7w!!f55Aq*qIqae~gS zQPt5ggqn^JOZEZjCs3FgZ(Exl^VCqq8!~=|Zag6e#~W`XSgj4{fZc&!Pq)#0gJ__I zGi&PtC1}nOEga%>Q$}YB?FJoMNTIb9fudcYbgWQaDnT;VAkgwE@ZqIJ%u!x;BN=1{ ztsf67$D%*9UT4Z-uu<3}V&TUrhAK4VafD`N20|)_)(h=W#bPAz?L~%OXv?juI+f1Qr2=e+V#j?Hu8lxO=D|c14XH!B^Pr5irEyPOpAZGQ43j_ybryx54c@S zH=akFo2_y-geD*H>)hM!jjpJ?Z?Fw*%t}Z5DS_;_u%n7qprUjjd+*)EV@-(ZWiIU8jUJ$;#~{6vE(-P>mK` zfLhxJZ|EgK-rjpRusY*DN#w))yp`Y=5Ct@MAfZMZB>{rk5fWJ&N~Hw{P_R2tVpnUU z&PcT{r5$Koz-Nm(4%+hrf;;xqAd{{~F#V;dtz7^IT#(QAFC$;jf(vM^4GnZ?YHx5x zSZ7PT{m3wCak%n1i};6oY5K96m1C!FEVI6`8-8)`NRDv%VI7vDI<|Qcp-cywH$R5DI+Seu%mGgiWkLKDC6hd^QqFDD*}kna>ID zB?SOI+oj>FM4x?)7pU&XbV&>C>@J~UeG#V!P<*!lGVo~(nj$Eh%nHyx;V$@BIe^^}zw)ThwxT&d3bluz(>hv)c3(3Dw9xTt!yZPE{VSkb(T%6qksql; zJU}zPOIpzHBQjWT4yaEj@Wj11Iazs$SxmNmxNS%`o*22a@vZ)k+(8Q1{n~Wn{|Xs#BHSNNN1Tbdup-5 z`J#w7W{@~-5QqEe$I{S`gnpKMV2pBQmxg~PA3#?i)c8C%Kt9kgS?ogE68C?)@8!9hlD<@T4|K=&rpVt;p z!2z>bury!K#&KZKqMq%R_I;!?(DpU93r&J=c}J-q2Ugl2kkNrJsaNz14!9#aa@SD} zuVm$U$FdC2Gc<|3ZRJs!gKFK6YnN%uq3Os?IW_ywx0hIyB^x-0Ui2{V&{UJ%=xpHW zR*qXQe20p*oSK6tueVe!!EF{C@zS_?+FsCJ_zo5AP{}*Ie9PJ?qrFHr5@>sgMVYpY zmg82Y9V&FFWGM43qrJqf7Y=3GfujSLw-*`8p_MrdA1zw?I)6Qw^Z4Mq9^H737QT~2 z-Wi>?9Hfu)OhC8ok{0RP_niO88qb2fh#%UtzHOxIl}DDmkX7y1Mm>POoo+m@bg8+K zpuNxzraeHSZTlfZU-BZ&a4$_U)m|OKIi7y_D&KIRqWU%0 z-uxctI3JaTdy;egR2FVGe$o1e%tw%4{KraA{s$B{P~VzFzg=mqpEkU-7>yr|`DzBq zZDTWjyc9Y`f^I9%UTD2d58oI#Usa~^*FD7b6gbwBLAq0^w1=Ok z$(8K|C^!Pe$ga_@B&c80sb}kBceBxAtV8)4+BaXnwgO|p;Nba!2B^&)L0;4WK>hA% zjKToL__)dNlAwO|?*9=5Q^N=Bg+rgy8$!jsrEp`p6jj-+tp^v3j)9+FDGQl_5+l(c zQtMW_VH=Hgle~Jy0s2H z{73RMzHrBR8t931=Ny@YV5bzxxJ~L3chMYwj+WV#XIS z(3Kuc3tqUv!O9?f$VzbL8qKOn7j13o7SdZa^-7xXh76fr!G_#)mG zL!g)o96t1o5}KWFjHqkRn7?ufWX_U}CJu;+?(IXZ%jpKR@7i&wYTds0LgToRuV4?z zb+E9{khs6{mHuKlnXKT){*AS`Is1T+x5#vI>3(tlO^8h|!{!2W!9X z3J7j15%7i;eh6(i+X<*aI42`yd{NS5|AyqV18TV-q3Ra@{mczoCP1ys04>U6plH0^RRP6{Cp`W6Vv_~E#?kI6=oK9lGVd>Zf8@}3N*!qT6%OBb zwC@u1+ztwfa9$IhyE^nLp?!-(ukI7w+0pJrOp(JjqD;cpflwZz(15Px(5D4m)1l7@ z`sx~mZ``-i4_i6jd3Qv2J5q(jJkt)x=gA4tf44bTq-#W%q$Mkr~( z7kxQH(a!^lZvYtjYe9E)C`Nuk`(cNkF$8=OKiChnGg@j&lmaMuwOn~0MZ)&nqLT;L zZ=%qs%P7Q6ceV~@Zw7qz%W;Fr7v<{?#2m5sJ;lN7_k2Rk(vf!N248b}<8l-7;-0%d zP=Inp3LVdT>!+fV#Pv6|Y>H}mcBez%BDB*Ss_Rg}*Yd*1Gx6heSb zceLg4??Z(4oDMBy*qGjYj3LAP-7A})Vu;i~ozf7`dpaqLH&_>*))T~==2QD>A5d*~ zT+rbF+gLx?2GHT{&kjXsi1FI=gQw3=I}if&x{e<3c7UK4I`j}h&*`A$`~-CP`qYs3 zRrG^rH}0h48Cz|tLpEaln07_9xR}j zHsbuo7j||w-KZBa#$fe4T%e_)A7emB7t%eiWk4@W?ZWf`oAD^#sB=`;L);1lf_t#W za={ChITXEjX!`_e-T9Uf(9zcRi=zV2*&%e}c}~Zg@%DN_{dfi1s&Mt~`yBEUs%<$Bt2q0`s@}`>!{NmE)BMlG`QKJ+WNc7q5BB!4;-rNPkbtB@2`KO zS&(;}gf^Ibk&641^x%(C4la3s#{2-Zn^7|G!o4JUu2GlGR=<5W%jW}6) zY5y7YhEma7%K(3dB6WbEhA~uUHsER~@-~phI#k=Rjwd7)SAeQ&T>?Fb6b1c1Ri_NJ zhWm87S%-M*ee`t|N<_;s&kz%gd}2(NLk8Dp1zoX5f#CiV{S0r&uquR%+yf~`!w4_r zJ!6!~yA3HC`rVflZC0RT@P4#i&w$*^(JJaWTrkLdkt=Y+E|4>{@6^|bwE98ss9Qha z4}ZGXmPdsZU0p)==p~d_z~A>tfZ(>?T`E6$5q$$ipD)suQPn^<9CYl)ONKdDgw_p* zUP2qVyuF0h3+=!pL%!B;hS{hx&`EUTxnPXXBc`KiK4B>3)s*+6ms7+Zrgw5TWaxG_ z0JK{Rhr#5F5@r+0^CN3s1(InQHL@f_TIil3-%l#{&b43X`{6pOf4Q@V^(2i4o9_hS z>uqOXBkFUu$$|6jW%OfS>lc=aQ3}+XXp?d)0VojM_#z3k^#t9vnk@g8;E5oqTNv>B zIcYh(Bz{k>T~V{a^^@X?@c64xBR{-jUHp#D;&+UT-%*1Qzh1&SlEQ;-SD~B#L7&=N zo!dG*&|*wpIaqIPv~fUd5EeV~O59%N*yFeojO!4(@jQp(X#JU9YSmu&_ELh)uj9AS z_GlV!9x8cJKCCoib5^-6)1R^AAG(~if#f&emKhjdXmSGz1tsl*JZcI(=$^v`T@SkN zv9EDbZ8zz8W_{736u<~7^vmrwynzGPA9#cQ`4?|!!{d1$-SGVwz1yBftkq3jpP_f= z2XuTl}{W8jRQRC^>vW zYS8jN1^k1=%h4a^h&RwN72XzftAUQzC6&Mm?k2^vet>Qw=uC%hAn4-`T}#lX9lDC3 ze{<+Eg1+R?MFbr~c5O1uCP5(C5;Y2`kY-QN-J~b9@tBkXExgp0jXx*RjVGy8e(~>R z(l=1#Pj&;UD_8v&I+1rH2ham8mpSNH8DHIHNCGA(QV$%SbLet{8izV;`oZ&4bX(j` zB%cx0uY215LeLy1w4ZPsbl>7`vrUWT~GXCM1#v# zp!(lt@j$P;+D1!#aeEyTqy%p0p8w%(GyK#z{?y8@e-ZoVNzFiblt(qC`{FF0fL7}h zu5XkU8p3}+kE0x{76u3Gz}L|DA5heMKw&M0UM%PV9TYUMLd0TzP8Y;;A<3bA)%c*p z4P8JdHk$|3w+@sRmI2zPTx2`4auHfNRjliYj?DpGTXfdVOxiX=TOQ{|i=NXAe76(Y z8yvc`puR7=m!Ma7Xpy3O(Hl4!2cT1gcDke8UeN0usv|#_IyA2N0^j|F1HK2%u>h(w zNLM;kPcQpU2Zfxvrx1JYOb@zyaCe7JlhAf{=rw}w=FltqLA#UV@Dt(SC3`Cgjoh_9 zm69g!BNT0*J2>e!Z{BQ4SZ2bo)7(zb~j?jd7`+>?Vyg)T4O4Y&g zWRIYEsD3J{*$xp1XYb_P^;ZupXR0=dBT z=Z^L*f?icb|3a>u^Wbw0k>Ll9R?m+1eGx5xecs%LxPX)2JG`BsH#ok#2x`3<_|%@n zMUHl0c@unfCcGE@`o3Pdq}3Gibs=_}Mm=a+%uT<@Eg0hhhi>LIFrqRqX;IpvT?n}` zhGgaXB7^|_w?pUk7!u?~Z)dc~3psVfxT#z6x&^6;bzIQWg5HMF5f>ZDLAjtM`1ze& z2sE}~fNn`Qo__ReT|v=vw)#T%|M_}IS74)mVYJxG1-`z%m@KGo@oPDGt>d7*gUcMc zzHn&d9en*FN4=7?jdW|uoxI$ER&=b`+q$-m9(9(g`2u|?%NOOg+(u&6zK^r3pjSE$ ze_IhTh$RQg=EI+X(H?9IE{+FYk6j>)UX;F&;MSeC~9?)j?jCG~6T=U!P8SDV}QMKLZ8&aNeAZ)AZ*4G@| zDP-Q5t-#EY?TbfF06LCtwqx)@TLWk_(=~t2%pr|O(@Y}deLWdIY|`)2*S-6GR#)eR z{i(Y84ej!5@!KeWSPsw=c*}Fh-N^90Q6}%|q-5y1-23n&ofC-;v?wP`XX6F6`W`gR zOdjiMP0>!HU)F~o=P8ee>+GDhkzi3ecH_r+8vU|fhbq6VCHCvb+%$!{>8oqM9=#AN zHHKpT6jq{hG^0UYwBja`R?xN^S)LX zO|ibylfUw;>8U5B3|)G9S?5(e^^B60D4lHV^-pBO&;(F!c_1BoK`{;s4kN2A1>?~c zi?5-Esulfq3K60Dwn;BD_`}CiUUsZW`zXnp;^(<*n^HXpcYwm#MTVUP(h8d zdS0$h*h%UOKSHCZUt2p8R#T{CbCVY-zow+TpU-Y)&$)#)uV>GZLKs6ttF1{PPLq+d zz5%K83cB%i2RzYR(Xz_U@WK99xZX#%x^n*%Xzuz)qBhrUGr!L|*U91vuDG!x$o%dv zt}_XPEAJ6fnfJ?FXVJU)RjHm7zxO*=^q?X8MudX%G`h|2So)v3UgKObACbCV?_5{Q zTyJ!)uM*ciB;1pnE7tyjv$}q%c16wsigmPj?m&JyKfz@-^+pkcMd)Vh0;+w}#T|;? z6KMUK8LXrMYP~XWKsxox7v~6=4168|eG}55e-^%oiP7q~3f3DL`cI)nY8i^YGbF?5 zO@{tOP>iJ*s-roJIuvVrz=8RyJ*`3rq-yd2v@lk*p*duv<^iPRru2gpzSGV0znaV# z=)DfzSkMO?x}l&CJ9IrkA9LtBf`a}Gso2ef4w{5nh6X_NuA z0#I%{Xe>~#07c1f@-8nZ*1s9bYvar}x|tSly7Q9P+#$3S{WM5xEdte( zv_9+5V<~Lo3ti#Xv3$x}wd*m&n%C>W_4A}))Lqbkjr79>D6|ibkJD{_#t{lv)U@UY zwXmY_S|Y3+)i=_Y z5$MggM1UX_&K8OTNTWupHqf;6*v??%rk!r}t2&0ImP@3jR2+^{5$S+5I8#cEhdQkNCT|tm z>m2Qlf|hBoaJ{u4S?mL9` zO2=1M(!9p;y;Eo{Zs4GCyVh|~o&Ch2I}<%v+R8w1h-U);Re60ZRIo*Qp2)c_yuNHJyhho$O z9Cml8^2JDq@hzWTR_3enUgYAoKLv%*eBAaR2zA>es-`Todr3P$cXH@;g0Ab(M+NmK zojoY%+K%>dK{s&dLxN6r=uZV*!=b+ybajXRQP5X9^cg|7bm*@H9p});1l`P`cM7_U zL;o)5N)G+Api4MZSHAl-?uzd3X!T6BZdSAF+WEa42mKmeH|y-nGGOcmDQ~qjYzDP7 zP#e1eiZLOe7#%a)`JJHaIrL#cH*zRe1cC#`$czKV3xT41H}qG6zR{t-5Oh0--XJJS z8sl)apeQ{IMQMT14sz)C1bvS~za{909Qsv3KjzRc3;Jn?qUV9o8vU{!23x;ZOL^9e zDRButSYt|5xYf1C^NoIMJq-4ko$43;dX%lcRXjn^#o<)9xiV`p{H{+Z~5(M1~m-jcr84Oh~Yc$FB)o7CSFnl(N5u(+}@{!YD?&JWVo6`-{atlzb7z28nqgC|o4vKuSDE;8+ zPanYvxIk}lw37wB(V?+V2A|r`LL13S0-Tl$*9#qr_7hOFoQ(ERLBH)#)cT-Bdx~ih z(+Ttjv>D%F?Vv4gnjD@{Z=?h60f``X-{YljY0_ferUmlDnUXL3=$DSQJ?gAPYdv3g z>pmx6KIrL0cR3E_8eQ8D{=6WRT#(R8F1+cQ-y0lXtmXwP$e%i1ZIo+_E&UpZO$`Z9HjpwnGwar_MOY8&Q=;TmGi$)_*%gKOUU?*lXW*Ru0YEnew%BXAp{}g||K(752N~)cS065gc@M zu^T(vSK{`4r{8i~L_0%`juBIotu{Xd^g_BliZ`5^0|}e*cixu2NFjVn328D~T5Si4 z?Ofn2HQFTX>rkQnwxf;hGtg?eUe2-iISv@-gCAcHY2D)cWZK{Cr5^HqUJcE2c%#VR zO9Cx_%O!y?*S8T4*SokW2Vdi83wnm5-AOq35`1q#uXeP$g1gZ#+fJij*28G9UzCr_ zkTo}TmY(P}Z&ocK9gqgvXYeJhj(+()Eo+INnXWV%FQ^|e)Rvg%yRp#vk%qq8eSXAH z`xo~*8MI~YQLTr{eFoph(KezV!O(WK&0#}lT7vt2i;hoT;UpU?G47_Uo+z}BIu5E| zuSu;77v%L1NQ*5R{nq)oSzp4vBW*`D>r1$N-^GJRA_uf148@8M z(AtU*#6mgvSm+K>j6E6$i~)fI>J38)tWi7--BT#6O#q+RU4>N{)&m5JQ1D!mZvKZi zTzFyyx1s;0H`HCz9NMheV-4x(ZL?;NOC`S+uvxRmS{Vsz_Gq}TS))be80JnErRQL; z(K@ctZ{4aw8;TKApr}m@MNJMAvoVG?`W>D6eH}He zkeYsV^hHHFbw3L}@-^vaY|87d zCQHiu6;?W9gI1yCAsuP3@g0=lL$PWVe04Tz^u_{XZJUq=F`_k?Yh1t=ed)pG3$5#l z=Yh|^4OJd0&)WNyBwE`0wJ2I|`q@bxNAz{9<^aELP}fAB>+;1Rq&Mj99EWQ8&}fpi zGjL6IQxfRBw2d|+WhP4s`BH9*prdUaX`=_Ws3vC(R?ccP*wsoj`u<6eT^A|7GU~XIa4yPz1rJ0&47!qG+eC;Ak?Y9N}=9b5$8% z63+g_{`~6#Uvhr+I~3~oROk9Zkt$6Sld4IRG{xq>G&#oEf?BBN6aQV2Pl%=Toln^2 zHE$`$zVpc!ND3(4=qWYfsLP zWel~v4^dvSkrUlh*zD!t6q@0*vy-ITr4DWOa{dli#4g^c7DFL(JyPK*-OWI7>e>2ZMhXGPini5+Ydkouie*8yJSJwS97f^ z`^qg4U7O%{DCsQNcN_;TH5&bL{uoUCA_u%$@`Yau->k>yemW_qE;aD9Qe#ij8_E)! zuf^NI*5e~Bup1GanzUd&%!|DdNC%9`A_w59UupR*z1x?Hb`e+IM|v_*vci2D# ztJgmA7i8Xus37x|bQ|Y&=zr>peQM^4eaETmPn;`SaH;Ec&UN+7b-Ht1HFKTeTvy3l zZ>n82CM${SRum)7H*i^9;XPDZP!-(U2C&@Nxo zYD(Y@zMDJ*EvQX5c__^#BQ+1f@^_^lxf&?g+arl7xb=&J<%gF{yn^p6gG zrJ&C^bY(%Gb?AzM{>7oo3;I`wE-UEY9lErjFF15bLI2^<#RdJBLl+hFWrw~((Em7e zAwk;?Z3)_n;)^)Rr?P++VzFQJr33JYwo|OWXjib7%v*#wCBO-|lz1jQak)6Sa&^|QfS3+iXcb`aF_oh;}zj<2o^zN&~`>(HHq z!wiRNXjeLPH=!+axYW_=XyYT~$6`F5XrP^MI@-es0eV&kMT#CSw3j;+qd=gw7=w0a zLCaqzvbDOP)!kS>c6|2`4rRWtMv!%W2Zy^T!vXLkQ96=Zme=O%!9i#7@oiJ%d$;g4 zO@dZ6InBwdX;GHU#(2SDce)YKBp2Gf5?Wagn>gBggti>FGT$FLdG&;%jUC_L2;XuF zZRBY06Iwf26>-~F;#LlAL&ss3aImp%aF{9_E_CwhK9F@Chew5jotX&^2MULEI<)wM zuSB6O>c3(%lRN<3*|lbWBIw2r{f(gOIrL#ccXX(Jb!nnQ?-yGC6(ju?)Ao*by3lUu zQ2j!a=P*lX{db#wE9kb4!;ONj?@*1!nht$JXt!~we&eb9MJX@YW5Quo$3egRZ1gP_Yh^tnD|@L!*LT4FpPi^YZ zyM^zH4%Kf`c@Ai&!BWYK{KBd!1Jo!+A+22hhoDP4^zVYM=+I{cUCp8BH6gS$9r}cz z>pJuiLD4oa86FZ8^L>WiCn(wmhN3-!&^CAI?Sk4&0Ah@BPS9@UXm1u2b-3}x$jXt@Z7Aw&a6p-DD9Ur7SVd&$C4!>-F%)GJXb*Pid4j&%q5muBdmVbV zpdWPT*91M>p=S#EQHP!?=zlx(6hS}b&=Umxj6?C2UBsfXKGs8HeXNJ!vA(OM?rz2) zxwX=aL9!l3`WPfiOVn9^Z|t|$Loq?YbGAQQ8|E z-|dC3ja48N9rf|3_Wk`xj-ocw01o>L-*33k^yGpjEjTrsv|v3f$oT^0)P_7Zj_LtVG;QP(NlqNl;%_ZzAX| zjsyBZ2o-&Gy! z=oqOV`PVwYm+M;E`&wjIiUDF_W2AV)_yC?ZdW$z52S4A*@Qxxa#vS0tMo#gjdhn?^ zR92$);AczTAd>ZBC4SaOE!EE&sg;!N!Iymti|zQ?9kqvUQeNi+ygh6yar>D|p=|`6 z=1@(|UTmjqQ5QSDYCGljL;q|C<=I#Y1vWH1uxP;CWy zTOYhx&qrNo>xvBCQnftur5r{!5esj>YSo@rIrP&0_4ccw`O;qX;7es~L3<9W$#PrS z=b^r5NWJ~)9ALS9=5xz#qBB2}sT^!Z40_d>!E#CKL({U_m$X{0W5m~LoW5y&Xextm znQtMnXl#;mKx31vhsGvZ55DZvlB+2vOID&|3iDezIlMhJ)EC-!;++==AE@>NX1O|G zthkm>puW%1YP;IoueMYB-_O!Efp2SUD3bZmHV_owapDz<6G{(X==Y{phXs{k`=mUvGWdruAv8vGBASnwLy% z-E+{q>Dy7-dhNwZeBX3y(b@G*J8BP}?_{C%_E7HSE9zUXI|+vw#n62Jt=#@q4t`Yl z?ZWpFdYVm+r%+&T-*llJMhMWe96HqP)N;xwN*;GsGCE z_Vt<-qnwYi!iaM>w4?Jw<&~Y*KL)M#O`8>?oZp%iqr6taugh#!jIwpiuPa6uqLN@u zx=|vOzXoY5*g%W*lc2>2ANvA|wl~mjXn!uWXipi38G@qqW+-|l;ET4Rp=bpH#jKQ} z=t%*!RiKaz^8jh14CFoID&T4jpv34+4 zgFJ*@f@ueH20*c5$Ixas80+lsqMa3;cF^mB&YHc5tOv|2nC)C8+QDd`p$ne%T|lu% zyQf(J&wB9dOLXNxc}BsbIaiv*SWEvw5!GpfH@kF1 zD>MX&lItFqvvj_@JOk}lP3eqzFDpiyv|#Nw^#$vpDWjS)3Z+or>p;qD;2v-#SASL( z`Bgny_LbLj4R6^u!^Le|(h#)dcYA0(@7qzjy2-~)S8g?$Y%~c=!mK2oz0}8zO|s4~ z-)rs3;nO7Mnir+8aV91;-be-@xG~46C{p!U0_Bb)i1HA zTZ>17eJ9*6kQfM8T~DfA!2nX}H%l?TV&7z4nq1%qD9Dd-vYsTeVxAnXkJD}8jUyC( z)zyFdQNOaNt|vNKKO?fLtN((kew+2>Vz}!{xa!J&HJ~;7o#DC9K zzu2l}2!9n>t@+bp%|lm)_!3Q}(Nf}gSBB{Koz<1Ut()gdEhQc&3$wCIbG*8qTyxIj zi9Bs-(pwqgW1?T+)l$O8M9WHb<(#0>d~_a{A&rH=I7X_4KsKy1!xOuvdG#CKdf7F7 z0MRQ4YfbgSL3az6dpfg7F5^2+LhEIZ%A=0My28QlM$s`a-#f$%HsbcM<1k)OPpcdr zaSyJL3?~yr(t@~)~e^Anw;)vr-%&YT~FoxEWR(QE9q}=e76_A(;T{+ zpw~K7IoN7P=vCL1V;0KdwySXPp=mGKRz`xuTZQ&I$DwapADYV0?7L=L_ZFo&ps`8T zPGgg-2VeH7l{DpK$x51XlC{#*-K>YE?q)qSbvNswX-~2qnsSo$(3F#`ho+olJ&e|J z(zgb6KGUyLnI!!;-y83{J&8Si)>~}OhF~k%Ni;dbX-7|@xYD7z8n4`!_Wfg}_2-Hl zBB7n*_-a}V)kscbQJmJ-JFROPm)CmvksMuV=+jtHKV~zpEehlDucnw{G`rbv%zBvJ zNqJ~zVEc{10V`1&{qjsS^e|ZZg>GL#_bsGfJoQW6kI}n*$^C2!E_HppPF?l8v-s88 z@Fe2H^HA{fmdy1_&Q<;1M<~Wg%beBqNf+*p!dYE^?_75hS8&DsW4cYM-80u|wJS6W znRiQE+3tW6SZiU?npR_vIWxGD#(KPId$iY`X zAN2DJI=AY3i4%z@_?FwfWe$TqJ3C%vxPfABebOBS^>b<3JMt}Z?cM){{1}H7MU$5~ zzPeuutu~|8Q|P;Cz3Uj;c^wWY84j1w%IAq(;b^r#c(p_IRLh?@RC^Hqyp}s9z%HP(tK0oBuS#|$)Uj0I_*O|t`tPdRY>&G_V4|F$?!SmIx{@Qt_ zpxsw!Z5JR=J$&`QMH1TBA6dAy_5uOGk8p}!Ii z{(Hoa2wMK$^J^W4+lAJjk*D8fF2`+i$Klt)VXQ-E30jWZW{&m_pq(CGUZM40f&QJK z<+zo<>0RcqwF~X%!q;m@Q^<4pz0mqoo}LnPE64YiqP+U;?NuDDexLgF4%KgDdrhhy zR&uoZ4=`gvm&qm%JyFbE$53B9AEvywYL&Y<7FJ}-}(&ATZ!7Q|8ll| zdwV&@SHBAGtwgoHl%suKWLVar`c-hB1Jr((bhIxBhjQG?=~m|8HTfcy=O}6GqPlLM z;U9rDik^U#v?NfCqK9NC)<%QF5)M_qOF8s;pJCNk6``%+P>g8- z9qZ6pf^O|lw5LIfwv5FB?Hr&u^W9L?!$8q$F%&HtplBNyikciKPHZ>yd_fO!=sALZ z+@U86dZa^-7xc3ZJx0(b-9}fsT}cTBE5VqIS;^mu=rcrz^quWeej!SEpuWGUed!y> z)%a=;@MXtgapBNS-*k1MwSEi2)_#Dswt>dJ6Hx6t`5vqGEUoEd=6 z(ZlsDhfWr>(HU!}(HZMuucFBo*AI(y|(7^j`;P& z)sL#7nnpmsbTVuuw0@WH!b0o!1)ydG2VXv{DzrYw>N@*!31hu{@YAsh>!SnJ-0k`5 zScQ#XfL2QwUqvuQ^=S0O0*BlMtXH#MY|_Ko#%8P!-H>!Gne)+!8C-$2LEN8uCEl;Nzw(b00UYkA2TwXAyl%LB|Qc<5Ec5T;)2pY*9Yl zbPS{$JDVtR^ID%QXqkhzvkio{Y^mkkGSkI)ym0V6s?oHb09Mj>+o(x5t{HqT)bgQR zmiYF%&P$g|f^shOB|&U$W3=ILvPGm{d7Xl_l|iecbys)n7pV5en{^!Dc( z!g^@dfpFQ^tOMz5jZ1mH+piI5)`74k=~{_q9SB=gvkrvy(5wStJ@juK2v&LE%qFzy z7NMK}0mVuWp#Sc)`+zPd=nD>AR?xq8Q26{8y)~^&n-{Seb7)6qS7ur8=TA58N6B$I?%FSwHwg=yn&=z#F+L*Od?tS?+vdwB^ zu77kTb^ltK$eS-xYY?j+>x!(d$?%WB8b#ZZ%XFa4YGc+@v)Y*T(5wn?3O^%|C&R9#`tl?~Q#=6pV zAcL(lU1R813$-X$_gb5Fv%f`a(;2SR(A6w{O^)u}S#TuVR_Ye79bK0>*n04xVFUp8 z@{}?Q({naGVFCQTIgEP4_470|09Um0?Ym2G0g7=0_&Je&?AvYnO)7Q$5=c7V#M7_A zsVje-O{F=4!~o~N3uk_KGhG83BHc4n*kQC2J3%}7AsyF8kj zm(%Y?*VbstK3~c;*2iskKepeLeNEYy_FHrxq~90Y_kP9ld{cAw1Y6d0S1NBWb?kW# z9j!I5ACJ?W*5%%9c~@)M&dR6IlsOFczSs$pLggLYeil_nj{T_Zij=l!;rMoxws*?? z*j{#0+735_qB(YWcP=+6#JPKh%g36#Erl?yH(LeP9+^Ms&P^zx2=f$m8+I9SdCs-r%W;M7^6uIHHda^84( zH}W(mgPuU(_eW@G)*pgoI&+6rbS9aeLSBxswNt@C=e+#c4bzi_bE;K#ee4ImPiGI&@9Nr~-t)+k%A1r8jcdzk9 z0Pmz9%u@MNk@ak6f9|iI9J~=tJlH7qeH0`(tmaTXSJ|(`)surKI@WI~kr48OrBj`!m}0^mu>jw4PgCj+;ND{b31hMJI!v zTV0NuKcihwk1wwzFUQTF(XOY*mpOP%>bcb}qYxJhI!rEDoTPr9%bwt4Tv$S_xE>o@M1&5~3 z%k@yx=Vd)KeO}gswtt&GZ(k*?@2P3ez3KC^&YC_ix8>$#U%Hn*dVl(QHU{0_-Xe4O z{{jF20RR7Z0c=z~XcSQpo|hQSCAs{^ocX_)KO|rbhm9!N?7q`y_K$VX5Ja9}Ar_L@ zD5lXuurp#21sk!k^8zUZZ2|$cRYAlw5->Iv3TYGzXWriK?XFpveY7FoK4$=KG~7PIaHkE03@pvoPIFHR z%QXoJh=c*i;kz&+Y}(195Y!MJ*L}yMJ@xE|9J>0ux&48DdrU42 zlJH~*SwJm79SYWFgMnid&(h8~N-Rj)N>x_sXG-=Qp;giLsj#1NQ@<(Cupw!dvcRwa zcNk>0oV#Q?j1r3!r6UXJAjC4S^4WUXew}RdN!vYH5>7qCs;T8&;}89&%90Mt4nr%V zzTJFF{Gbt~(p#)F8&OKvd-aHv1gt6*Yt{rqxVwB|^%(V_w}Q|Ybkni95K=ei-3f#=stW#Gn|>H?T-C_bxoOH`V8ya9gEk+3JkLzxI)FkX(rDeWW>pl{01pt; zc6os};Ck>syl?_st*X1e(*G+)DAfX9Qxh>oqueqDKu*PsQTKTwA33oAg0?x-+Cu@%ms1zxtQ8?L5+>7EwHR+Qr6rf*auy4Ur7S>y3;? z(TJj?jGU4moPeGLlCDFD6n5M-I}`!O&}CR9;(@@(U8m|^=~j7n0#P)QLU+WEwM0&i zqPnG`wuJ6E0iEs$(1A*teq@h@zc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HYa3jhEB0040(0RR91 z003(S00000005RM0RR91004La?7ato6h+WBJbN6+k(1;oAl&V7(??Py3y26JIS7a# z3J8*vEP4wnl0ifSl#GCgNH8FRlCuJ$gt}^8Nc7}_uQxCOe%{GUse2-rB68b|I*)kXr4Sg{}-kn3s$8}sdMOjn9RK& z;EHP_W$f)zFjFKKg4rha7tIl7N`m-5j6iT<{`IIeHQg>vo zvN=-9M#$7`g(?$ip%tbL%1)K4^X3^}eIbi~ z|L?Td3$7h!lan)O$c6Im|GfaR_HF;Y z-Sl_xxrTB7Z^r$ouoQ~Gsg*6s z(x6dL^Y4SY(_pAzm5@v&eGRJhUljcpw*CvPSj9Y|tx0=K3wa*Y*-PrEVo60qc6Nv+ zhU&c#%?wpM1UG{9V7o`}?0*5^fk($03(%BDPzq&FS&q&GEqdOn6&u;!JtL|MbrL8(Rsm}77W`o zkKU{s!D$nU3tv9E5&xpsTK%_RJLA!9ZS&{`{0juyKDyS(NAEFn(siYR5^?sUBhd2E zZT0$gcBcKYsW`#)=R;Qnk~hi(NP+*ICuSynUh5J z0b5I^42p#qrOAth@Kec)h3N0(#U4@HBNltaCL}?~u_(z|01{%(cLeAIiV*{X#a79S zr8Q4DpHozN`_Z(8fEVgauK@dwI+d9pf{u|8FbC5b`Dk{QFza+ar)YJO6QPUEce2b6 zL7P%wqAHSEZ`{kTNn+BiOJ1|_cjizIZ_D=nc$C;mLn-|}C2G-jEqwx>6W{A<EsJ-ZT#I`kRv*EJ*BBgK%x34s1bksmcyI4L^0`b5ZwS!L30pTY zM|bgWito=H`EQDU@K&i%#WjE$m2+)UMQ03-iY}_Le!O%-^goY|$iHmjcVA}@Wpgk9 zeQh2KRb1Pxn>hBDS;YGgBXrZoJ^5brokwq4IggCU2TY)7tox z^wIYoO>422w?#)hSgWQDI`^alf@EgcQ=i0Z1cL$5Sm}JbO5(5J&kdqWR8^zv@|}ocf${J+&5K53Wstsnm7Zzu9&koi%0c*_ zV~MuUfBxH&_?!7nzUXu*?Mn<|->sDPr4HgG{&V&v2Zgm6h`>qw4;&Ll3nbD1*Y~L& zggRx&f-*)Fl(SU0>MT5|s*mWe7u&815H-T;LA||*gr{an!qxU>CW=*X+ zx@a=5X7tj^+EY0Z{OKT`pk_*~X-F%!HsJg8pVmCQ#5>W;Q)<2wMDw#LHN(dQvv*64 zsnP3GYP}akYqgYGGm}=`4cZqjDw{U?QUw=*KqPdPG$t<^S1&p``E7QpCsGv6ur~Zr zi~dm|YjnCK9jd>i(E5Ah<57=jK(@Bmqi#~SXkG5VLA3(wl!sH)Xcf~2hmz3rPl00_zDh$S4_dzi$VDMFE{|cQk?%Nbb;2qDY*g;Bp)z8 zWeba@I}C#yDT<<5e?GbawSwqOMnb*CG~~6S-j2(Ha@JIWY4%J;g=-mi+XId$d9&t? zh=|VPgim*i24I5|N?sJ}*AV-#$%{5>9ub{nPtdW>(b}QT&64;_NR!?>X|dZRr=;5j z+g4D}oO}T<+Fh+x)jflY+7M8~#FVZ=(lqu4sdHk3Sy$kgJcnXpg%mqN$&Q zG0k-N+C5j=(lFHWH#~^_Is$K&d2V$5;Co0eSZbSmczV_8*=QLY$jeKeo_t=@_W$|X zliuIvxzlz)1k<+eQH_1h$%#?tYpVi9%xc|k(pX;I1xM(Y*m5v6rfHZ@`gFx_kVF-F|zdfDxnqPKd{kb3O?V zKX{gAS90U20xjP=__|$xei~T}-ckLU1wxjU1z*)#A@1}!yYZB8SqwiXQJ3~)Mb=fn zb=v@_$x~tWS4$%7@bed<7ka~d_sMVj?%tE*{jQ&WkfL@p?ru6|XKETIH8%PyF&?^n zsnbY*O0{bHCXBvr?|)y}huJ5+v?o!{1z9bNqF`yn?iE+;%lTAm5$^sYF;w=Q^;`dt zeQ42pZ=bi@txGK{#bw7#>NK*f{P?QAr#qcm{BSvkEQV?Bn$)HypyPfEbb!Q>b+_$P zCo{-mxYePsp{=llPZs&jnmluDI~Q4 zJ9IQ zOY|22!fb8!*(dFu%@vu@+AKy-M5)$7@vlqI*mqW|Vp!>1mf8?QSk`_&{nkv0o%5fx zJM>V+(o>fDwVLV)uVv*lu*=ZoQ0$DC_QZDR_gnN-!tNqz)MVe_r|wl`uYPlfT{_{M z#I7b`hel}ZsCx54*}25qu{&Riu#0~6ws+N7j}O)j3k)AJ!MsrR*q@29hZaZL*0=q= z7id(fhM+O}khEBn>#}`qwi>(PJ@3aSQ00A7hs%zm0;nA2Gb}w|r*EzLw-KFzH_%X1 z57AeLF^wL(9Q%6eTd~&9J-uT-@yH>rZM^BX^wPS=shf8H1?eOe-g@&sxgfV<@>bXi zKo!m2x^K^KrWl=pzN;aQ9LtKctlUP$xvX2UzrA!n_UfFS(ZdODbfBi{TEC@tOItm4 z)b7#no`ky(!A*|Bfx8Muma4c_vw!RsC6&_v9qt%ZCE|k)Fwh($B@_OPo=Fu`E6J&; zF>>B--Ox@g-yM6WU$yuZsu<1j1)$CY7p*Gp(CnODW{>I-kYD|HPlg5iu zquLo-?+$`XqjuQU&YY3G^17o@dHhOAHD*3@I=0xLW3jMt5NV_-hHO-=Ugv5Yf8(0H zVPS+MSUhQ@3Cn@|X{8Zk0v%{}VpyEV`-RhT)rlKjtxw*$VUOIWXwjau(s*~Yma{DE z2GAL+&#dcqnaOHqq6%pA$qN$Kpn>uyBH?6PDh4i2zqyWhgr zneOi*Ej)J9GU@FXf9&fWzS5%U5(C9NWG$^HpR<>=QN44m>-~6eM~~^i(84L*a{Rk< zMrOPF(ERqt@gIAmo(S{74QL8q_ft{V2wikV>yN#=-%w2hPu;_@xBq*Y?OoA1>@BN2 zUVDQ2nPA|eM4)t%Z5~~`ZP=XXHs9Wtpe1!%j^?yG3)s1zdcq#HB{6y#L6CA6D~V=Z zeE!Dt-ov#$7UCV{vbEJIG)MmNLUifiMwql2f1!;1<$-c`rH$#lf6&j~D1xE?v{wA< zXm*NbSQ%ZNG~?IEA)5Ebm$zcYhpFwTxt+Z~{|GI9f3l9&oQUe5+HO)Uv+aMbmp8;6 zKE=ZU@Z)eLqEbg|x)QfyS0&z(MRC(v($=G}Q`FQ7`<1mypGqawPt87U z&nRQbK|c6!Vmx`r{W?QJW+^5!GzE0?DR{#L(*4Lc2>E}Fg0 zXzw1PK7M7LjQS6&ZPwJxcITe??I*vV?TrmSBy?@MC~6Ba(6GOgygmB+tfkrQs{``c z-+npG8$~sN93lEe7Y+NTU36VyCWc4SzVSS$rUI36+mm`dVb>Wo#rqwN0c=o(7z4U! z%f3BBA*h>gGT^6iL7j&#`Y(8;$H2>LZbdz{L~W%dYicm90|U4EglN{Q1ruUxj!u-s zexCXysYW^xoY=HM<5#N{6w!x6H?vvIC>Mq$J>;3c*IuymA65awoFj?x(5NS4qN83c zb#8juzMo%BNpSxvu2AEO0$J){r{X8h-HZMDJC9wsu;ndTBFqQs=qP~J5VwpWp#z56 z9iDpF4TFsj-iy67*<(KyXL&P`0U?HT&1DztI$Kq9dhSUYpCyY{bR>}Y>DlO!QDHt> zEd`5K${w}Em%Xz}C()5KDu7a~Ci7*p#EXlv$YKCUJ#Rt*PO%yQ!k0t7F!Af$?6Me6 z=m&5hkNTbdm&JPBx5TC;YN_KELm?S1>te4KzGqjesp4BV+Ee@~?k;sAy6>~YX|)UK z7fD?>qKLp0M&TxXk(I9L_ov-@*acY(MHR$Kn@XKN?%gqqU3Pmu`$Vnr-j%eJfZH1u zh@v(nb$hyKo3V?ce|^U9$KyLf%o_`@#MrH8m$3^ryc+#f3lBy6Azgtk`qt>4-k)Fh z%jqzgGew)=&UDdlYfp?`U0wOzhZwLh>yl{f|Lccn01b6Wpt`rcYOffYR(9gd7(Y=W z(f?jxgMs>5-;!V!gPa-0(Sk*HV(wix?FM<&S|96}Ap0b`DK)-38=KYoJ9b9If#_-n zE#GzWl!F7XANA9AiCPo>IMRo8j_- z=;?_owW~-%{Eh z(qcVG2i>;3lWCBm#oC!1Q!Um_>Gw{4`}vAR?AM+g<89pmlu)}JuwNZ0X-zG4!JfHI zd5HA1X3a; z8eb<5q1H3XLzH);`XX~K+V7208xHV^%7rS+Xcvh#u%vxvF)9@-_=il|GP~`Gk6n>{ zJdI|eFe4`06b^ZgyX8GRPtuzM~}FInA*nvs)g(K`uG zy3J_a_#fM!K^1#IGt6QknxQ-HkEQAC5>XL0?m_rk!tC}j?VXqx6ZQ5$w{LrTzob5f ziV(vdAmJz({d&xkvGudI* z3AY@5a*FEhaTHy1NNJ5tqFag&jVdK}-f1LZZx)^ypO$FQDX_4o-G#J)HDTBFQQ}6C z=VdYAshXnPGJQsW!;EG*Y;mkpJy{G}1o+dgp15M}c=V~5P0SjWP2^vHDjM^&S_!Mr zHL&9Wm2jaW?q(N1{*+zpZ?!%8lco2`-AVT)b*sc_^~FE3ikd%V5yMaY9NmugB$b6m zNoD87DzV~+Lv^K{>r49M5h1>pvem=emk@1wKPQV}zYKr6YHAKqRJMBimb_wOIaLh% zpqSots}H+7gVoDCA!;wHCAC2g@qR!9Hm@>b=vG=(S+;*0oG|M79?Io1?^M4Cd8Hzvhr-Z z6XUVvtar?5zejU$vA4ab8K#P%U)2+_pg?ZtlPj0aD!a9vxb((w2bdu9-oq9;GshGZ z-K!TA3%-2A`*QIxAMJuUdsD_l*S=FZQ9P!ltZCN1q?=*|u)CV{zMZ&M>+KvT&f05V zO68qIT4}f4*{2}iZr)|{N!$i|=Gk+0h3-SW=j3FPVzH*XWAE9+c8fjt?brJD_Wn+= zsAYjdMWqszg+D(fhUaQ0ZC%j)zGS@KS24zU?vdq1pRH|WF<`8Cp!86;0ApQoq2mkf z!pC>pce47UM^bZebw~5ywBMqsQdZoudm*v%Tw|%R7lDMUIohw&PP^VY{3x-YD3V_J zA;{^6fT8NZ;LsI!YPHR77=F+0SMek7kWn7mvh@3h-Br7CG`W~Krka*ttcuAmu)dF` z^*z}iYJFe+vqIw3=0dV41`1lA^l)0f%ARW9 zO_G)8xsec$a}5KVqJ)OtN;iw4JA#ZYNM3%nzosYl-P_wR(@7 zEYuj9#U238=4yRKWILtp0mbUA>Mc60RZu?w!xx6UA~zWhWQ zu$nN$rjMQqq=Q#m)Ml{mnpkGB2efumh907EHKpwV#nx`25UBLaXQ%vEhyoE~TnL$6siRhau1XX?SS{GP(;syu|h~$5>@;okT z)hi^1jp^teN^3KUE1ZxiS*)43ZnNE{!ezVbkhi^ygRjjHz9+BYLEpEPJY|1-EkQ1F zn+^2fE()umYdd{%@E>|0vv_P$PSJ4UmFVV${eJA`%}LT>PSG0eR@tv~JZncC*%Q5z zmW4?a$}djQ!)rF#-(0+EcRpiz)r}v?3#Yi(>hDwg?T<@bwi~s~=EW@dfH3B}v-|8* zbo)yJ?LZ=iO0GLFul{tUtf=0gsqE!9X<-hHdRij_n>U4~D_Bx&UlAkH`A$XC1yvt( z>dS%T$@S&)qOTSg<*wG08t>C~4*Z7RAj5J2uVYOM zi`Jr!)JCn48!zheB6>T?Rzxvc9TxaLi#U;LfAlM4A9hrSC)4x zP!{ei1hkrvC7=359O<-G{P|AalIgpK`5^t--L*yWFCF^s!a-9Ci`zMR%hvx9hTid5 z9h1%tx=CNZyw1+FzmVv;;9T^Tm@pq=QSDG^xYDBDY0||lEA1W2@`=Z36MY7@E>e&~ zHt9sIvLf=;ZnE-k3#%J22Bpp^n?&wBEUt)1LjB)9RaKN~t|AFHxI_-R!U-;~l&T`~M5RqPG#n>5$bGfSKZ z_oQ0OJxs-}l^I3VjYY)hXS+*Zm4x#!Q}tfIVYVp0O?i_U$(Dl!)tdQXHKo~|Lre>! zz+i8@G*+#n)qQx$Hf7tr^tjmeo0@vMQD6WbOE{%L(kMMTp1D_8v=j|xF1pSs;e2pL z$L`7{#gxa?6ppAR<>3_Fo9S`Uvz{^_gjPtmK6oxR{})?r_=Zgv6XH>QO}}#wc6eYQ zP3?0ow*056SP*S`gqYeMP%KNXCq<@#DkdvWpo2RZBS10vS!>&zv$VM1M#ZyOyysLM ziBeWs%OYQbsiLDQ%c^=teTC5LG9+Q|-)!IPq(nVX`+K zP-%w7LS#r7DvO1vGX`eDiKRr#fge81&Ixl%iTYPnu@H6w0JGy(Q^a?SY`cC3C3RYJm+Gcd3Kwm zX^_|z6~hX%86o0U6f-MTZNypU(EOW!ysEIKE1&uud2)1U#)x~8rbF?+Bo zh9V)ZfG!#Tj3_u%6${}kVZo5I&xi~?)U<$>n%auFmo`6`TwR>Hql#ggG>cIPXx=^i z%*IS&?xwt=)XnYDlVm8H;J8>?Rbbl`70)U8tQ{V{Ktk*(az7rUV^HcGa!Rd^XS~%F%dc zRhTauZ5{5rd%h^NuJBtl+>?ZWr+e+O`{eM;^~cxL%c^oSmECf-e~g%vO>IMO{U;$F zgQ9p~QAZ9M*IOa;RRrq*YN{SC4-k2RJc&oR}@*Mc*M)V$xEx zQ4}V)hf}m~iP>V~pM%B0r^m)DqOc61YSP0g`uyf;cE8(W?D02Gdb9Uad-F*j{+XmB zpZWS5yWG1|WpVV5+L| zeIZ9p5jF3j;q=fhHN^gJ9vV(RR`MCK+^@Xw0|MX=idPd87O7%{MX2Dsa_=f4GVGz+ z=9GUyjPv9u#YKtAF#go=~Nvt`>rbX zfF`7yQ(M&7rHVZOkiAbnFD|E2o%H~1=j=M7cxP4Y0owYVsV9o9asg563q>T_?bU!B zez%_3Ydv%~ztvxLMW44-r4ImPS;e}d<+z77Ay-0e@lSxg4`@QKo6m^_;~xs|sbSBF z3U53V-b()*kA1qJif`tVvp7Nwxqe6afkW=kV@BjV5ql|I6+`trB9fGc;Zvu zx|Lqh`W)bqxqz`OpFB!i{-E_iLSHrN2<$!_{PrP?y2! zn!k26D~CO6M;2Wu0U1%pq8}Ha8ERXHrWV>El1(s+DKg5_8a^Ggn8j3qB*nDj;$i{d z{(As9Bwn<8wwo#YR6V~Iy{Zlx2xkI)Y8F%DDM@F6Avl2$q3hoTMB*qRaL-lCBOYHG z8c^|pAZaCj@#bz*)hnAp6#lt@SU4=N7cNIF3Iesue;lo8yL>A~?D<6ae`y~xx0T=j z1ZAt$gD&nA9r)xt@nf0cqD97I(dAm;PO0RY|3kswfGJ%>+}sXgN6lims)0?=nhm$awBvVw%#s152E8nx9{c503)lP)#cBnF}E6`bH zF(L?ce3H)6p|V-*0bOqvdqAIZF+fj;9t$QQ=I@tPDQAwDoTZ;#{yQ~Jr&7$P0)#*z zc|VK<-7FSjDuIkyO!>tmT~AR*3T^luZZd}GUmcs;9zZ0s*dyBZ03!Vdv>}#D)&w2K zo7zG&0o>QVc*ux4qI88cVq?Xk-UA0bzEl*S#ozT53-reYV;a^)FD~pF{S2*0F=wb@ z6ew(^xJ=ppwk>vkpI=O_P{zALzHv?8Q|gES7#K6`<0|6Q<*}l3@0nh2XLW00(#=N+ z;k>FJ32)0dIU}{98{BfyjHGXppu2?d_#mj>)axVh=_XYSD45IL!1W#zg>&1pid@U4 z*^_f-_s*vq)Q^zJ;J}ZT54-nZj~qNo9Nb$*R^F0sd8eS4u4t;?l$LR~U{2m1C9dBo zD~mlK>Ru^E(_OyhWU&x7BI4IQg?~RT)6%=V_GUx3mNhMnC-TDjm}agFCs z6H|+fsjkS&zG!OFo}v>edrOPtjVk2DAPEr^>`ec$cyh5qp*dYChV6RKoJND*zbG(z zjJW@c>H-A+>R0Hfm>jlzT!HMVwf#nm73*yWEOT$wxMBTT;|`*2Ew3!59+056IG~N9 zPbw+34es!^ICY_vEQTL;sC)Kg7Tw~FTlnPX{D*spal3^qhEL-$3(JSqn$Mc|`QP@3-7-lW3Rks!^6DB5Bg-m9f1bDUZ<5k@e){P1fu?SR z^1Ap^x7=uZbe|UTNf}M)hsM%!x=`e^PGBvc?Z#ERR=1K;d0uVWDM(L1qD{lo){m2E z@}~@KJS5!~$>}Y}?0;G*)8J#}O~si=ttFE;2LA12=h4ciP_}2Bsk|&boBeOy?q^7i zpRPXoJXJHEvx|<-CQWmq>D5q<+gttlx}9;dpAJshu<@EFlYS@sG z>BPCExutR}SLA`sU;kUcrt&XW?M(ZM%WTK;u@D?>T8`(wAJMSTE$BY*%4a1sJ!n^p z%`T#dv-_T?WaHr=c#wcZO-4`iVA{~bhwbZIQpvhMCLuY) z9Dv?d_bD+CJTYH(C_R6`XSG728-@q!I~`gIrtL$Q)Je6>?wRv%N#wNJGc80;BPiBf z!!!1O)Q@5NgtGm_RLgq)`Y5|&#|H8tBqJu^3?1;`)`v)zR(MlXA2&(@91R<628kGL*yrIE+37XE63#$fTk*IA&nI&nuh)n4w$zSw@X=$lsEwVS&_|u*clU6)VcPe$K9#fMAa){@2 z&)7jr9Q~q#G_4CYOkU@gzPB!Xi*6WRTY!d1So%Xya^lHVSM0T4DxaDGXK~CY<(}VA z!-!28vxb>$vZQL+NHzl+j!(l7d?pRu`rfZ3CWe$ z4%ycA0@Cu_dLDZVdV3(zmZM9qr}QmlOKq~hZID(4stSlN+H!^Bn$sylz-y>JtyW2_)X}=Xj({C+*J{Lz1?Ce4g;- zo9%;B)elZHYk&ST1g}uy1SWXhf@9h^7)_B8ncwZ4{oWnrG%?M|t?zD-eiO-exz0P; zkz~2LuLF3>LtBm)G&nO6am{DFgye9WMRxv0GvqUA@RS=#2U&xpL&>_k?S0pkPj$Kk zfFzwu&?T33m(as;0>Qz)$2Zy6imGS2q}QVakEBx4+B7^R^8*d)G9W^(C*JBbVYuC+ zeOp^n6IgB0w*K=#`Pj;Fgf03qvin2o+L-}in9kg%37<*fz z_6?-Jfb_oBKKt!5FG|$j(WsHj^;us?`%ZXS;GD-iq`n455= zLe@P3)s75VcW+eND`eeMP;KXs((O_0gpkssAUHat^xF`8E2MO9)J>C_&&1SnFdu=s zKML9IF{qX%=n&F9QEiWqb@!!eJ>x=352I@R-9kzyG1&RySnixj@&PZIh$u#Pp>MbLyLWsO~%nci<;@T&xk02AG&@@ zcQ?!Xnu+3m(802%x5**8)q7RC+$`j%Phc4A1)k4@Y}g!FH7aD6v?M|Q+sV5GA(dW)g6>E zvz@vpqS{Fz>+XbVJBF-#4C*Fsgs{9P>h7AnOPsnVquS3y*4+Wsz8(fS@##Hc1p;)UqiL+L)QHns{K4<-SmVgvOHwnQ&H`-kafR`YTJdZdlIUpRcwg< z?ucqXr6hQNnCS=R2nEi*&x1XBvfqd#*f4V_f#w|xF+p`nOn>FYyzF4|N z4kEe!#mUBK({;eIi404&n}UD8e{anOqR}r(nov~PO;M&QlD4br zi#e-QHj3k%h21&pMflsaKD2xPj;DYkB$F8KJc#}p(dj}3Pk<>IY8~oR7+Gi zuU3+${tB>V7uDpUeu=lVG@k#rR9?`atTxaKYpim&zP1n7St@_~{~9TG18|ugSMj8M zqkOTXY}t)!zeJLI?=kyyA+G6%1vkaXYD7X(JUpC_PH4zIQONP0ded&)TVoOeyJ%WBdA)V%av^xSLNu!2`RSwea zt_Sf&U`LPK>BOtmYA5A6?J%pCxLS7YND+4BS(*K<9%EQp_&1}BR*uJ89@1k>((EKv z;J*>!vm>s)FCR$JTl4%y;7L!CkGH&q&fCj96{T{#JX+$u0`tTSm~S3Y_$y2Wj?-+AtWd>+OrJ^X({o5I2<=`&-nICJ-F z`E#kkWSVq6&!J8C6Db*4yQPTBzSuD>pkDtobzo~z`wx{Jgo;ZVy(GRUqq5OYS}gGI zce!2`+iEP4%AMiwmZ|bdYf+?@$_^qO*}SzV{ai@tSFbl0%hRj6X}(v-W&pMjiFx3q zMiNwtu>BTY2y&-)yz@xt5Sq4ed_vSWa~ZqhUvd3;mD^2xXbyYys*UR#$spYk4FQQ>GF!}4JUSiH`6XdDAC%NIsYE7Y#Q-})+36Cs zZ?$Q8Q0;dZ3mP@NL#nJsP1kH$S4)hsJDhGLp9)*sK@HVjh5?uAb`ld0Pn4)RrqMGt zzkqK_ATvi&zY#|o}}w;3cWNM-5$fMistINDY*9gu_t4V z&ok2QSD?PE`@XIl7J6ut+OpnV*+ev-oviK%%J9DfDt5Zs-!3>_)%}I8J2Nc50!Eqb zo}=rAT6LK1PSADhOw0Ng?cRGg5d7~1sQZza!9VsDWjH5;1Bw6m7HjP~S2s&CtciGRS9dG@41gXME~5i3fcdK%JlL-)*(zM@{(T-jq%2#som z1m8SCvt_yUq{1Inp|2QwVUCoRo6nA5OMH51Zy!;7r^fP2_I+_8US-4O z%Ebr#hUY3J*12cj7mWukkjfKr0>?!9;Fpc>+HtU06{)hD(!xVJ&1|qO9Eol>9_xEp z52A|b>J3Pq9z8-tHvLgPC& zR=D?kJ8tR+^3le4N|j`b%q|P_zbV~YY(JB%L!UsKV1++uwzN+s5tn<3gS(Zq)1mtT z7_vQ8Rt*;CepT7%P-nSlZH8$JpByaO-f(}rAa{aO(ai2C4+EC%8zhc@ud*G~q*b!+ z_KAbU;@?zu3+hlAuO(pO-v|SAyV0SCG>#RacOIO_-8v&gi}F9pr}p62+H#RASBWy@ zJ(?<3{G2CQhsrjsf%2nmV#JWhWF0C^tBe}f?TQx9MW~yD->1`(jCk%TerJ~Sz{}`l zhhn^XK7(@YTkx*Bmvx8ACQH%6bH1`WjTtAOAl*k>{u}U=**BZ_5I>)omvn@>bv`7! zV7?xr=`JPhbf_!+_E4WFyHaIGx~KK0HqXb4YTtjJbO`E9x~bs!yJB#-`hm<=3I>y1 z!qN@H^RCt7)k%~#EeTH~dEXvprx`j_K2m6%o}f!$7*s-XZC^X_{pzpeub=U==49*8 z*=xhZ2VJX6)0`3NnpSvmxERntWjkDRvpZEvC7QjZ!grtUitOm>?~s@W-UBE*^dkE5 z1+zo(n!q-?LtU9Oxt7>vPk$yK)ZLdXcUQ5pOph-+i#o6FjglZ8 zIyrS`adP4p5|$txI;?0v@y7j-9a{dwKBCJzP* zU3J|np?m=n-EIWIrD-oS*`b4AJf?kZ;Mwa&k?g6<*%RxQkrCHkZCZV_iEbkES)W=j ziJp~u$S!e~4iYt)3@tst-jqv4TqCtyoRM2luHXtSPGm87&RZ#%~2*O zEV7goH>17pbloz09#m*p@TSWjb=`H)re~2{Xg1VtFsYGj_aWWxA7Jz=q{EXZUq4Z` zP1mIDZVf>hoh<7%z%DydwL4zdeF0p<_Mp=xpyEVb_cAD_=f%>6DkH)!tlKRumq}16 zy4fZbf75mU4%6t4$fQ)9r|T|_YALo!N=0WFREIR(GT{pzVgCZ@Z;+Un$0h`#TxnW3 z>ef*%UhH|AqFmRX(_$~)U%T}gJ25P)1QmXb;4+Vnv)pXNii#v=3FmVjx(FqQzdX~C zEO&+=UICheoQ8W$BFp`g-XCsH?$yXHOn2tIr|-;>Wzr&eSwGw(mTs;hP3uxEFwMP+ zDXaxq;*bfqL*&%T8$(=CF>pHso-7+r%4NH|BD*1yxm~@Y%_S9i^d#ld^25Nh9umz| zyk@kZRt`C96B;(9$8h^Z=SK2j|9FbObh&?^vu44xrui)KO{>b%@^t#noUh;ze?_9Z z1TQANNnIi*st+;w?ncre{GweaLA{M?1I>cTW&?f65;rt#I2Qo*Cs-xz(>FBWtpSc{ zxJgVMd)HjFJ&n~p)A_V%vFMGhP@zr3+e{CTX)>Iv49p|Zu!Ig_;@z#e9JWZEbe9!{ z&`45eakF8K3`F0B-dn&XdA5{(A9be%=H2FcM1$*U4?8X8$*G3s9G~?*3@94uX5*$n z8TFh7p0v5>-q3)TtDe%JPKH&ppuBFYRrVjBTGDdoUP)e?D}T$Yg?WM(HdOn&%58>3Ga zeeboh_WrKl$PShFrQz&9SfTs|PMF#GMg&Yd45wLw%6YVDcu`7qZQ5!G(tTXC<@MBB zd&XZYSBpSH#P- zYs_#&^@ph|;_ZrW*SGP0mX~n99*$0E(_SbqQ**z)z4i_HXwcUP78#gK1S0f1i+KNI zlQN5Jk*y>w@>&F>+e5k+lE*G)7BjD_hrK#;i7fXzmGdk(l3C1Oq_UUl`_IQgc?l@j zGcexmjT=y1)KH66)LL#oH|aY`hc@(c1ljIAFj{8ocH?#5)pffsz**DO;Pbq(_Z@rc zZ*^_FMW&8!cV)088|r>D-v#?pnLGl|ImHYt!?|zJrX@(OoV{(2c&n6bw{w4LV^~ht zRNR|D@!oJJ@>t`T_VH&2?M3?(&jW~CRuxn$EjP2n<(VW&*a}y=5>XM(&RPrQ0>jii z@P=_o#mZ2=6T?))f>?3JMW=QxrDH`rNC7jjY`4y|tf<_6d-7lEh6W6SG{`EAYD*=r z8}A~Qb-zoHojMz6~dRJ#&hc1?w#yD?E`a zSvt-)eswmI?%5qMRMY}gL;-9|E_j?b+ZWGw73)%6atMr}D>vyS`ElUe>mi&OTx81-WYINE@f`k$?xPCMC@`=IPaG`?ls z8PV?VVY#jwcX2y)Uq`#?cNXzhg(Y+CX^(G}V|DYS06%hbVnXB@3fkU9M9AR?Rg1_c{uO1 zzk#~tevp}s_t?vJ6Wn-(I3**0{>;wslZre(q@Nhb;wK1C&lPlu&c=J2Uevp>K-*9E zn9yb7Ei*;;cixfDdM-`(NJ?pW;V&E?=Xcp5)|UH5K5ecls>G2GNlxfE8+%05D7#)h zgytSD^~eLTpzl1@HqH=n2S&@spX|L=R3yz7HQ2bjySux)ySp{+?ljuCH*^;+jWy7? zySuw<;ZV3c!}s0$&zkpHYhLC))I*#&xnt+f6IoHKGItP8dybKNk8lR{F6)`;4TN0d zJdvCbUCw`12>h-6Vuz#lD2^rvV^{L`g?Lp`q_rr%P}4(ZmI=_2%*;T!<~==nMerV* zEH~OL%I|V@=(SOEF}BsyG^bH+VCL|FpWhQ*?iS-%E^rH>d&iyJi02kVY;p$Z3*r`f zQ8y05hb@yPo0)y|OMNv%vNb{jUumC0{%wC`VyDfcYZL}4emZnm%a!V5m;9tm6FjkL zq>=}F{q#6CC03avkdY*hRorCs3cQ-+2cyc8W;-8iy2%<+_X%lq(4W#rkTu|}dY>QC zn_^}2Zz*r5isjVoQV56mbnM=gqJ96L5|up;JpQ9AA%yQdN%cJ|AN38F#nF>F(-y!}s$gsl)p%lieS&qerP(ktvk7xrN$r1bQpcl zsdNdBIsJaCM(O^SYPn`;!GN2MH<`$)QJh>3Y?9hLA1xgjStYcM$F#JabNrA_+H&=K z-|gCpPuat)shN&$SE10K2Hi!glbYhZ9} z_#WljzHwzf8GWn9S1x~bR}KN$pv)EJjlStUrb}b1#<{$JnLQd{$GC&cYQ@$#@{Y#D zX=K`BcHnl_?OOwOj(*k0I(@bZKf(t;%?3)=M0K;nSN1Dfw&iY*ul%e;jKt7_h(5TN ztlOxogixngD-0R_+W^WcN+e-cqBLYhm>f#qWKL2w)1$BJv-OFLtuyv6tz5jb&1Y--{h@PqUUK2y>I1a{l?e*Qqo~cu71&ijK zQWLAJBNELY+u*{zxfwID!D2~1eug!wGGCnV#<)(V$=75CWNV8yQFMEBJ7JNE za@v-vh#vtVbtZWkBeKX{#>VZh@9wqCd%E$eN*I)h`*@7R0dn4Op>%vU%pmDOrYQ&9!#e-WB+pTwL#RN7EVBj~Y35V!pDvFA@Fei@75;n2bnm_a9` zQk@W$7Zho~46#`|(4eDY`(-7aKm7_G+JBxpBu8hPN2t{CW8o~&*40jei>w1(Sy75B zGVguhs5akD(o;-%kmXGe3uS@ojShZiSRyFZbXb;tmrgc+l%C%`W^y{XzPu@klJ9zk zhpyqilZ-SLH5V|yWuv22uMm5%pdS8}?8&)_S>}YdP?>Zll0xf?`cu+TUlKVBurBIR zINO|0oq$YKm@8^t4mJy(9)JSw8!DePb*snqT|UX2QiNFZgcEWS5-s z!zNb_wYV$ccSeC2$d7OV6WK@y^W>T44JH3R0%PQ4m7u<|wd9ZMbZe&!*A``!g4;Ve zBr_CX6gk8}tO#HHx2~4>;-x`DxdB{T85#sFKO8oDs~3hc;s2ml?YAzLn&PY?l6m<;@?^Dbt?`XS*i4tUMdA)2vO_HvFZnB%|}D-0Ff> zNJYdMLOwYrsc0$LnD`G_!*mh7(*l;+QEyco51;1&$^LAgj%NGUw&`&3KSA;rj zZy+F_U2Zoz>ZRx>*}jz{YU%EE?zi3nRjU!N2YmwKhr?zXLqtn#Jz&$OAU@g>xfa|K zA`fV`JgS+hMZO=4JaccQ^HxkbG3P8hB_?ak3y!?#q)KI`u%^3u=1!mmugrQ>Qd8BQqu=@O0OdWHuT zm1<@1>o4acmzsuQ51f*7o7qfRd_z2DZ5l<4sKkBI3{eun0wt&yuJ(s7nvfZWkC`5> zB24ghgZG)XyH4KlF@v>a$2(@)P=J%mfYoN|9yWh!o#*Ds0ENLMtiP#-Ym>j^Nm7e^ z<-8f&^th;t<6i`+sn6j8PKfHckq)Zfas0{ct}I+}@bj)E_z0()b#iejos>TeNsWJ6 zfM80~Wp9(hg}*T3$3jXHP(pnBpg(w!chPY)5&cS(T;Z)9Td~5J%3US)8$CHxpg2}( zrLkFkmz0%wWL;h)wiK>uz1#`a2Se^>yHR-6G$juR1GZeuY~qwYCXT1JRs44hoo75g zBhe```lZE}wwqtrJ1r{oF%rM1G8ILKUOvFu$~*+g|8zO>c083FFi=WSpu|+E6uPb` zgrsh{L-`z>`N-}C3e6H#t3jrS5RkUzoY-GkUno`1Nu&TUM%uA&1|k>;28>I(ui%p@ z9veMZ5nYdOw8#mqUB(OQavE(?wT~3RY(l!Y{rGeeS)__`7h}HZ1NHom!V34=Ornvv zxZzzST1T;`7rvY&yk?HeHt6x|mfn8RZ^N+3vm&fF)D#s0qm$HH{B{-Df7d&RS&a(b zkawxN0|uN(9`r;`AO^XugVpT1NE(8v)8pvr))n6w>T!Ty8`PMvo%P=285N!LRw>{tylIy z!{9`(P=}MKB$@_HF8bgLFyP>FAe4h>Y#DBN#R7Hsj@?pd*;^oP5T1lW&c;;)R#U#5 z7!H!rV(oK$_09o+?kHh@|BD%Ray`R&Y;OaCY^@xkU0z0NlFwEKc_WYhc|MSykk_iw z=XjYO2Z_i2x%D{zXqUl=^G|+Pptuo2Vjx{7tO-TT@oZH-POgMeG>bpoCQ-fqGg3Ce zqmDuk8>&wV07=3g4D$p6EUy0&Jwh9UR`71bB)?~%`l}7%>nlr5kt97%Jk>N8n%v_> zP*kjepli5Xo#d|65xLor!Hq~dgY#w-pxv7MW@edJsHJ8=CsS z47lSUGW!Fu-;=HEnM}|mK2!g_ht@ig@+cBv_I-c!z?2S#<8hlL1%^j_xOPAmX*fFw z-y?pY2`)dnM6ETK&^}qMOClK8@(JhH1#RUNF?}6nfqWlg7)OrhnL#v(RToWNp}3v| zT|LO~9rD{~8y;kPg{E%Q_~mKnB6Oz}xpU*D8>2#Lfkg<3fb`<^?y=;b=%)cK{~ofp z8`0-&x>d4JS)sqd9tX)JGpxI;gMMuWwgZnUH?4ujF$WUi0pE(zLJQnu4fONI`Qb{z zKy%SIOgAI6QjH2$BNjcC9A0zp#4D8+hNm7!%|u?uIcMBUX!g%QFxR6B57)GBg-_8o zj@aE@7L(9wJ|9M8LX?ohgd$$_uiBZ)oWaH&yZDK=;&+DWI6P7MNaw8-`>@Xl-+tsw zNby8rXP-C2BNQ|&hu(@9cz2X+w^4M&f5)ka2h+c=JvN)EvxhoEdfu-E3Nrc=%dL`O z3|s~>6kddbjed9WpVgaH+wH%pCJ>O$x#G!;VY%qKK#r^^iJ+iIsZrg_@azxQ$q(81v@E2u)I=}+Akym!EyhO*v*Q6mjGDd*MoW?m%Zo17 z{r)QSm3K!=!J;y4A>13*f4Ys-Jch9%qPN4pw7TrGa|6ecg0kF)42Z-!_6$Oh0ZEoHcMd<)_z-Wi>XOA&OfxBkWzPl9&SqB|x$?PzE!K&N1ruGhx;$QX z!nm;7;VwTwRUP@JJpW6r)$!I2_i4ud;vIxOLjX&y(|Q(GBvr8{?UEm!Ty9t2in;6l zAX9=u+22OS^58xGfmMPaMkkex0oPfy-BU8yv9~%f6_Qv`u3ydi+)r~pcnf~0-^kHO zu8v-Tzzi@sPYZ|gT66%~Gv~WenyEyV?xPf8icwl${O88ACWgnznij{>!FS6^Wm8dz9E{JdJ zOK1pt&sR=dq%z)xX*}M zQ4g3G02-H2CjBcbejKz6;6I1D5L%k`*s$UgYT*-p)v*okasBl#fQ#nwe*lKm{s-_s z4Pv4Gr@{X;_~(Z5|4AKOAO=?OC+k1SGEtvm`O&0L3*6qYjw2DLXp zjBM=koZhcdNHuetazUo3?X3LQRx$~cAtIbdyRQ>2>L~yE9~)mvIz^=L6*2&_-1@P* z*Df6}-$iT88%2E}uLbkXSGjX~ad7MChB&LPJYH27$0S1#%POdWve)TZ$E>}cmBk~w z`QqUvQW}6RaKVZ0+kjV0$^{nfwB*W8fi!NjOIF$_`+saG)#p|uMX1tc0rLMbpcIK8 zF2GXGW1QmE0B*G!P5mhBBAs6D*og_?Gbxmnu->b|hp*Du3A#AD@oMudEfQa`s2`?S zD`67+n-nPyLO+rB=5Kfex*?;{HK>7CzdGk_$SiI&s$`FE>+@oR7bqbl9#J2LiQ*=2 zb&1%Tj2)xd%1s4uK5;Wrk}{PkZc%t;I!1G65cc-=6|#ck8W-8U4yi3&JYk#-R9T_V zSIL{QXwJdNb%|W83`AODs;IIkIK_o^yHK{KiY#_0Mm?27o?xnkv!I(@Ft?Hzg!<_% zQpLxLvv?bkP&r}x8e>u;T!2SYOnah6Q?KD|(6#)oMoms3A+8S+^dEE7;g!>u`vIex ztacbYh)3z{B};W*H9{RC?hA6H3~RSMLsF=QahN(H7s13v6w7Zz7nPeVXy@+?xc~mP zF+7h>>wCb4%Y!TTA6Lr0WVgPP@9O$MGIiROZ^R20GS{G4j{EEdQ*t4!pGJJBt(y{V zlR>lR(-eyHrI@%TXQeGUJwIlLd0HsZs>`26?*$9lIr%UJc8i2+&h8#tp-5ByZ;Pob zHY{ply+yDiJI`G70FPGUqhD^*3-EF=%5+d1_hR;UnF6P?aEGV$s}PL+E7Gcem|km4 zyL(k=$5i5K%iW`!%)L7?O%v0CojoW;Pb4S<=&dm7A}legF681;hr19F4%Jeur+@YC zcqMF_IqrYKA2MAhlRa+DS@aY_sYueS`KS@WFh^lZs8?7jaO0((%RR);5ZrO{y4qD) z8OV4BLl9F73b1*5-uLwS^A;{C(5sqpMMY+n9gb(uBNe@m^Z96XCFG!vtE_jv2n_8b z?MR;&s}oFp<%s7>C%1s12er>W=`##=oK!OSCb0S|Wip}y%JQ+nR_&avk9$Ez_H--d z0|EE@=Sym=@061X1F6!9MhO8zcNNRwTE~dU(SzE1Ca)A_B~KdIXn%?dFZI(KEQ>nK z^@L}#f9N1%TSrHx+$k5)UiFo+yak;a!L%<(F6IiVp|({*Vfk{aZf}$dG`$j`ZEA}bUmj7Yyh#a-M8=0ULk-!}N)H;4x><@w&7Ys`yxX@E+c7^C#Xz{-*p?_;vro=3rrOg$5 zrV$Y*j4x0pPp8+!%+>y$Sk&G^wV-k3zihAjaNe-cwCf(fj?iF*DQVIPhyy#*x?K#2 zM{L$%OA*K#mTBfd+5*p^twF5#^8xW1I6I&T&$S2v!37E_bJoK90i1#>z818G(HY$2 zf?lQ9_smfY9wt;~F(*l)8958-M6q`_nG5|MYr!@&xU4Au1mie5wD!oJ3$Q(Dmz|$P zea1HrNNAHYk9TxLbc)%x17+Uu4~Ab4J;LG*brH7+mvd_))n5E!B}4Cm-aFk+2$*_l z2e*5rZ{cjd;(hwP?X1Zc-(*I1(ApJ@=sC|8sYI}35qBzps;19~A#mN~3zfg8Yq&YaN6eeHG$Mab4|R8(3Fg(#&at-_9M8(I2dSpv z0qjBKX)7W+cBJE3KN+~dz>D|g6XttZ zImnk5ffm%f96Bc!>;;kg@>B^_Fwv24RD3ov6Q&^xM=bHxyYn*^47%3gsRBDUcY16~ z7SBlhWQ;OO`h~T#zDx{dSFF#_mPr(tk6Tsz9kmD3TlCgTi-|@Dj^rA!cA7=%BzJ~9 z4mDHNF0Lo1!s1b#$Z@TE{6SoTX+IF0MbM`y9DUe?qOxeRU1vYqS}26Qui|L)Nx&+~ zPO&w;&g)h8ZE^yn^k_suIS9;Hn{Jcpz_U}qvI35jc>eIJ4ma^;Sbz9Ih3HMk*;vyjkEvCW}rbMkiwS_Feat0*F;r=Qi>~z2#?`amC>VPqJ~tU-tGUX?SV&Aj7m4(xt^u zwSU=z%+gvYmllOtIX)x4tP<8de0L2b<5@~3wVmkQKSO_3&X{)%mAeW1uo9XG22#;@ zEQNeC@IUi?w+5`;!}lH@Gm_$WdC8O!BuEby_;ww;LJEa5W|+a!ka z)IS-}zFv}S^o|N!7ni|!s5?yZe&=p5>U%rPOiKW$wb=BQ-oZtB!|`MXnQ=0Qnw-T| zF~4ii&`JDj)`h4sWCN;zeFY7DYS6RZK1N2MbhO*xiPHAq?~$i9jtVB6z%$c8pZtDr?b`s;`r>_VsS`tZ6`R3Zmq!#A+Ut z+i9@kXcu6q=7j7iT0j)+_Y@-4%amhCC72DdO(8p{A2X}tye#ml^Wr{taEaw{2ERA8 zVw&mFBX;-)caZwndSI>zj)5dA8`>+w^P;|@k0FRp0O^#oY=5Dn*NNp&zN7P98>n-W z{gdWS&k|I9_I?<)ySA8?aHRCh9!!#_z?Cz#3?*(u{PHC8-8uB~mnBT0t8AI`Y8Eq- z_eliMbn2XFbDS*^uRIX{sr-^C;fa<}n7XKSB9ceTPQ<^$jdlpw*nI^4o9lT`z8Ux{ zyHBV&GmcIkR^{cD$W5_w2;uJ>QVyG1`hje|W~GCSFvvrpz_ z$dp&y34_@?3O#Q!|5X#9SL@T0#y@Nn%M-ck`#M->b??x50xw{d2;L-|MdCh9eWi1y z3Shf_dmg^U#dW*z+ECP|V5g0oNXCq`M5VYr7nu8tT)5TFPN z5IS|Mo!EYH#pvV>XmLfvoVcyeAF#8txbk4$J0@iM7O&N$q0M^xmju+idstQO&ONs4 zjW)V;V^nL#+tL?f8mV$xexb$z;bp>?b#1tH31qQKFoK<%p8{j?pUn(>Fx`-5P%2$t zR*H`uv@BQ5pd#`zC-MsQpu0m7G&CQ71!VKHXs`U(SjL`|3B8CoY=kQo+MsqAa$1KM zKoGAVt7^byjC%7Aqhb8hoWabNkWiKb7ve z^q>J~b;L7Ci=N#Z3oMC_lFAoDZ_%*xols`>blE)qz|rdV&buX zxhP}mY1(aw} zxIoeSgJtBU$%TF+xy(mMb<1P*)CsrX%gSi@XNmdnLa24`w`Mqs0ptuT(;Z?te$lH| zE(Jx?WU+vi@LUaGD3`BEY9!f+#;s?0?ca`CW^4M0sPM80br-Q^8}6M<8Cg;WvjiM( z#%gkJnmyS#Vce+UsmPAsy1&Unku#`2@-+jDx3V&g+r-M|)XLgzQz?2Yo3e4@O=ci*=C|!1uh&a}K%o~U#GpQsLOGA$ z1-%syqk+J8gIu(8#h+^>L&oTVoP9W{AS2{cB~>kz?J~=Y)@qaD1R$0mze9AjErbt_ ziPxLUOpr1xP6$yUk0}HF`rKGK#^ME+uqTE7ba9!2en*P|Ze`~Z9Z;=Hu+kehQ|UKIpK}@I z+hRCP&NN$lTnr(Tth6=ejAnUXoH7u3cd!_M{`3Zm8F>Aq50v{}~ zQ+TPnlK_5*rKvRpJMw7Ht|Jd6nuQQ9So$cSBd=K)^%1j{`qw|8A0E)R+;u3;octhB`D#OEljVnKLV?{}Q9GyXtWCTt_LoT9L&KlQ$QnZV27HLYGcw3MZHrr z;I@&$lBE*!K8@143SG}E&0UTc3-ZzIxCHv&3Roz&2N5?@Y|kMZ;2#Mx$kD#FCxDL2Ke)){7B4r zqG7%1jQ6io+Bsg&v7x(=IaIvzIEN-)Jr`Zfp#?{AWVxEg);h)?MwcL{{r<8oh_do< z$@)xZc|0^69~?Pju%c}b>mYBE5qVnbfS|32F5$(48J!|9L?v-A<^TetZ3i=PuqVf0N_JeOHTgS-M(`vr&PSq_`aGvGz3bQ!I_MG{~jku15UXEfDRv z0TJG`8{79MWem#~Hz2xNu8!9s$J}754{3GaXT(IqC;zlOR{^9xWPB~*Yfa3cyUKf78m{aFbdH$sL*p$<=qyZ|o{ ze%y)DkH-3LA_R5l?fP2I&zNFm+=FduJt#fiqTISTDyjXCGKyx8dupm1NLdNBs(Oo^IMxF5ozkBVT~Bmz z*Ng9$M*nQ!Al1xJ;ZGDpQ0TX-!Ku#SmfQe)uvDA7FL~%EY&i64%jlV_sKSrpM*&ts z?9YJF9GW0wy@;LZbUqg*6ABe#lnv*r(N>mnRlGh1E1I5*P}Oq={R+bS(>ZFwK{PIW z;+$|dlMf(vUh90u{W?rYsM>jxfj4rM;6b^^%rSAWaJq1hBSu>oL$-%@tH=rGuX`w3 zLEs!Su$O{5Vjj5;Nu_jm@&aG^#n746oKPHc@AI>L3u8{sREsOe)(yq+Wo(NAP-sDcS*g&67GCf3ci z2;%0~u}zNhqrGL8)fpFHz%mJ*22(Zar~$GT{Kmenf4GDkXl1#2hz4w6_O0E&V*YtN zDr~|=`0(0f2WrI$GKnN;#EOGvn%;}uJj(#RCftch#YS55^|Gu!+k3DJ`ew zTE}bG6gDe?`|g}VMa$`R2Q>P=KHenyg)q8GWyk||%AnRHDz;+cD+nSPelz^@<<81@ zYh;F`;6Z+|ZV7_Mq{0Aals%NK7trV%4O3bIa=A3!WXvh$o{6bTOKMPw!;&5Fl1D#O z>09Pg-Vd05r&KC(|LL;?6;O)m#nM^A8?CIw_5(=u@x7jh!MgtCZ!HTJAHF|LUVS}^ zS9zzC3$C$1plbaMM>0NasoJCd_TPrS8hb>!mrWZaFH~2k3&wRiLC44GORvo!A8O3T zP;p|@8aR3>3iY?_z*4tKoLueu{E9-gmOs5Mw6Wi2Dh(^AW`Js`{LH%dxg-nmTfmCe ziWbAnyp+_i3IXAO0`~a(Aalx?Kjb{U7i*Vy$1tYNH{lR-Z)yU)68b2AvTd(4De+86 z%V%b^zEKVG5|ANVCEWA;YUQ}LXzl=#{f_!A+Osx( zPv#U#^3$o%0m?-B!+c;=JF{F}uOv}uT~d)r=LEOYB`C7`>}jEqAL4L9;=8puL7H$W%6=)nTeJ6-a(gp7cY6*~P{Uge- zgu^`|faZC%nybT{JIm-;o&BZ%PA(uhxfnP8@XIqZEjxusbW(9cr0WTdKa#Jx-^O=? zAk`)uK9UtXC=`Qj`-Onj2vER=P)td^mb6oCq=_7g4&PPXC}53qOu*4(|Ad8JMk!6? zVin1HL;Q@PwgGn3lSUA;u~VwWhU8SY;cM{{{m*yqf?A%Ys^ONS*jRrwoG1MwKQI%L zAd!aipKT_83C5)YiA?80`oANS)FaSTwQA|_xw6)M37`U8<6*7nW$~RZUNFFHwW=B? zJ*;1~#TfhKwUfJRdpk*j@`e?Fv2$~VfT{dplkM0sPqx%DKFC%x>c`wQ1MSs{8c|D} zguX-7${RFWl2b#1b3CW)LEx+;V*VJU1Bkm(NrE|HWKGb>zIoa)ztrBI+EV8Q=hIqj$kG-*qfqz&3YreZ}r2T=)7-I zMNF$Bv?@oV_cH8$=+ETbJx;4lG>dYZyZbY7W4^ohB)*$Le9Ld?%j0c@J$L?`#wh6_ z5;1@1?frVDDl;;fIj${NJNew~KBAN(l1hnhe&mfuJK`LK%648>K9;=P>)#b6^%%Cl z;SDqUbWZ>uORdAZc8C*c^M;RxzX#m6v-(%P1Ya^WVp)EOI~`#RIuljax!zp*7{g_e zG_;dXH+#im^xeNL%2S-^JSy>A$7!j=^Ro%Qls5Fj*o@5cRau0aNE(&6Qog^RhEw%g zUbGal2S;XdK5WX}M8=Nu6x34ajJjTarR?lnadm0-p1KDD?E7(cKHyNOw_}UEs7gzU z>Vt1S!sgjRWg_N;O$3Pi*=`UMLg!;H5@4tNnG|8RNWMt!ja{3gz=@)?EHNtR#yQ>S60a$kQs3{O5E)Q`Q>197gUVH%@7i9o{wIR=p?|AtVvnC zxxd->wFzXSCmePwOR+ruYD{wQF|ni*_EoHde%FLEX>}iP5o8f)fCSkJAy5r=LA$xsVO#I*PWoe8;@e#QLZ~^|=U(G}KrH`k8#LJZp`{UP2jZLn6C>WV zwIwXnM=%rX@oxQ$IG=Jsl{p#G0w~;DgkNnYDQ@fdj^Jy6*s?@R< z>@phj5-!7WZMzr&llZww+HirBhMh;h-jQ5ZzaAgpnr56I;*uE7XXWVvHy#-LpxZt6 zX}}Plq(Zh*bNCMI_l6GFX^MvsnBWh(ngJkPr2WWo8)f8kqD^^D+W4W)cQ>G(#wvMi zfq9H~i<$+PUjow3J|B_sG9;PVwl*+&$b*behzf~O3VRtsKiKgaVw{Lvrmj0}g_>0X`ppTO6l;D7S1k>wB{ z4}OS>{f7i$^1mc{y^*;w|7AsZiu+Ig>o{37*cKr=?NN6DaON`mza$b!e*)F!{{nFQm-lao#0Z%_@Bfkj3cwJ+|0m#u_)mV| z!GC#s{Cn<`|0Usn_*LSQh0W*i31I)^PyLto|HS)O&Hst_zsmc6F>SjF+;yX-?d5Vw z>KCPizX<%EdgxCyr+odGd?rELWjT`34;Ahs`Br(>)b9;?T0`u<*zH(cLF($N*JXd+ zun0km1%814H0C4j`Vkw5v&Hxv;CvB@-Tx6w^SR8Kfng##7PxO3`Uw9>G-=bqd3CDo z1}7@`=-@w(+(Nn7D1rzSDP?&|#IYWZM506ye_!JLL|G2^HhuIzd9!gZ}7J2v74n!LXkQ(e70I?dq>Tu?JPWubI6 zy}m5rzcSyC(kFE_uvBuuf9RaAQOy=>9!BNfHbHcZDShZde`2rt+rKJ(hHCVOQ2C1`2`2{0AvgNrt?XT? zk|__U>!TKD>aj(5s(DQDGKm+Kr0@6rMn&Ex-<)@6YM`d1XcDrN7lCw&I zJKd;(yy?7jPIO(4GcI2N28A!Z_Zct6gD?R2X5*o9{T(PN$?h48CNiQS)WpWG^t9Pl z-zPWBaeq%@w--o}-6h2ls_E2^NFn1+)r(&j&UtZUB|SM$VufnES%ib%k}G>&Y@?lY z@CNdrus*@&i08Z*K!{t%p?r|ye2KbFph@}tVJqzfb?pxojt6(Gh-cioxECPdwk28j zOY<Yv zGZUvYvpv?TC$bDUvZ-4P4&~5a>SHs-Im_!PPb@afQ%h_p4Rmi>$RY#Yh31a6Xt()Q zn?iOd`222Pi@fHiLnDyas=WGxC7=m8ZRUSVNNd|Q;d5VhOFR&9*53b?Kq2Jv=npZs zgF(UNwRHYvMH^>djwo*!0P9+U;Sgl!%>LwDvBMvKC}FXNNO-vG9qZ=sGm1$1zv z+Zra^#Ll)#9Cyou$~v{Oe;Y2u3xiGmc$wA=oDP9O#^tto%%iaPK2mGqlS{zmcPLC> zN-QT5eEqSS9YMnG(A_C&XnF_MrOV%Y45duq5)*6*HdFTf%SCYga6M?08C=;4@`h0A zOXO&=1%3t^6wRTn6xlLom~zFSMJndueXj`W*FQAuuJ?s<`t}tj25a$QUW9(rgAMjN zi^k3s`syii1an>e!a6XQz+}=uAcA}<>neR?HHR()E_W@5Fc}u9+l)&N!@MiCxUvO# zEfDqu%=)s+DvIhMvJA;7g@P@dsb@vpl*6Z%eLvwE!6_A%rJMif8BazZ-cx-u zJR+9FVPnT}a#icI{L9o^??#L<^GM7>m~qRulC4bgBG2NMA3LlnVLv#&ku~%yIH7}UNbKVjyc>%I4%TD`C$i4(fKK z=L*HfvQ3D`oFRd1)1zqqUlzU}3C{JR{HB#ig+KjC0VJ|PpUqYK&t^x!KTQyqK52!> z67+1ujUTC6+OXUhd%Sj?zmUs$=367Ze%&&;h)l6^9Xc)0gRTiWsDR^PkT0k-ap}uJ zCw?K)*1)8Rl@?hGP1k2e9`r`~E{SXJi*^ANVx=@0^r!8O}kV5g1S+314U}- zBizMVJhp7J4ZAn=tkLI?U|y~SNKyA`-#>K6cYD~H7@#z8o*^4<7b+gOjl9qG*6JR# z*B0(hH@w?c&u7lq*RI^@qo`WqCl<5T4p%`@Eq@#%43R!voYey*K~7)eS~&%tI2OV`pK>85IwA zzzEc&D(pZcZ2m{pYMuo)cf>F?sT9z0_{oer5|i<|)A_BEsVR^8mReol=XRCa@ujfv z+KDgH2xK88Un#BP!3z)Xli&AFq_CkJBwj@KZ*0PMvy1)b|R`P`P$HJK`kZxrK4ft zz_I-8!UWq?#Bv?yClZCzGAIE)Z(&m zt3w0R9B=6y@7-*{9EYauO6=tZC6PGug;qL@vXueyvM` z4Fc)K{z!!4bHyPZOqt9!C`7!qUXNc-%#aEAT~r;LHrnpa+Z<6^Z3DW=JOr-ELXpEh zzl8@aBDh4=8vgLs(PPe>!n~8YZfx`7+)GbJnZfcW!Q)Kx)fo1dS3&-A}`;updOxekAlxxj`Mjh25{pMYm& z9ker_l50s#TV3y4RUhL3EkoyAAoJ#zctW;!1^xayuP%|Z^FG~A$o6+>4W$j*0y+bx ztbR3`P7>j%s&tyT=igUx2ChkcFaXvP&4VgjPpj|`JJ*FL&v2s>4tsq68Vz>M@*a$x ztu+xr+}OR`-!yb-XT3b%-<`cQ;D-3Rbl&SYZh0ww01irn7M}0-?|0KS4hnXCt3{f| zj$XJ3koa?8KrdCRRV!RCIx#n0b}b)&UC+lhY;Ld}#`?k^*Ec@BG4D?Nt)?jS$uw)` z*DKIBsRaUjoBV4g-h5vSV$U?HA$T~>SMge2)VG~Jrzpc5PMg7z6TGanf$BeZzH^Z; zEN$xIT;c(k>}4}xIA&hnVAUegmnYI`=TFN{huO~$=b&{t(p-$Y+^yT?h}1tn_885D zcrXUg+KOw+xL8_SQ8`{^(Hnbq5|<6)0!r#`anqf_s&{7!B$AgZfT~h2Q0k`yzgW6Bb zxVrHDQuzpZEM58}?A*a0;GHBt-t25hS&scH`lXprwtkevgkuhc%Lrm}w5awx& z@)12k*p9l6fqIY+4d<$x)g*`w2f!9zbq%WyXAo4YY~yfx-@XYKU*Lv)5|)qvW?QIy zq^myEF^~nrqHxf-u4hG#DMjO9sD^s!^3^7d>Qi7bc@J@#f0*{peQSyP#GRk|FRl>2 z3Dssf{J*%O|KgrW>@&{8A;p2ETfU4T^{vYiNS-uP?aRAbIqf?5P-mfKPjBawp6Yxm zkmxRkYZszwXcwelHz`2Idre~>+kBt49f>}N^=AhsI_1L%C;F>SzrscS)oihB`(8wl zx-p?kFG4!sZJ9irvp3_(nX*(n6LE2y?k09>Eeq!dReomWqZEWipL7O#{v%=6T%FXJxP+PY6(b5a~*n466>o-@QSeV$8jR+pWp_TMWjaY`>G zrrQAfsaH?s5xY*4C@u5C;=flm_Xw;6(TCy=SA?f?)I^rbZd+v$ZYESBXlQ+BY7P!4 z1ov5ozh(c`>z*ffA=#~nx7MA&x{m`GcNCU^1!emFp9&Or|L58=F_!XOgl)@GG)jd~ z{a++~bzIZm_y1cI5Re`qAt+L#lu}@fE@?J8rMq*JkVZNN2+}Y*B&CrqVZ>-e8l-Ea zz;B=L@1H#$JGajB+}(T6eV+4r?Hu(kcb_<>Q~fa`Fq|iNZbR2=s%iug-7tcnPvM1D zu}Nw?Gk0|#b_}p$4)A#2xe)hpd)=`e7h3frwwPeddYBle_}3_n_npnKlg&md{cg)R zK3Edr_@z6K#TrsUe8@heLwuQRY4h`rWuBH5etoNr658zbXgQg@HZ6trOfCJDn$0Tj z=V$DUB{doBctgM@^^@weo^_GK7rXKOuT`&$7Uubk)m6B*`MR#(ZG1oQbEfZEZ=J7R znqA0efe!xSg;__Y&hIdSZ9B&0eS{;!B^DVe*z#vWBK)S9%`Ed*4Ic_FP$FNOhx`ndFEOQ>>+9}18f%DafL4`1>D^_t`JNxv37F~~K&adfb zHdRblQz7CdQ5^HMA6#mUkCq$2t@};TK!%OBw;wGxXv=@16XQ=DP-@l$_D`O)#KnMH z9~2Fx@Q7xmwV#zy3k~b>z55M@JCK8Y0(ZVI_=m@py%4cQbHJG&6anEUQUw_YAhLX% zN`M1WKK7E)vBBvO^!Mz1tmXNp@1p2Mqs?r;E43LeV#R^N+>-&RZyj7fZX+C4oMJra zyav=I%)Gv%E2A#{zFW3x*dUQfuSIk5=)X<#9FY@AE7##n-?!wQ+UjCu%+ryy>Cc1T zvyZPt{fQwaE3uQM#bLJmbpc?Yi+iIk*wK-c!WQ*2sh1PU$`o4!pc zru+7tj$K+-=+Gp4_xCU~R^Ri(XGi1g-O6F;?{cwe!_;EY%LVaWuee(W^4U344M?b? zUiL0AwzNZR+99@S1oo&DT_~dr`Rlbn7O*l2Y}4Y+(tE5jQzOtjYgpMI4t31lW@)xT_O8t^ z^v)AjmcyxzN!~2&s1}>POfA02KGj;cxBVdVsLC(3kWSY`84}CY$y(Y`BsRUBR(#WT zs-=!?(7ahHjue|_$4UxMwQ{gJzaEByv2_YYp#HwFvdcH>nDJ9BQlL5}V6*g47mI!b z+HMFd^Wjm)%xsn}mx)cc4@29r5Z?2uW8|@t?_$&Rh~gH1SlKMMI))Al7~5)d1o|6W zbtI)2K998owjdZ=pqah9g+IQizU-rNQ!NQ-_HHp&qJ@Qw?Z+Pr`3DvhA1C8 z3@cN|N`|nKQY>Jsg(6S2;<1vQQ!OU!Aa~fguE$O}7K|yZ3_IoU%Tui*o$Os>EMPBK z*$+;2uj*4R7yazrSgb@73m7{(9u@_5NFl5QtKlNH)io9#*0AoIr6!GH(>&OMkFYXB ztU+L~vcPoxLENpx=y!64zMyowp)FZ8q zC$X8RXN*j7B@e_Q&1R8Gv8N?-Ye&kNPBPtXeIVQ^Fz$7p_Fg_N zUBb%z>Z3m%`?r1$Z9Oid6<^?MP-0|^SQn94EsLreED_Z{^Kbn*e0hMA1Vf6TVD-9S z|IyK}o50iEX&p}CmHPzolUVy=ekTi`2eRtrj4a)BvMkp9W~#9JM*Dsjk2e0*^2Xi# zk45?a-DYYZaI-e8KBd=caHl0ND^vQFMH}GsL90^Yfh^(bjP%h^8^77f#4=zizUaH= zm6CBywABS-pu8xt-R;p|^DV-!{Jzsab2(qCPI$KwYe}*7dTcKWe$jq2fqs9>+uUqWzz_+a#p4v8pi@T#-v*~4; z7Yd(=ziFFhPAC$)S8?f1GwxiX7|YG|^LNYMm8<1ESW;;JzH?9wACD8Z;!WTq?`%$f zWeMmZ%*<>fmS?^mZF3mNZvCfLLGjpg#c9q~c0k-4m!)r^nJ4uN7iit5zv}n1He&j@ zowT5U$Qy6!9Ot2e{ibz-t-sE+e0KjBC@Duwo0_ zYApKli)xTIbndujZ|@(fWo8yo1FEj?D&kPU%Dhb4I_(K7GP=X#%h#}N^>w6*M z$Ld}|jEE7mR~vfHs;U`R^nK$03U8B&ewG2(%7Bi7{~I>8s02Ho69CKvVDqc4<1a4E z>PS!|cjM*%>E|dY2Xevop3k6ah83K?(?|}i?65TXVr&}M%;oLx52z-M*LJJOFpsg1 zy0Ims|Nn~WjT#>m6pH4YZ8!bO!mc2>MyX#*%GNfPTlwDd(77vt0tX*jTF--+XD#0H ze4b7OEFqCkrzs~jG(X&@-C8PdrYw)q^}f3w zv;E=leaLC9Utse)(bq4s*d{2AVB(VAZJ8U}-_d@xz#vgg4TI4%QRl*65447JNe0z| zQ?}LzK{pWvBZKph&IohMlwfrw@M7?T0P7tJ;m@ol^ByAHct?P?lE~2QVje(vm-G~% z2@3~OA-g0I>>3!7_?b^aK28U!D53_8?H##U7P3P5=&T+nWFOoEtzHx!oaOjol4Ae+ z*L2&L*GP<297<>xVn)>P%rW7!dTxMQVZA)sgI^3q9cgE(?8Ykc&6< zsgU6qfg*6kSwg;wy!$iF0pQjQ217wlJc{rdiUu}B#b^5XWPoV0vrMk@XZ2sp zU{6Qi(V^4&7;90I<19rSUh%fL3wEYTPMcQ+nB+LE+&CJ@WpZhSgoGx|f47ccRL(5J zr~%WAV#WiPAk&;;M)A|pb-E8{HqvL}v4z*zt_-%*GKTJMw7=7i$uWuS4+c#H&7UiKDB#MU(7)zu%0@*3SV=+TwoE&zq=}%J9kM zqcNDzL4%5(*#DVxw|{{;8-+hT8)R3u1j24}V(?Ce?EixD?wadUA4VAL?cP8MVS6(?&5X8br zA|cv#cepkqNFmkzJ0*dVvGM`)$k`=R?I8ZHg+ly{4w zFGhuSMWSLWcp5`YE%xX%L8hQYvXWL=mU>S|U_-Jk=ufisV#`cc=+-6!*uY}zqcYGd zMJDg*o448T9Q^{JhJt%Vj@GLV5inEzb;xL zO+4l0sYXK&76=ga_l4g(K0Mm{`e0ev`MR*Mk|z`$jgv!)EB=+-%1IU5DcEdp6sPg6 z!tjFmzhj3R8wNKVRt=fI)jUW*&ikP140J~Y%T^xax42rk&da-Bcwi;}*S@I$u_L1F ze0c2)ljr#pd%XEtn97j@^i)Te+64esYQET-B#UgzkDE;&&Pb!bNvuxq#r4j4E#cCd*8 zDw&Edtj;*Xri!>X`LIj9`e~rGL`bFPACcFN?aLD4>z~1tXO?0XV2vEnna5r&>jJS? z`8UWmAsLMIeaM-9{#j?HzFRkz=W3tX%>InFX=+|4T4W+W={g`!!Z#m$mVA z{)_>5BPz1TsJ>1^vCdE;V^>i>qU~rM5IpV?TEJeNRcqB)g~8>c8{|76Qpl9N27@b& zt0WU^RX(KLjOC&CEC%a&tnRJu=Hm+;qab|G$tPsr5Pz@RZ2p=uUY%I0c4ILNl19C% zL6NmYM6#T4cTR;zw{J z3jOdjhsGN3MrhMrxeOinA!`0-0fLM5Brk>VRl{>&%?L7S=E=c$g%A&;r6X>cDtF4M zAFnUnmcWlCt+U!V9;#THK?VM+3hR3o0Mhnq_$o6(kLRRdpG<(&U*disQKP_U)L?|` zDa*!OK9Vh>R?#t9sC>tsg!^?mU9S>|T_zE!$7=l%Y<>P6Szq*MwC27t_a~EDKXrBH zXZvwU%LTEZe42UIA;lqs)EP>0(YFvLP)5pHZSkfw{f6Z~zS?l>xMn~pz`9sbC6D}1 zjS!cg9;b$&+aSVt??D)VOyw)ecUdR3w#|HoOud)pD#^8tO?7}G%3cbntq`DF&z+!% z?lR5PTFwWgsfBW*vpiKrcm%mJkb!=_cAW`|FqlfOmUrRtydf4TH%f}J#O$-_)ClJ| zO>m~Jz}{ShVHZ_5CQgfy)YbYEp3Nm)+YC6A=SKvZ&Of+PVbAyl5c$r{7so65YgWL9 zqFs9T9d8O=L?e6Jnf;2Lx>&iU9 zyc+TU+ob%n;MKFJUbmw}}ApogpDgy9q1)9ZuonF668 zI#vy{c6qND;1%EfIeFvm`df=q$piIT{m}}xP5n5w1{I-<%eBrL9%T5_VOkP8r2}?f zUMevNsnBJN7t)yqAufFe=&Gw)0p=@Q1ZWw5z@bwDzjkDO=K0b0dubw6sB~A%&baM0 z^V!-JAyGa3s3SX4Y@^<%^3robE!Xv3kmY>TNFPEl2WapRLTz*$4-8s}&yS=0H*up7 zk&n(iUoG8on^}vS5UY}$H}2h0nVOi=2vl=BAJ4FP6j%fjQUo^^6zAPDZ0$_kAaL&6 zxc}+@6eZvzpZ89b?z0^RCi7vJpq$5E5qvfvTZTa z)?Z|Fxobcy$X<>oidB_sB@h^|oL5JYcO5Q2`D>QUZ0f4cao=oLy~l5hE4*||Zc9=C zdwgk#?IICp49`;Ll2uxRzu>bfDnEjKOPW#f%7*)wyw)x-5CI^^qJZa=W_s1X1>9P? zr8R8~@vD{fW37Su+4QpWzuVL5>5j09Q)*rq;PX|QegWFer?DG0dR4Y+M%MuoM@agz zv^l-XvHSS##u#VpyAZwHs)EL6hPfHSZQY4wnx?{=WwYv0b%j-9`jFh`-Sveogn}Q1 z3@6Fe=IwGA8@jkrtZ|ia|JVW%jR+AQ-BM7g(f0_;n{B5jC>gc+l$KFoYa;3q1O<+>&bSl>P1*X)xE*@cYxHt%rR(p_9i<_kk+-{j!k0l_&_F^$(F-hAWFMbB z39Lg02MHfQifJ; z{?Ai+$!zb`<&o7RQwi~H%7;$22{CtccZ=ii+SEja89&Ly1`Q}q&Wc`Bm3VyUm9H>y z8CBY$f!h|d`iFcqcz;9uI<6r=Wb4uB#@c@WOa(@cq;G%pvuqiyPlGFeM)a?3b|S4y zGR)Xse`)2cMNYc;zO{dc^0~p%k3z^F(YyE=A`p2WD}N_mPIjDirD^OZ$C;(3?U(cJ zs;_h%m2!2+ZI_sbwKx8){cdvkpj^XC`rL8~{WlFg%g2UCd>>&dGf$KC2C1cPC==Nc zo6WpUlLI*3F2K2eMtOfs%gn%k4-o0}MShl$pgJzjIkvF~QcpaKb|JhvvwjpPPZa3N ziZJHC`d^)*&k{no3$xxE@h?`Dj9=c!7aZv6$1{%&5+*Io85F?Guz=Qg{_mtY;uv;4dhgu1dhn$eIh{8@fUD^vj`CWys z^^0;P%}Yu-Yfe@eU6GA2DD!GPdvAi|eXaA4H!U+vmzt##iy{u)N?kb>=*r;WJ(A34 zba$cI5;HkzvN^{BB93XwdwP2~|lQiEMC{Z{O&&$St>giryTcJRHXh zHw(H5sLL>e+M^HSyoO{hy+s;V+%hymIOkoSwR}m{8BqN_G6o$mY_ z#qo!K3sqt=1Yd8Byp9Uc_Q#cH)hBk!sPfCZnL6q!&e|(5Mf=HjyhLa&z68q4P1AkSmvp zbe(lvlzgyFMJ@wR;RTf#4Po{!e6qF`ULT;jW7hj|62T=7j`e#B7|Hr-;}mV_>)H^U zoR_K6xI%<=A^cecdI9h)nM{1pVo3Lz2RkHhy zy`Yb~@xAFS(=4=tZ%3?>@{Hba_@@U25R=Y`iO@#1>g48sYONI$%LuVA%%*Pq{w)qF z6zGjTc`L1dn}2~>{u}fl^C?n5W!3;_4ckb*Jd;T*X{3bAwMJ)raIpEb`^rgaS(~$2 z!h>|uQ5l!8PU>6I;kLSy*&Ef=ZQlrrlI={*@G5VDY~nDU3IiJ=gCl8plg7eRXKWW(gQ+wC!<8;vw&Q;XCYQ(eU>0f@b;EiYHfvVu#=| zAx#ErSk!Ki4hyA3+b5x#f2Dn;W6!H z=mG?N`=!OUqHgeUZ;B9%RBI8(rXo2kLe_Olg63{xhGzD0fNFG4qOtcs_2kugPS#!s zXfhHtbnM4wUf}cV@c~-^qX+h~SN_E-DNtBU$xPnqQwioIl$5>T`jtU^E%3a8Giey| z7A{R%k}$c@{y2JOUJv{CDHFy9`lTQagM=m{p>>?R&*(#oDXcbYwn5Ju8EL%SU`NRxcf;2U6(x z921}uwPZLb)1og#gaPoGd~K~OhLUiFU?(mO(kQxr#kozO{>NT|qmsh3&t&XF-pQ3p zG#+Gbob%L2f2e;!gcc?dG0>nuL?0$oU;;>J1XlH5t_fPcwyNocvuwU3_iEyGoFxG_ zOn;$<piw4RSRDmTTU^6oO{KCkb|wzQ}g0|&mtg4<)G(`Oy0t9 z3*$YRtao!w>!n!oz=>f`3B2lCf{w&l|7)L*@2h?8xGraW9&1x9+1rbE+0H;PEpOin zFmPE}Q9)%;dr5_=RLsb}F&>%e(l(Dr0`^`0za%?d{kmV@R`4lcIBcc!bqvy)k9vL-A{Qoh2wSE;~vIK5_J(uLl*&I zE9uWyKXyE$^L6H=B*tx}OVIPkUAjHl!{CQT#>(d!5&k}LLd*V<_xPlsR0@@C)-Z0g zhW+3NSARbL?hXY}*{Df#UF5UFwDh)LyfK;#AN%#geL*g_(REFuG^9_lK5FN~1_<}~ z7mAyXGzy|IHFON%>LVu^GibfNj?OWiW3&es8aLwE@i^Ph^uXKc^URbdl+tz{Ws^TY z{F$QG$(DcU#{BBY33r=jCGpdz!b(ba%p6i<_r06=P8Ai$beEL)Q5MlB$jD}QM$)Oo zzk3s%>^E5@T(Iotm z6F1&vL@XLoe0u@m{bD{*3wb|d-~`9C;Oo5zeXuS8IIu$Eu;Cq&H*TcQb{qqcO1z|0 zWzKf|usL6{WWnYn^sjBQu?kHQC&Ag=*ta=(1K*{@-b^^Rhv~f1X|v63Aj!Q~VcUzA zUJIaNyKL6o?z}aur47oO)qgzvikAEHIJ^KLna(2P3SM6|YJUVMZQMZo`pK-1 zW1v0aHj}c_jVWRDHL4N^QvwAA(XC=B$pI|w+C(}yqvDd_?u#=$Ev2$|-<>nUkS$3} zAyy1EY;+oRvRQ*2#Yr_RijTBNzGxL|9XDd;nobaaBdIAf==0ezxZ>%IhBx~Vj<*$- z@cl#Cnvs+r9?zxqv)yM29SzT`mpG;=GguqE=TqopJQ}&>s>D?(Af!)+tEExp!3u{1&U2j9M^8fj;N|=X ztNa!waqjC?ydM#5t1o}d&E>msSWva0K;4sHZm$nqV$VjlaSS)aSd55i|4f}+Z~`j5 z+I7q}-?R94!GwM04%OE4q~){U%WUVHPde8h@rTf*SfV?e8Aaq>;Mi(}q5EB@N`THH zF;~j6FMXHsO+?K4>O1D^#e0V+1YtgyUB49bf^@X%(>L}b@m!RgWyk6jw@vnzepjQl z2fl48#ItfJHNRb6t@X;{B+n;Rq$J}O-zle|V?avfkbj!4#!MNB%E70akZ#;b-h}SaewFj-ybG9I_s&1#4^su%`HH#m_Mzo>;lGO^1xgmHYM8ATfUi^Nmf<<&7gK zBDXJx8C&N#dv(Sl)zzmc4$v>Yo$9<=qQa|;clVpQ(JU?uYWZ0shvk=!^M1c3QLmYf zX%EZpBnpI!VDIdEbA$+x$+!u39y=L4)dNc-B|*I_NnL&G#TQrk%DJ$dsa?umR0>3- zpO_^86tF9#?H*3S;WOI~ahLe^=`!M^XNLRMHCTGi9cWN}c)Y_vx(N?nB&-)#et8tP zuXojBjU2E0z{ZMz6S#_jh!z^Hw=!HjWsY+IYdk`Jv$jAE@{lM}n=EC!?LU^DO zcb|~rb}^RcQ78qD(Q#waNz}(*GM>fQdQYPN>S;zzcxekMl2koVOm^L^NJdc9vm?Biz|)# zCT8LHR>~sUD`JxM2&7z0q6VHeXRFM_jT`$`3LUGw`T~D~U2{;=wxWZHA=_iO&Xx#^ z=k$oo~v@0+6 zPHs=(iMYa-fF5IIf_$G6D~xM=Xli+VUDA6Sq3ueA z4~!qAZ08uMr+UCFESfY@o;g{S3J%9}fU|$0+*VY=0Cs5cQPMjy$Y@oBK_J<-K)hzc zH231|pHQ?R$yhz9?D58ISk2%BNGe8lbgcu|ugFM1?))NOIt1oPUpt=V#pyi6Qz$~o47x*DEmNxb;J%PH^H8+tSPS7PNgjr9s<}{> zq)VeT7W$o((|zpb0dNY$@wQ6dw!x~p@+vB$J7*^hQslm7vL~?6!9=-c(BD}hLHzA< z_||zh(;Yait$`;DXc1V*vpo=h6a#;^dZrzGTAnL7BleG|IFL<7hre<~j<3H`4lKdm z)AMlbz;VdvPKUqVOl<#D41ET=+5qdj*>r`llPxr;2-r^~H|+%orsaxvrDC7#uqcKG zzI{J-I%pH#mjus@7-(Ib*Ex zg3!#a1g^nt3{Q>Ix4Vb8Pr=NzPqxc}mDNmIW_>EGO zKv;$K{wkJPPrQ2hCpF)JPz@V9)BiQF0b6Q~lYpJogl7$ybuzI-qXbH|7sR=|n!d(2 zxGsQ<)7)-s45}&5_+b4}#nV=mJ~XUOs?QoF3`{AYF9~uQymJ~gFKElLelqD)=yK7M zG9jnLdZ2+RC@?i*x#QIW$U9^a5|jjKod})Y(zN%t8LLLW@>G^j#os=XB+`0Gy|F*s zLyLdfWuxKWlUPQ6lrMC&+u(%so}&gQM=*MtpDFqw41`SB&488F-UfsRikT1v2+VGSQ)oBin zsS_!-7Ka{1U`ei;u@gT2ZuoBe{->HqmsvZL93aZf3i}~N=S}jdA>=7|2#lpw_Qf_$2?P4HWRTb7g z@1B={GMCwo?l*N`WW(?Bj%{oo1uhT-ZUhWM84O{lqQ%M8(%Au#3vE*Q{9%DShU4gcfI<2o+(YQ(X*`D3A4-*Qc8kFFH=@~~j{x|a*!f)o;>}F6% zWp2iVy|!r7XkT5?tey8TftcrSsbklGvIyW+7~mji)w~zc=41Fdo;9}e9U2wF&o$cg zz&!H$sIw&RbK{7!tfNv{h||Q2lMU3q@0>g5rZ_E|I;n#y@?TVa=_5*>%}T{TlqfZ` z(O$j8hQp3#hu=og^6EC*42^U4XV+s-m5)A?mA4WbI*ChHyo&%_=;x%7*ERWvNmLUB z7Kzy0DZSW<=?;RM?9A(2)ukRQR0Wv6JU3$g2W+`fP)AIy5-~Ojyg90GY%zNer=d+V_c z(<-Vj4!!h)t~2?k4ZCL4t?Y+cSA$Fly~s9=0n@8O6Y>lYq2J-lQpbNw3<{JA2nzMG zyy7>6*-T*)dQ5c|DHo1JfxBT6>tPb78Pb*GV)`0%0S(N1XX_iUfIrsK!B3{o33Arn zsud~RNFt(jQz2SzPQlni9TQ#QwP6EHwjiIOEE(f7AAEa19%gRS&oMhr7jVby{qpeB zCfwM@TJfL06xyz*YHJYltD~k8K%7}n^B9k~x%=-$#5to0^%wtl>QNu_OJ8K{3pY#+ z=M^S)-+vvOuaX*)i4CUkJti{z3-Z%bAMODhx75_cPIc7ZSFfAJ?jC9Ez(z<|HU4=@ z>Ak%lY{)`}VSE_CO2m}yGaPF{I=phZOI%|Ho%DN;a!R|X;}9^N;!1^5b%wpt7maf2 ziLpGz2(`GaqIMGg+xO)EQr6jz+FhKhkqt}ap(Z#&nKP%!ZalBeRSb&`=j}!g9Tggz z;J>FQj0%=o=juB&Y!Ck`0>k`qk8y{tLU^9(=lm9t%$E47JO>dP29KnIC2xM60dgWj zB?P~MuKYGMPXEnAuIf5u@>=t;N-pi?0pL6oF-cwc2S0gBA-W>)7>OE9c3Qix-OXE!I@q+v5-OsVe$ zH?=u^tWByTM0C~d(URMa(&CRgOnd;gD|Qy%2jlGrYV(`P$$t;k26Wo94|hs6rx>!^ z%B^j2^!MHn4wH5>{b--cHjH3D;#~HPPyqTMN@ur;_T;M95S~Rhyow5J2?R;G*?u!0&|xywpU+XF73(|fW1kM&fXePT zU(U56@a<3Hfv1%-O6?Phv)@R*{|AiQRm0Wji^Jt55X86tK1En>vNTVci)giwI%E>i z?RE4+v`k8=EDJGlaI@GTb>jZjS%f&3d&zt7KAP>TsG1I@VV%BtQtZ8>8Y~+-+E=rX z%FL-epW^$GeLCcJ0!zCcb@#0cr{C;KXR$rqH(OpDr{4U?D*hV#Qnsti`{&^Kmd_IU z!?bGA4sCN+@str#VASMxRitFG>(ZfqX*=5P_P0EOkTkVY zQdEd&{ONCmfGhiUTnl~{mtuaJUg!h=svByW`i@bsOuAEbDa2(Tiac$nRa${so^$J} zQ#1WVnOTZQ_gDSLrWVNrze%7Zs9#vGH$sj9!2iumJAwbkJk_F1r(^(2#W7YeS!0@J zKOtU>_QA)dhkc49-*Dh;jjfycmKdp8`bEdtDQc|w zjHcfy8^EVwmL;8*G-{D;Z2|oiSIdpd`X6p59xT*8HG(j)fR;kBX#ZCa09HlA;j@)1 zwER1vp&|0SS8UJg>V>JgS;Aw6_2cnBlN{xsj5EKnOLq6Dj%Q^h)7-eiHo(zq7v`|N z?#!5MA9@y61^aT%e#Vx)cS3ST8}H9@Ugr%uGYtt$PpF{G%^PYe z?GY6y!uar*&BAs*7?Gjx&Qy{XwWhcLhLB%9GBR4Xb}C-h?^zbet= z?Ayi*B7IvAE@ZD2-6TXmFE%pxfx^5kw|S(X&L}SM!f)pbq?>8Kbl!?xSMuTA~G6dZX7|vXVWd(VzWvP8fyZB69s5nsV+*F+ULV( zhY|zLB2+9&MG{7*vyP;t2nGx_wNpg(8=1t3sfvDEE2Z3bp73|bK`r#EtMT|Z>h&|6 zqCuZ|TX4@rLBl8fRLJwugN0H}s^Y%sJ^H_y6I%oTZ$)>0|L)zI2qQwI2@Rlw9lW_} zlOiCuM~xHf78kJv#7|hM#V$=seMRshuLGdk2(`3wsBjw@t@$Q z$7Dk*GA|%W&u|_1GoOXoH0K6OtK(URf0ds7vNKpkgnZj7x#o_mbQoa7GiJkBX-aja z;_ZuAyB1KM=J?@KI{V<7P|RLQAjp=;3}Ix2P6`acQ)@}F+e z1^KIE`7iB@2Z2bb_kvSX-C5Tju?sW$u=3f;Ql7=e9hj_JObsLakE$3+Flol$Yo0OR zM0wUTNzrnBNFkzhvqD0C%V2XtucgBDwUz7@<|6gzKGCfy8z$S0@}P-l@n*J@UU(q9av8O-?vcoMLzF1 zYBBMnbu*dD#7`1R9o#*%g9xI{E9o}#Yq3o}WW~zKpM25GP$){x@3oe*H|yrL1GAv9SbJ24E#S zfgiOGhY;ay;EHk->J}jJj;{WOM{s2NtwHJ_8tZ2jbItCB;F4bKE;iy|)Pqp0I63dJ znR|_78BCtO^~=i>1sJC|0N8Fc56zKHUwk#_pK=Hbnu!*HZ%|6xs^|7V-&~mE5*C))zIn1;SVqS>wjwo`t`)>zmMrrOd-sSImc>A;S^bw4;#VubdP?EIbm1<8y z!mwPUU4woU#B}_^^IL?@L{@VS`@}| z+Wg2wh)$vtO{_xVEItx-egB-PX*Qp2=Nxr^ll!}4gQ^9#e)ExN%1)uZ(GJ(SB4h7p z-ZpRGt?)$fRgGb$(lXAo54J@%{8Nde$%iDWiz^1b_yk3RHeGXP}+0KziO_@|_hrcXmtDo-_L#9=-HB(U1wIwLXMoLY%dA6pW3 zc_Zr+M7nG@GK4s%3qtkuCiF#sjsZDoPsEjlt%@(b2}t98us;)B-=+j?mM64Zrg_hT zJ}5qhN$fY8Hx0rcuwfGUBg~6dqO(7t=*kFq{3u+1ub9Yh3CtM#gJ#V&2o>MmBHQq- zBny{7Ms0d+o4mM?g+dv5)%Nn(O*a;?pz6!9ZsW3==jU(+&0h(TOthXF6wrL$jRVu_-HynC6M9W=~^NQp4Y0hSYBB2WY_VyDiqC5qYu+lHcCZTk; z1gByR3n*m7gV$=WW~o31I^sywnOoTS)m&)uE53-G66URw4x&QBSBDB>zGup zJ`dSi(9yA%uL!}6L$5*sPS!)Y_ByG#_(NAJH0sQZMYgJGh5Q)aKkEhgmjco_LpydB z_j-sQFThIwW2s(ybqM6A`?OfW8Y$p2*rW;}_=fgrj$CKd;D%+P_e$rv1Bd!kLwamB z_>*{aTX+IoQ=@~NaqRBa>DW>L5L!^x*2tZcV)IBbqNExvdMf$hbsF$Y-mq%6&SfOX zez(!_QZq7Ic&^g^jKj;NB1LHA za`5#)O84`$>9gPhcJ<+D8Qn~5>K>EblLD7@fs3{-YOL>f$mvFQ@T}%;=o8I12D2k? zzbfjpMr#B5n7c>QzP>pH_}MLy%?L>|pPWjO>Sew<9MVE;e9%V_Uj%jSqp7`iD7VnM zcjdS|P6$#gG7_!@YfX5F)gs~ec22X4+vpY4t>~i!U8E(?wzI+J`EGi8av? zDB3S(L?d;+uVGj>!$bsj50C$ZFH9R~vi(2f>Lr`y=d~$gr*+5hXY$R|cd)N*3HDB_ zKxU3Tyj8K>mgqu_TI=m#UIkh+4rO)NwFtT(i~O$!e&;ff6MooryEHL14vv}?h9l@+ zAH$~du+b1~R!c!N@Nhm-5>6ZXje<+X6a^52w53TYe(-;Y0C z+sR{(0x?cbp$kCygt3=uB%vaIrI~IYm9f^_ z&q`X8B`?29x#M+dlam_*UVC)jcxnHhnw~y$|05`HG=3-Me|zzOE`=7WWij0Rq8`=r za^pI`4*8)c>T3|Aef}jQ^{oyV<@YLitNN3{UvVA(hE3&5h@7}nM%PN0PRoe>b*h-Q zmk*JCndpB~8}8{=@0FtCf4xs{o${<)ZEI&e(`$fF#;d^V>uaQ<>*@)U0v2o>B2ZiqfV=7tIG_EQmB!B?za7 zfdbS8uZoHEr)EDMuTs})dFj_qi|}OB>`wC(mMtd>zR?%ih%UQdf(v-ge@ni)(m!1L zcyl67E-v&t(80ii?!fS*A1PStUrg2VA5Z8B471*$#;5&BZ=Pah@+oL$EFI0!$jNUk-otX&c53R@7Y z)h$VZdP*oxrTv1wFY*;KdHCvi)!l3J!tl)0(qx%>RfL&6EGqk(+hq+ev1w0|bJsB> z)aY*lGptwWvh?qOP)}Jn*Wn5-Q~G-s{cgRKvzMo`;#cv54irfWM(WBnwj9&@_~|QS zNIt5th6GJNApV`U__g1HPvhG1`Fh@azRl#sYIcWJbZtpani3UtqNbzFUb{87d`)bG z$u+R}glLKb6;J$1upxG!@jUtR!-rdulUH8M+kr}hn?O~GoCF3R@?tB3DJy#H>#Gn& zrw&j(^%T7;q~PLJxfm4;E?t6u2g$hmr=1-o#`@|L>&D`T>}}Qz8y3r)(5p5P61tWj z+eF>G6rBj`s?K3(qS&X&Wkb%^?Br@2R+cF-fr$;@gCyHH6~(ROME~UKifb^9Vn|9s zY|TxyyXrTlXK@H?DayoZ-@=xU$zggl^|J=b57KL4p|bIMVr_fD>jqZFBEQiXsCGo* zy_$F;hWLy1`{#2=*tXx4XfE>))?R-=hGv2QM0I03m|DDf^DW`F%9Qb*NLD{reAi7} zH#3SWssEJZ+=nG2I;r39cbo^~vjx_qePP9p=gqOrD_PyS=^g{St2eY+NxgGvSi_8}j0$InJeqSJlZZx^61O70@ zGIHT~WL+L!h!N3t%eU_TTs$1(F2=@Mg6zV#YVHFJC>O zsKIIZh4Lq@wzbuNrRC?f(|U$KnenU2FIdPL|GC-{{8CcW6RIh@U@-!_5B|Q`A^+v? z5b*pL`)kAE@BgdDH$7QR3!Qh#-dO!r&lVftKy_$j5Vx82A}!MJeDf22RQ2rO@}uP@ z1nC)W0MI@2e^ctiVyPcqvk%GexyJq^PUf8~aS}1@JQ-b|J>I;~GR;ifNn;)uafq3P z5$KByC1V{4kRgn7`$z2amQPxyE|;^fathM85+-wNIL@x+A@y!j(bjLTqO^`D(onKZ z)n)M9Css9ssjha>b!}y<(*fGuJ4~Munr+S|H-89K!^Az8I zmE<@Ql(WI)YeL^6$JvWx*OOVXoeTUO)2?4_d=#aF9}+*D{d&1c3kBLyew-d_feS+F z?WZO9Q`9O*U#JeAQM$WIXJ;jd!CgP*4=EmEw*3+ZB;DIiIb`K8)J}y}YjQ50_Iz|T zwy!=+y|TQW2Ty#z8GO?qL;6B1QS831?i1~#pQ+=56vivElf=%O_R)};6?l7mo9+Jr zus~10&iz`E)S&hZdsYHuY0gx1`+kk;bsB#^N=t& z%Md5rV(3lIg8`?K#+aTe1#6S0s-7va0D+FMWOb-)LTJ)VB?TkrwPgaHa6k!bsp@Af z{dA?^J=UUjUt`r_trQ##JiR;?RrS)PAX+{f(z0qnzmbXgNf(sj;d$N{ZTu zkfsqiJe8S8i1k9_p$`VT|2*>1lV)8?m9RTaBh5QgFUe0*^{}dHa%1n0ZKYsUt>8h+ zQzeuHY}w(pjR9Y!VHP;Xee)L0ShcayK!>lf>c$o#=iw)L=qW_a-zmOaNDY0>lv9{v zjqT(zra=v`U-uwRe|!FnE)Du~^7BNs3pt4f;DxJy+G2 zS~8DSS0>vy&Nvqx-rfppFrWvGd!fmxb9zdhi_Hg-QQKIi#aX`pm1x*6+ze~1TB(Ip z)khP;sX=~ey0_D-s!mQNG}Md{c~{Lve>C)$8x0u9V8EAol|qrC=R(66QIoTLTRD{*yS8X_Wg0xVG;|t+ zO+Hn1V5;ADsp<7cedYP(f0cHWlPOI;Wq0G0-#@*q`*C@;Er3b*DxrxIz9zNeo-6HD zXz;8$Gw~eFB?JpxNRiBXGj72^nU*%}> znLpUw^aF~7a$(RB1*V}9FgBdR9j6%RoX;K zEI?JO?KXc^y)3o0`qc=kIkkDoUMH-}%JUa8N2E{p zB{SWdw)${dg>r=D%97)bYUSL~R-rsJAK6*|kYP=h#?Q*bHnl{W+-e^mgLQ3;B2Slo z`c){+w&zNcs5w zrouVb?~Ts7g-*@rtcuLdn98?<6AgSK4S6u~k#0d~*Nvs!orA#-Q(HZ+68w1zYhyzQ z519vpQOb>(<8w|crlXvgJy!ig@^w-xrlatgNt(&663lv@F8%c7b6-Ad8AZ;I<&V#j zgF=)0jDx{{w{l!ne`P!J`4g<|(y;E4LOjy2`Y0mzyBB$?uiW>{tD%ldzVa%;HPc$G zHzr@S{kTfp-=;wQi#)QwGWCVu+@h(f8xhT_aaaA zbx4YPE)#N0JxyV!e4dcXg!Zh5%zIks9#a{wGsO7}27G0}LFJswgyV!D#w(Wzvvs{_ zGhTziS;-Renee%Ve|oZnOOwV%DHA-sJawwH(p%2|@x+p+rdKW#-koUNeu^cuOBd)fZlt=a# zq)iQ5Oc6g($;DS_VEno8om$X73t7KtOuvPi$3QX)$KHn9ZCQ{Dl_poGsIaw4Z zZbe`Dbd-~luievJ|67wb+G~mByPV0_|6AI_cCF?W0v>mdg?#PZJQZX`L3qOfZOk7$ zXf%%K>d+=lS6<$*ads}?Qs`Zl1Umc?jlouC?#@%=h0KmB;%|j!@<`O`FLKr{BY( z`cT{OlD4EpThBAOhvo1_H&S!lC6?|*o_&*Tu+FM!nPb(>Eu?FI)5*fJ=}XS=Pqs0Z z$_?6?_$u=3&0o7zhq>IiI{ET;3m!G5pE4THJMvv2vFE0QW7U@}WT&aB_Wr@a;MKyh z>8q;GB8bS@DW`lgNqDG*i9Bd8xfI z*JA1t4hG-huU(oJ?Gj18CM@nvZ43r?xEFb9T0F5uQ&qbs8or6_p!z~UID>-Z(*?&W z*`hJL)EA>G(bsL_vx!u-ucpjn)eYtg6h87jXyfZhRki!egTd*>xzPBY$AbK7D4%O% z>m(s(M>v^=&I*5cfNI<~Heb$JzTT2-V}lk=Row&wyHr0r${ReKkE{_&wz2r{eS5sc zf~cu}*q_TAm+FvF5x+FM1Qf%c&hk^4BBHvRh3JA+COmhu=Kl=&YjT5DoP}-61prE2{P;Md!=%j>2X9PuPb1TG14>| zgBJHsHjGNOk!hRW1ckL7;5!ASY6llX`sv^a~g3@`OHv+MaiFb#`ypZrqf zvHmJgMH+x1c@#^c)rwcUo!PbD;mOGtBhnt8hR(?hekqb$-N+pCMds{nEw{s}dVdNl zYkr$X@@VL?6}TngDh-obkjA!5Es%yM(`t_U=RD%_RL+g$GUS{@^VEd=F10-KcwJL+ z{hw{V(V(`_Uz@_p7S70tlPPC8?yH6DFk^aN#)FophAv6b3jMQ*ChPg~MDv1#T%Pot ztvAndia0HK8p<%4Uz5V3{p_H|>!O5Yd%leZkX`EQMd|FdcKIx4H8hM>eK`-FiflG1 z3%{_zNcm*Ve=5<;Mhm|+rOqQ$ymF4qqt?QvmG14C$BJVMTQs@1)kxAh1;?svEyxqg zRb&Q1bkb`uXoodcwK{PQB`98>URB3{(bC_C@2GGF-A zczG|I*n;Q|KHj)M#wv6eLw}Co5t-Z$wNd41hP80NJJ7A4QRGn#x{cB5_DeNCc^hkn zE@x{9TM)RH6l~H=MPp5w)e=D9uC}qB6Ub9T|4IvKyc$gx!bqUwsvm#j`EWy+bMg2u zDDKa8f(hwol$9zC##7RKt{u8LH*K|RZ#%vs4Q-oagT1^Z9DxlW8)-DuNh# zrpX9t`cS?|(@X;|F13V{g^#{F;%|j!atPzSel5uA+@0rCX!6LwL^z`(LT|P*RUSE$ zIqB!f25Xi1-xHGeupE(S@|O|vexmH_n8atRw9Do@jgFj3cyBtZ>Vv7x|Bl4@O$iyA zinu7}?NaD(Xbj3I%~6R@?w{@?15!?=zOp>syV#GNFM28j>9hvJ)hdKv;@l=|88a8KW`=vDdG@9LtZ1=l1 z=RK32|IL}mQ+*wfKfIT+2O}iSkF%R0(oLA+F^}Vzi1R&YR?;_ z9G7Qm8lFs^=cUlu*XA)%&h0gmqT>c5rTIfji(H1kA%)eR*)Q{ho`O}ynx!aD_BF=| zBhVUa^dWN=h z2a)f4rV?PeR37G$6W5J%uBuZ~==8suVO7-^lg5@$phRKrH`QUz?;S0xmanRMU6SXr6nevxrF$N?*|kB0JXQ79gzOJt7`YP}Ot0JvJD;GW8-GDm2>=6}Hl}pKns#s)gs? z=-RG&c`8lrGxmCJ>QJ@RM5MZCS=}glro@hUr=QnrmOn2``dOIsdT+F{&`YGMny!<9 zCqIuC%gja3NArM}8;uIqN|M|<9d+(F#&ls@0`c;6my-uPn(`#?%lCX+NaNLLx{%yH zY*IB#6~&iqB=nL5XtjNgw46nmMhlmWl|}DNanB>|$0VA(rt7sy&y!ohwM)|T zd1+xJ2`>Nzap8DVOc}++@$|CwqQz3Vm|+{P!u94@vf%*ZWcbwDklm86d5LC^RQ}}i!>>)V zc#lY&H%Umtd`#xtf8QX<^WKE4lsM;fe{J%0TJkj;R{*}Up=eF_KctwRn2>!^+`o}% z^7^rSUiTF#-Mi1n_TW^)oL+gA&UR@vg7e_1d`@VX%Yr!P)is=A?U(wxKgE5yWb^r) z?eC?yZ|H30shn?1ob#AfUNiZeG>_k4Y@8p?D?hU~7AErjMqrxC&q%4`a(EwH0LLptV7Nk@@sU? znNMCZK1y2Tk~Zrw*G)NfB%&>CeNp7OsZujx58Gs1+DZtGu4d$l0tt&s^1sp3fm_o`}vk2j06@- z7-g+>F6VQb_V#26yQR<@fZ6jUNvqlF@_D(GNHG@e6M@F652al2inOvZms&YDJ*@$0 zZn`$f{F`J6IS-B{JItlcds0|=byjXe{x*evOOo($4b^Kb){wBG4&R;1gv^y-3$upP9<2y#L~h$r8>_Hg=(#!B9UR zOIG)-G?sE_3cbO`^n7LF^VWpSPdSAdA5SyVYcOE{vR@!$)$>#6UrxF5GNEkxD!Bwy zE#a&b`X`dF+;4h+3jH_9626uAtlmnCs$zdZlrXP~`MYG{?xV!w2dRX-M;xOLmv6;% ztja6=MTISSc4-8r8e2KZGs>#_M%FRSZ;&|Oln{Uzi*{dltlBBX{X404;QJ1KX)MF%`n zT#^tEho^=ml}yW5rg>chQeO?g_~iELsH?A?mvVlxzsggQQ8>Rowd*!Ye5P~7xHF%M z%y}K-dd^m!J?H7t#wqEf5x#Nhm+INycrFN$$7^Q`ubHSdCz_hf<=WmR{`9D+NVfDm0*9D_+o;jI& z&P}VEIc@ZPho+tz*vx%NmsWx2kRz+W9pOqL;f(_U+5H4oByL{M@X4z!z%{iiC%V z6Bn;x4ppbQuKGUgrwTR?Z3rGp%OqY_j9($qxuBl>yO0X&|2e8^J_s$EblX# zjcOXSL424+;lHjXag@<%da&9maa5*mYgB@^u;G9!v=Jqjf$GX5=g>{tjdpYBMw#u* z@`5a$D7J%SQh+ry*)8wXX}dWv7($55dT1(=n%y#VquqST*U;IZ&i&;=-*ea0 z)fAgunxTZm0`v?ummLdOP^w}(quR{Fi~BaFnV;18&GQ&*z4?E=p!$zDF0@!m8c@m~ z(ty@Mp`|{GD7n;2CaJm_WffoEg_D2{4gxe%8Fy~h@W7YUF12WBbr8b#LW7*l!;}&N z1P-MxZOao+gc0e>pV{v}oWkxpp-&OpOCE^*+tS+Y!fgwj*Yu#YEcgEjQ2WhIxv&%|=9t z=_=wAKNE{7K0akWY5SHM;yD&}Y1u*Rau$@Z4>b;P)caB5RPx`p#&5jd2fRpkLgN39 za_c|d7{9`vt{BP*N^h7aehMvb+|wlyvlWD`v(V0+vl~hbv2*I4)x>g2oBt2v(74>< zVah!zWO}IH)~Gb!5<+6~!qDIQf{5#=YMQj#U2Zh9C~5=3=ccEE4Bl(dKApRsB(Z3_ z7Wv6HxYAS8w8S6#w;e6CUz3C)&9tw^KWIt!siEu*Cp0>pB{UH3Qd$#QH`+Zv&^JiJ&$nDg6 zT=4zm4Dclu%wUZYH)wV>+A`h-`rJQHezOStg7PHsFQ$x=gCauBY*vhLgR zU+JJdLim>Ti~1c#aPBz%v1r`TF87%dCuF*(emcr}d{FxEO2^yIhHeYlaD3;-($vLu z#~S4yw~q7rTkTZ);NO|%qdUx!3!vom@gzmBkQ>W;DBE(|&@g$My8XChM~VF)89LH@g-dt3Y$#5Q0o^8gE$Om> zq4R4LUff3sT69D#)d$jVDq(uO%PP~Hr)DbN$oF=+c_N3#n>P`x)&@E#>3yO6FEk$) z8np0{+Pa{`=gFpp!-8(Q(VL8R;~_0lz=iS$8B|xG-sjelT|xupITN%#8X$r-WFQ>~ zt*jwL25!*VLc4Hd;WH?4jLYZp?-jk3G^QO#ik7iH-pJ$Vx3s4~Y0J2!DhE);Bteyy zf83NhB@ezp-qZ(fUyz&UTk9u0cso`k;rTYX6{%57vc`FP=z0WhSjQK{7coEwWnI_g zbwKFcl+nFBXgS(Qub=Vr(9G&*KiJnLGq%5`{r{Ncosv(<4cw)@Ln_k31HD)BN#WpS zad!#Qhc>zmpHUJGiuPfI{6tgGh*RPAK{^M;$`6)H4#txiYW%f69F6%z`;uYE&}?WZw8cWEMoWy=5PrmHlp6mW z$vsF3ebLF*_W%1s$)Mqajvb&%3rdQD#{LB;uCI{0(E5M+zKWuU$H@=Rc>#TiAfTTe zqQs4HzXiu_cJznP3voGGbiX9Tg;es|;j&?Bf%^I{%5zO`;yq^u(h$Dmw{$0G8$I8W zZoPgr-_9mIkPGL?56^kST*%vG%GcT3TWsoK>SfgI)x$%VXMNnXJ+t_Ytzg5n7bZ9U zK+6BxYTn6lApEW5<~iP+8tofsE|dPyqM{{S?y1h}IiD9xq)LB)7?xJ;DD#7h8y|0j zN)xnhgECT4YWjsYGJ{nzZh>7dN*Ry?oy7hr5`iLN(GDfUD74T>7O3+8dM*|NKP+N; z=UE7kc#Pk#h~@j@+yCnx_1Vod7e}EEH1zDDmeKALXMh$RKYk&nDRKEP3$ygdL395K zbVs>)MjgeGI^qG%+!1M^e}TzRdUK#Lo#4s6yf~Hel37f(e%v;ZnxF z-LES*|M!x+t{&3xH{t{I?*uJ;emg}zXc&1YLw#IS zWe2_9(3i{q66SP_sKR}6W3f~7IZwP3&x7mslgIwc@(1+!f|l5yW+=1;&9qpnr~Tww ze{h&u`w(&RZVQ z1l?^!T2g2H*`>v+=Y+h(kK8@mX8KC|nixFKVscJM&CLy+2<>#*L(_I9BExvJq#5^$ z5>qdg35T!f>Pf@69%*e3N@rZ(&rj_S*EgCgCA#}9t`qZnOyK;^R=6hw*SEC79mOwo zJ~F>S{PLeQNBR$x8#LBUv=E)Pw73>7E#p43F<;FSxl1n~_RP^K3A&p-Cqf%-df|<> zAn#0!;!K1umbd0CwTGB)QyT$759^2?u%o@?E_rj|jj$lYw-*B$ScyjYFy1H%dP3fN zN2I02cd7Y#rTEd@3VMUwTGsOh-{M9H?Kdze&lD~^eLvVQaG8KopN>HyHVFU!aG7>Ow|{KaVFIX(j3ur0NqTK zOj`duplcfXKtMUG3fg7F{*?n-KUMD8t#$P9pyg@4f}wdD^fMBHLcmNmQ9XQ z`=Ff<2c5mfboSAJe#+37ccO;|^wXWRHyS#3NQ*SSLn5xR_PtOs4|&e&E#_iv6AXQ8K;L8N+lMGIJVyAE8YPCH zKBl*D(48biWGH9B5q00M#ax5NZNZYwCJw~ScW_YS6{{G(W}2xQZ#=$eoSXWO6cS^p zt?=y2Ex?~*(p)Jfhn$lZyG9mNDmm>SeEuX!pmJ4}ZxBQRes^Z(MZWK>J)_N)FpxGKpFTq1-H?fvywKUm3b~K<_bhn;OM8 z_xI&Tt(>=5LaZl0g&b#kzhWrgPbe{>q=7H}sDjeR z0_D8ag8tah{R7IVE3~f(=!a*3FY&{E(9UeBDJcagdA(eDl|-WKtfGU3>nA8Q>N1MB z`TnKp>`B1azp^o%d?{b|66VC>=Mslvzn>MPSUPD}-0-!aH!U}jm;0gpK>_8e5<1Uo z>ZhYyn(MW-Y>s+)c4a`HVYFul)K?q9x8#MzlP`K*N8}_mVq5)nq8d=fRx}pS(i%c1 znjZdd3qwncao@(!9}e{Zy1k)48KQ7GRBl51;1DHc)|MZhaTnVjf`DEWXuHRr4>Q`6 zhqTDBg}lWWBg2y2D_fFcNa}w`(vauX!<6L>>*85@g1mV?EnheR^>)YSha9ktjgoDE zF5Ld?OoT>^*OechF+c4k2|u9{ z#l^!|;Pb_Xj$#iBFK!FAzK53#lwXq>_0SPAd~i%KMgVN8#0w&T%T+;YrXD7)` zy~r3tspoM)OEW*lKuD*`y{KiNm*sX*^nlGolsD-d)%B3JLP5BvYb+ODSmsRh-qH54 z(z=T+A<)^@_RFIJ=_ED!RLK^I7X>eIUz4do)Kwn_AUka$N{fMdPy}!wgW+Cqe7TR?3B^3`A z>EX{Phn75`IX{4oGD-$7?njG z140c`P@mbrwV>o}kls36+gQh4mWqFes%l+={=Fy){r|E~8MMazA-PqDyiI)cbqz_x zl4I@>CX9SCCaWRC^HN#xBSi-gg>rMB4q(I~vsw{PE{Is&rJ?(KRjfh<;h} z3jAZA1cbZv?%?aADU+kc!h9D*8Q%n5JV$hqY&MNR&qjGY<>NTo9-?_-b5UJ`V86VL zIxY?E&gN%2`H};+vfQ|Mjqo?~=Hew8Y2kT3w%EJ-iQdDAJwhK}{#vky4K0nQoA0Kb zwllS{-38m^)cH=NAHJydi>1sUDh>iV1B51a6OKnx~{|T zJFE`-i@BayyL!F%W^*Mx{p!HtAKuX+e(!F@@2C(z-}^I__)R3dw~93Ab`81pANuru z(?hKYgO<@@=TQ0$vyB6`h}ABBCGJFKd-Dhf#&ww7JWrN5mX`HIYSoGGok$6`q>dz_ z?b$T)IaBgdKCHIb=B)0v%w$G2zY=oR#+Kh=TV`r}(d5Pw3MK8sdHfDN_|CJ3yB>V^ z+jt{(-fsGmwK~S5r4(Q+5B+M(j5j!F{lOdh*I(Xf!}GjOZhYS%?`3Bjt45oy_sF~Q z1Kq=1Zmcds$SUeMngE%Fr9 zTgh=``wvD-o2Aftdz&>|1%1G1HSLgz%W9U+1*F}c;A_$T_sz#BDG`magK0VRK9aajA@lsnhe@>8_r>N9^`S(}RHz@g2 z-9UW}WjTQel)Rfc-~!rR=FqPye0{&02-rYLJvjU}peq|%IMiXw56`#AUE+3}_{^w( zO|0y6gXS2c{rAAZchDU%M2XjlrpfNO6_oOV(hv=4+(5WpZ=i&Jv;bvf;W*Jge!L{r zJyGtry`Kud$`uOtD=ccxci}i3l~~`T(UNdMd$GLyy|eZUdpK`sei_lwvK7?-m-!^< zeSg(#sV{z1$ApyN*4oJ5w&hQa^QTsJ{Y&hBFKPzSQ6ATn@6amw1X{08;tnk|gugg{ z;T%dW3r)~ zaDQ4~Ko9a((G2mM`05bn@CB3Zg+b;o8XDIHoNnmz0kAa_Zn z+YH?@pjR7O#w_4)xuN8d!hxIu-xmdx9S5NQ6wv=N^bG-huc5O+UP*@f%d+UBv;aZ9 zpIuOBW=lVc7$ENh@gZ_>qrFo|tRsPXfy7MEKqqP}U8CUK7x#8(QiiXbv^ol^s*dDa3UM-=$QW6-ne9@N_ zUrBy=es@UAo7>JP?I>?^T@W~Qe<2|6ts=CS%FXkXz~L2!{`U|?`c35x+P+<_dMNE` zwDYd{y>_sU{l>mt zcS-9h6zf9vJ!U<4T0E3~$t{d=!C{nn4MtQJB`u{r?Ly>c45^gs%LoGcw}39{F(l-r zw=-MhMNS_v?(3Fz-9l=zjteb4+}kid;!;L(C>Oj0|Liaqg61|1=niu8jH6$345jB> z>I>hA7V9Bj*-ihV(6XxtzOla8)zH}D_j2<5z`=V5X9RR3`pEmo@Qw2g+fc;i6^btLi;x4!GZ0+l{}G@`{6~t-9NIb8zny^Jcb!nWM5po16f;f!u1x@S?2& z+Rt21|&lW#N@<+)5eim%^9P%hK zd~TM>yQU}^J$Ls$;z;LaLI*A7MA6w?LrZ-RO+S;Tx?0<`)9Y9D5yyGD$HRSguC$S` zC?C6t<2=27Rj)IZ-_|kvjbm<}LZkH6yH-_m1))@L5$YDFJ0n{D#OJhY4H zck!KM z@vCQ8IA_jW!1b@@%2#JKOeor4j(uNn^{>C`zKN>36R)+r_}x%A!r5KbFQqcBZ?bTS zXE{5gk0-jBSb82G(&X)}^n7=4J*wpz{T^Yio?_#tr+(|#)6-8%nYr|gvM#E4`WYpw zNjjCW*I$bbqX|%Ld6141K^cdI!{XJJ!g##J5^JcLYDGU!LL}7KHkrr_{=#iVPhH-A z#et@Hzd}Ow(68v|?ZHPIs6`&P*wze>k%F4CdQq-U*vIONI6~uSTwA+1tfp|u9!g$P zer-$nIG^3mo@)zhQO}-}LX08OYU@)-(`4~k-$3d-Qf|I8z?0sJmsRBqANIfEdY#Yw(H$OU0io-`Mo!|?rN@ZAoPq@sN-Y79xMsBq(Q16>AA5eNv(8e`0tfT=gy)rnEP7~$JIRZrnJ&!=Y z9A41h7++#iP#;%ey-`8`WVEDKLFqdq8K*ZD^gcrwODU+2<}4df*7m?b`MN!=AqZ0S zc>pb}Z`$x2vbg2}(s66~A%(9DGyU6$8G~LE&@BwTA)uQWdP_hzH1v*uu4m}a0=kx= zzYOT(4E=RLS2Ogt0bSWp&RJ0lu5HxNKLvC-L;n`gM;OY{Cxru3Jm}#O0sWilY`K8` z$@>?jtpKWR2am<7hEnnuc~>!%^=}2$wQruj5*X!YWq$rrW z3k{T!eq2D&J{<3qyZG5aP+X~LiyvxXNAVNS+dAID@tW~2p-}k<%Q<>|OnAk$Jy)Tw z5{+6PtBVYTM@yu%Px_XKYRC0W`YM9nVoL;sR5-~fUL+bVv~B}^OHb_#8!y@!Rllxd zEVa8t>Py9iQ7V!Sq`^n5)VO(Q>!2H(>qJ&3Y7fu(`dX1217CVr@QQXh@y+b<&7Ah9nb@e?~`z< zOK)g@EL9Ug;S1{fEcI+a5bi6C_ME`iSJFH&@V(M#OWfe#aXUY7aGiZ4p!*6vEX&G3 zIOMYdKwaJ#3zzJSz}L@8+bqa?tH~Q_yXUaBlpyiUY0at`-d-R-JfATPjkj~<=6OIs z8G8Vw*IziCW$1nZJ z=skw+5YQhQx8s!pk?d^lrbStM#qZn{I8)K2J{v~HxDQ)0^z_IS>eEV zAt>d0L4RoIP67Rnp}Pn4VnZou3WxIyrSvE$r3In=eLz2F=qm&INkji7pdU5#^#Ogq zp>GQ)Jr6?b^{aZAZvCETdU>GT)zEJSH22By>HRF)NTnp;v|LoxkkfbM1- z;)z4P{`#Ci+g%#Hz&MmKCS>qYwOAUx)M!r$e7m*NU3$7?aew8m#y6I!dl-6A2rZAF zA+NV#z7lA?mOee8-6icI7TW&=+EL5jX1Yz@&gjR(DUQ94Z)e@1MLTo8<=h!U@wAB6 z=cB@LH=J8v8C`^fk1md4X9ro_z8Lh|ofg^7sL>fQrED$pL!hV19Z}vmH3td%@^{ge zzoZb~QX)-eORMe0W;>q`mYQu6`+B+2ek#!B_8GKZu6O6y>jDSH`S26#A+K9vpUnH4 z6RC${pVvc+9Ck7pVoBiTZ+A%$%k^E1!-XMk&LP$~-h!SNX!kJ=u>^m`m>erWO`MzH$OS;#A^y^Dh zS4-;p68fg=$xWTlyny}#WzGPUnzEqOjG*+33i=&GsVNIe-3l$|4HT4doy@_}C80Y2GX#j1?b z0|X@$o{yGW|MAAflNHi5agv?4X*>gdxt(Z`FaU@dVJ#mBk&^>V76xH9fJqAzq7(K&|pnpEwSRy?}$ z5yPmnUX!XTA0v%3Ha_RxYf`lo*D3hi;ykIlN}bhfQg!7s#c`Hk6Dy;7O{$iE_ z_~Nh0IAhbj?rK*{`MAQ$XKZK{mOSJm4P|_X5_~3Bt-{x5qh@a`7;D=~G{}h7bgprM zFMa9h=8M*S#q-qX-)1Tgbx>)S~*FHC;KY*W_e1NnOmn zij@7#a#1f+;_x+v@~wpr%5HL8e{ZgwbyUs~@-v8psP=m&jx|>}uWy{)^=Q}+UHw}N z?)v`P754b`GnSY^D<>i$3AfLy9V>pyDN24O|6hY2&a%P}C_(6{fFApIO0=7&3oQ*j^pQ(cZn3G95}j_Poj+PZn=(c>u@gCe2n?^oDfS1=j^UAf4<)Q z%41k|70%O{PhxysgMFHil$s`PUB^md@L#RVQC~Gt#%U;lSswz$qTJCs(|aREY4h$X zIzW@LmG3>dIF>Qf^4=_Ysf?WXp2B`Fho;cHpq+gz-A)f^zn4RG<>T3LgrnapquS~B zBB>sHefub5)V?R+hLGR-y0c&X9rSM$eo>X#g_jpd8D7QSDPul;mUPF-s7 zETzV?L~oQOWxkfTsjbH+E!d3+r#>xI4~t@N0UR6SBrT-kTrbp1wfr3Ke?y&$-* z*>b%oxIV7sIxo1c(Q^G(?dmaE&0Kes7-_!2Wlf9Uazk3sKO4$wRZU&czZkkqKp7!J zL&T}jIvV#E{lREgscAhWc*A#}htPuCbf1Tsxnyz8LsuLqiZ$xx?=PfO?#o|Ep1Kz5%U^6^Hnm~4uvWzb@+>V7`dMP`)t>@Ndjyn~Acb}X zLnDVr8A@A5TX*CbT0za3`@3o?T)5|$tGAVKE#a&tu7pl&tF*xuPHPMM=BBmpG8D-c zt_)KA)G|bUPj`wDTVlF`{P2t;%A1<&?IMfn0h$dAy(XaEe~TmbzH0xLK)aD~xH_Od zey#l%m(2M_4s(sebk8ODsY6i@oJ~N?zZK-&#ZdMz7VSLE&^Q~sv!QW@Y%fD2-(3y; zdf@9TgU{_m&kyK6#$jGSJ+yNIdVtY(b2vTF`e@^=;-|!TuF#;JPXyXm3j+GFAxerK zZnR$uD5F5omKa03uc6&vCMs)nq4nKZX9m6p8i#Ja+Yn@(-{J5R$#4L1B+5rpyX7tO z^>FZ6e7x(;hAz1(3T;zYb>;GJ!}-gDh^{p=}a4+-@AoST`IFH4di+d3_(qoWS8W z<514bgu{!C!+Jwn{_vG3+M@9*MmLEE(0xN|_8W$75zuQ5-7uiH7`k^r{i{ox1@wBO zjbAbHZ!zr|XfHC_O#))ArYM}j|aacW|zc%y<0sV!cs|577wsQmd{+&qf0HV5pq+-LiWmFEswD%|D5H?2T>qn?D+cs_Lst#x zy@ozMp!AvuZS8>GW$2uM-fAdqgCfJthBDt*(CZAPZBS6!BZT&(fd0tPG7~_I8Rvv{ z$3T0Tq154pFDFk!yGKATGL%}i&|Y9DwRJ(Mv*AFQT~Nw%P*xEY^vi}){uGq53EGzi z^b|v15zzlO^dACxlA-?`(El{_@PK~A(ANd@1BU)vK;LKRTLOBlp>Gc;U)d!Vz4fUc zdh1g?EF9}Q*Xr(m3{qPw{TQU`VR0XWq_m{Yy1%#IS`Ymgy4u5R8AEsbz02%)IgGh( z1MufT>wU$sARmY69vO-IwtZD7ZN2p6=gVC_mNy><|7?)qC7ra4 zJK(2`obu*+h^aYKR^s*$XG@-Hl1;=);;fNdYMeE4E9tg}SoS@_Y$wj{xIK)L@;)CB z?O`{I+a)1|b}{tqfO=|9#CEzZ>I;Fd+fH};VRE)ZdA7cUf(*gdMhZ}`t;3u-WEceV=_cb_3|v1a*S*ei)g=Y)sfaYOr-rA?bkz#rM>GR zmdf6OjvQQ*-EHNVhsK{FjrQwvfZgr0m|G4ooyD0<=TK(E(5uf3c9*mV`Suoz-X=8%^fsw_=xtK<5X(L+RIZ_p`iB5ZfA?m}D`ujSb~nE~O^(Hh8T4 zr>{@et;z1vzPtAoHOc%gX%fffyj|Vh0_l!%%q`t*@VzXw*jx0_VjIT$0nF?c{rVc0 z?p|G4i$a{d{}x;3hnftZ34FWzZ{C)>J2_*&%J+8GRm$yZDYT^7 z8TIVpW|O7&1^TQMV^Htw^(#g-AG5+pb2r-Y`JwKWouz*at@lm)6{DKp`W2(PRwAy; z>{pDcbu6hXMwgb7U~Rc65xTzySyr$?%lb)Z8R1i3ptQX~N1?scXlYLs4)YA9^;S@N zCh(=LSWsGlpv+1Yl%5o5Sp|w@%mXZ#Hiq^$qn$6$!uK&2#vcQ^vZ40|^!bLylJ-wR zL+h%q-!w0k-WMt3ZQ6cEglebX5ux=^zav8R(C>&)J@h*wbR{)oELuBJr!cOwtUMXD zD=76QXsHXK?RP|I3HzU>ox@StaE0JU41HZd`yCOgGe%;usNVWi53dMT((lJnEBS?4 z2|bFEv-;g&svX8^$V2oJiguVY0AweC0s*j6y_xTsh80IeNO-Td}i#H-c)rd$!M4kM(Jx zT6&NoYS;$vcj=f`WC)Uy>*|oRe7?JT20E^q@)`4qtQhUnLbc!57pjN8jOxoMN}=)B zfpo8dyCIZZlUZ35SM_+=*S(%=;g)^#Lfm!}4WXsD+r#Vm*pBkmO)+l1a;w*5uSqP4 zSxKG~sgHY`RGl&3TiTNgPm|0wFDqelCZ;srLR%Cx}?d(%8(cn{{pX<5-}!TR=TU^1efM@i?|HwEd=9OTrC9ISZBtQ zUDLYyjkk&HntqYcJBQMmng|EqE!^GHxn1Ncd^fPrCbCE6w!mSIafrK7d<-o14w=Cw zZnp#ua}ABO&f(@jyRp%Bw>7(CaZ}*nJwDcH6g_xrHF9uGUKD8eFd4dcJ$3JAiG5LD zNq=$RyQlFzJD>*`dVWBiLs{*JUVU9Tvrr{&`x}QCn)i~+%1Ago+h{Kc9LA@Op}7qG zzH7DhXi=U6dYe@3^fsw_h-IH!NncKOSxH|`s#f~CTlLV_-KvMa?p8hY?Mc-`UrwqX z`f^hB(3g{{huK8-ZXYT4<)UAn{-y3a3V0Ky6$&h^Xs+Y3BpI`q44vJmg{?itNXo9P{v8SIlJr6Lb!VyXLtR1aNWmT;mUoc z+(oKqwp`DyUC}HuAJA}By8{)l*21zit;e1@GhA#u_A(~R@aOe#I`%Q?Te<*K9p?)vPOYm|Av)JqW8#6K6?xW-N5~j!`s$X@>*|Qy>+>zjBj0U6g$GoSe>fnJ8ypy zTjLqm%KzEW*jMoWV4Pp@xz*T9+)Q}Fx4Yfj&0)G{XXly>7fZ}bpL8!n<6N5ej$(`4 zd-wN=pTc2P)8rX}ukV+l)mCWz6#7wG?>@$M%8&yk!{HWM_jw{`1zPV9o)=I*)$$tw z^&Uh#ujNWh`E5zOdd(v;Tw!SGlY%}=ZpbDgL;T{df62G|6u9mfmsx6L@EPLLX9D#; zQ#=#RzwaAk>@swRHVR+=I`5Z*exGmJ>HfBE>GLD6&#K3-^ZFNpqs}}Q#rojjUq3GM z{h$Y!43V#Y^|zd73hhBgTXq40`stJ1Y25vV;PM3nIK0sKc5A0QH8%^^cfDEPX+gi9 z-@X*k7g%WBmbz)+dxP<1eNC}-Uo+Dki|)|6(|B$O?M4eN%HUr#j(Ps27K?5f)(?E| zFuo^;SR7^?{wJXRZG~=4t{eFN)cBUraDKl0&_;=06TVpz8Z>^p_y$9_mt}{gMErrF z-CtFn8)*IO$4?IE4~;|o9`UV)c7N}AyTIW`MjOw_^Y1cu$L&dh!;g)_`T@P&(C)Zx z8)&aE+Vui@hoRkZ>;4{bH;1T6|L*lVAvFI2b9XGdPwMIZVsy6*TZPcBwz#bo(4QF^ zzYXo*vfeV#USqWJE71RIXm{MYzvQ=Wcl=#GK!<(=~S zx3|{_wElhSrv%i$ksUSZdRQ&c`j@l2bK#nS)=x)_9PTxF<5#xtGqgKj{9WMdU$~A| z;%WStK>L5=h8C^F?Kgfo+rPcNa^UM<1&>zZT3;d1{@!F*DWLvU@R$SKejgoZ|6m-t z-HJ{1gued0;QxCfohZ^6wI?;QC zj`W=$QhsSkdC=J3^uF}P;#&B65Ad&n!}7*ql)mYjMqBzVgzf!+(%J^ieJ4=wJH;NW z_bf~M7g}#^m-cTH{X~e{tA!rdj|FsBLwlX6c6yzu9;VyQwl_PwGFaa>hB78ra-olj z#T>hZ(dx{ARPVJlckhVb&|KrF8r3ubeK*LkqtV7)!jCZ8xG#X35e~6@c%0G39P8`s zyGxkT%ZHzjRg^wDsORp;*T*W#2nMuX!o)JlN1kG6J|ZxYRSSzU-Tb@Pany1vi8V2g zsp;S1iRIbjXE=poY2RIfd%Bg85v2DK&Y4QL?wmD|wIbbP&_4-z$VYD{G6o$}$ZP4C z3zxBUi}}sbD4DN_n-?V8&8&o0Y$;)$Zs<1y>a=BKj}-FUGRnG=UB(S9T8Z03ly?u~ za8Z!V+a;$2^iZSiE(v0(v5(P?Qm*@VoXVIZdT?}<8q!Pq-ukqR>a9=p&|9DCp)dPX z4@+X%=le`2(t3^URGj|)u@!Ljyx@pZ2bD@_H-DOE^ulu}ocS+El3u8%;Tic8_94@v<`gN~UC~akE zeYEbpq5Xn-Z@gazqMGd2fv6t(bs(yTejSLGef>I+@z%I>&v(Z)0{uD=wIp9F(XRth zi|W^bs2=)tAgYJStpj0|2WK|XrdvjC{RhfQ57562+kK!b8~TTUu4L%%hA2M&EN^`) zv&@T>8FRGbJ>k+n2KD)tezmcd`u%ES)x)M_q&h!hCH-n+)rI$u`&MS($|Qq$Te)9t zto9Il65fLDR~xH#x_e)7jcmW#SnD5ONjZ zzfC;9ogo^e?&@9-6X%3|wmQ!K`dnRHA=t0jSH1Q+Q$6h3+gY8@d`0;uqy#IaeZMnA zt;Dqx*W@_b>rAyY${NmIXR0e-2Qu9{^EHNXwa}R4>WS8-qwH_-+H_thHGDNoT$AH_ zcOE{H?PhgL)Q+#qoNhhD&=>*WUPV&o5%QcbPb@&cH^-0cG3( zKgY>W`F5LslgeG+3(4@Cc>Xmwch#@6xioJOG2nc^appU0#qaSZ4Rd6*tbwzCZO>g} zxNkPj?i#~weig3fT!-6h0qsvr3&)E3iY@q;`aHGun@yhDo+j=Zzd88E3E4vYCe~4{ z)Q;Z|^e->EEyV8!{)_pQ$1q1ce6&DnlLL;o*t14BpE-7mxRzGnM&{@0fO-$2d*yJy z3rzJKS4I0O`R)~{W$v03>UV*u27BvMJ?v(=Fs^Ct_aLfv`aOuMhkggF>fun!Efd*s zGfH2~?X0Zor>u5#l#zh(cX{+RucqHOLtCRS`(i2ATc5VwwjZi$tF5I2VeF|yro)_}5=kAh1jO!gL zG>jol=k5mhvT|a&`C?H&lAGs5%JrCAo@5+KTLU?_l;K18#$5=WFUmY2w2ppls9(@$ z$qk2+vp{{+XG@%hE7biQ^NE}{-n|?7>>z`mKoIvwcxa_Rgk(N*$11uanV&-59plnY zg@ey|#k0x%%<~vyKViL`dju z@r-sqJwBc~?dMi^$1R@Gev5^+YLLOtt?rInJfq!DkMCYd-W|7iM!TOL-_0Rv($59& zKB2lh7Tx;-;tACcm>%M8ftAFnvF_ORWX={ew#2&U&!yK1*_G(@wW0AF5SBXydQbm>gd*yuP&}IzSI@4}EJz^HASf(UP`rt!Ue*yGHL@ zE2^D`b8Cgt(?`PCik3N~cUKxX)Y;RFH{C@!e00960cmZrwJ!lkB5T2J9%_X@ccS+27 z`H#_vRSbuXDB0}3(`R<~E$f~kh&;hUEF`f}OrwQhXT%~3HezGv1%e1xfq+^oh?qtK zYGa|0MzL__?d{&~nT6T6`{tXOZ)V<`N!SN70H98_9>)dxQ3By8pDT;o#)1lb&Xp@I zPFmP(0$}hd18}45_ECmAITSK*4A(f#eI*>vCL|ydhM|D((u{CvCyPQ*OZeOjq)&VL z*$V}9^>=goJ^dC@cA>xnTbv6to?MSWE56^nduq{EWHZN+u~eR%Cn|1PA}@~s`We+W zFD|uzqu)Lhic_-*(@nx#ULU zwqt4AU0D)NKjXTo)g9{({Wg@PF)TX>-H7^n^DXg%c9dFgvC?cpD?R`9BT^EuuGFkq z2aMwG@`cr7)PsQ2e{IY0s(IN?BC`5gxk}2x-?9{JA#$Fxj}ek!^C@R^`x& zWnl(wKKyu|IfCmj3Xy0;o6?!pQCJ&1KuqrP0&l~0|9^NPLtL}2)0OPSbz%{nwz^-7 zpBH9$t*Ft5bf&{gBdhpBr%2PFyjVf!^W^jQEP3ihu>vQ&nPpoVfwGLiz+HgHBgBY~ zt;l{^DdM%r<9Cb}Zir=vfpYz|Uc843bS5$6iw)^!EUqom{Z0EIJ>3~n=y-#$gkM9; z#x~KT7EwHJ+QY{9f?LrN50MPU8;Ff^XhcyOjASJUPe3t+r0WnNg`0HE4#dDbx{Rts zJP;VW>($+>+^X*MB8o;*Ga2d9#qowBYP|?r|GACQ53A!zzgD7r3K(@9feB~bDNIL= zH)1s9o>+SlHIWu?h}KT(xjFW1Vg;3P&fKjyYTh?IkJm?elXi4VTmS$7 literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp2.ddb b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp2.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7d80f9fcafb62d8e6f2e2d661da2748d169d45e2 GIT binary patch literal 45260 zcmd42g;(26^Eg_=Tf9JvJ1JJ&p|}=GptuxwcY?G?akpYYgS)%7Sb*T}?v~*8%kzHk zA8}7kK655JJ3E${o!tcQ#ful^STB)J7vxI<2{p`|U924JsW`aUKC^L9Nw`_rno@Cc zaZ-KeW#`~z=lsmUMWtru>~3XZM)l3e#Dwt{B=wpJ zJvSE}J)A0=2{pdcbWk2gyqj`xosl*`0lVkQkY~DnQvcKk|X)Gx% z?L{CELAVm*{mI%1) zofE472*N`li@sYOoT?c9L?Po+yTYfSU9AYb^uM}jG%oqhMV~kYP7$OUp8O@2#40C& zxWzZ>4l-nw7nnwc>?R`;-DmH4rRDrfQw-QIKP}jXT_viy@Y#5Mf9}*)06gn%pK3$( z@`1&SNnoIQYcjtAgg(hI6S_Uc;+1m9V|bvl5qEu=wJqj->x%u9p833D)Xd;Nth4jn z8Afq_y*Su>riJe#Pr2U7$1Z&8>wvLZ!Z=LVAE@QzrOa2x-v4@+--AMc?e?#C`XI-W z>qCJvi-C`wxWI%9P6cy+bEoq_nX`}8xTZS*#@@b@eBtx>{xpSlJk-c}>7s&XH-7W< zcl*7D{PTDRCDZ;cOKUKhiuc_kWzuH6Ecn~T_zE1RdQn}zeg3pd%oM|TObO$=m-F)L zHFklXFFS}fhLc$cI^TcY@*JBfWufm+FlehErhF`7+NK1Zr)~#51*#G28APu>-!z~N zo+~ce*M^eyvotKBIO{oOP7gic`j$+2j5+j0zrT~uYBSdqn0N$r=u@P0!U>!+?!m09 z?ENVei`IehY|&sjZ;_xpjf35|`1I80o&CbO7-Q)U-pFSXF)St{Tn>3>)<;%up_J9S z7JM0XsaqbrD4M;5mrClJAzJaNh1oL2B5E3&SO-^tKmCBy>3iy!385(&WpScXQR^)q zPMSiyC_Q4x9XFt#ddS3efN+Ybe$(CF#s1VmD*wyOjvm}lDdyr*HV}cWL?UjOBzida z?sCIcXV2|79F);~kG_vNFzJjW>0=z9buAt`f6l9$O|&^k*|2QC!ZZXyj^vq};~38z zl=WWF-o$`3MZ^9O+v*$8X!MpeY*A=d0Cv1>06Q#7sloZg6&0+A$f13n{nYKWzQ375 z#bm3EauiLC6%``fdfQJUvri+p%xZ~3cM3_97qlnSx7KY9JDz6Wv+%AF)Aj5bInKgt zf(PU$zI+MdN{M;8h>tPpvS*Yr=p{D082~B`8BT?@1Uy$;oJN^hLx}T7c1~xe$|R1a zEmWF+%WN((2n$xmHhj(;lLrlO5JSh(d2BgAX9e)D`@6sVHBC|=`2^T^>bUY0FkVliW6|-~UZHr>LsB*|q9krp@Sn z0DPbIMgYgO;>Xq8jwM&uRx?&ibywd0rTrutCJNf{e!s;rt?H1JSN3G{udF(fpP6=t z?t|B*VID{n+9|;L*WZ?T$*`6!+e_5GQGsHgl;7Z&p&m77X?3)OvBebf`xztRd7T96 zk6HFVdI`Fu;PzhHd+d*-{FN8)J5d<7m;zu!)0%^CguMfCSiN}hzOVA}pnmiaI{y%Iy6O-gF} z#H9=$Z&`_4{egGhVU&IEoE=GFRKXW>yh*%J*Q=RxED^hMpeTje#+Pg?jp*S5FzkjR zlA-hsBk7NYcfV5e9O%O7d$v7)=o2b3+U2l~Ccexd+^=vO<}_MQMbUpFh@JekJqPP& zWJ$#B?dlHg?5Y_Y7PT<*8-7pO)c@!4&q@{@Eg(Sd`g8f8nCCD=a@HXW%O&r3n})*v z8hhySQGu>IcR*#lQW>GMY@5KUU*x<)=QvuVz*%e{sBy&+qEfvjZi2(Fbt;X0vJCHX zYG0(_KlwQh3hkFSm)>IXsB%`MYZP?Wh0BYEv|>?*x@}lB{Yn0whSL1<^^Sb_3#WLh z3s(uRM5fqz>@P`5f2{HD>=_HV3!N)5A+esZMSFSK^=kX}*@ zdAOS32>)1KfizOM>}fp;_|&gJKztOvf9o|2>OIQ8Yv}qWO>64Am9MN^YFnmP-&ZcS zE)12HH(Rp@n#u3v++FHAh5EBF;&&QHY*l1&1mC!NcjE>bWg>byNhCs(>#qxmw*%-} znW)iP=xYAq0?<;we~4W#6GSaI_Z3mB7q*gVb|yA4hqvm@y~%^-85x}l@lnlFa(d+~ zw0vlf*1JDuCCcIHzVL03+60fSET)iWd+aqc1O2HnG+%p^E7eZh)pa16Y?+h}v^ zb*$~@-kO{>58f_BqQ%GBPmk`b&-S2X%GHYP{sxO%)nlEfq$?J&GJR-&qs8s7W1S8| zQ1ac86YTGW#-kTd~gO))t`Hmiy{}Yu!lK=U)zeBaP8L;oqqOF zgtIyziA#~vow~F7=ZHYF>}D?tkt-fonlAo*fp$=BCi^IkG zhqNn}&Rl&cyB+Kz0*MA7Rq51+!fap{wMcXriMH!QRgj~n$n`xIYaedhS;ZPepfT37 z7nMj2jgTsJh(K{{XD=QXYJDo*Sy%H!pdP7&&`AiOCfnrg>K}6~!Y!F;u1j7coto${ ztE-N}hbcNm>L*6Z2zJj%9@5b%k~ma?Tv4%VC_U|2*Dvq+kJry)wzvy758sD zaw)21Qi~i0p4hn%+BU}Dys;-1(3W3YzDtXAbSY&f#vdExh_SV)^tne+C+L^IY~(r! z#C8an{&HR7CY4(~Kn33aLj0q~%FisE|FTd|DtC-FktRtb_YmM$@9Kq*x_BHDC(4_L zh981~twTgo6JNi(GP$znv0gyWTp6G=Q9k+Q73&h)bn;rO&#ovpUyrX7_KyW-alh)E z`A6s%6d(vL6#E5>eor=9ZS(ha4mB2u*TE+afn${6JX`JS-?Os1>?uh~bEbn_Je}*0 zkFhB6!eoSb1?^v2d}MIwoP^rg82;9&#JQvn*N#><0NxkGJ!m2>Ixl5?&D&viQ?27g zUmJC-@>F&0r(4Bub>=i0Lf+OQIxA9XW*eIKg^kM(5_5>er@nHhTmUmZC#y1v(LpMy zc>PU=^MSnu1>0uLmcOlQ>X;1IXRmn|EZ0)5l{((&hQsa*0ThnW%RbcaqLdUAaR>jp zmWxTrYJclhdkDlP19jab^}&zYA3`;dmEd9JG2w=0msZ2lOj{vg#=#~;`!OlFHKCZ? zq{KD28+tz;B}}bq1SXlo+A;e@kwE~|C$ClY_Hk-ZSgEw%vN=yyfmG5blbBJlr<0w! zN^ds#CNUCTe2eZkroGW$$8%oUR|zlnpqT)wCpAwEx-kcKu~>MGbjQtG5|{-hKQQ6HA2d7YP7!uz^LF01N$2IfOUCJO zi}o$sMC}mP_Cp6wXSMlB4x1=7Y4Qk2!)9_1;eIqdE3uG7atFT2L}GH`yN|z%0>aWb zNlDLQj%8znetN9vHhSnT|8lr)_qwSQ5`*rbEtq(SHC=a!G=~Ye9-WwY&#pQ>B15K{ z+F|swH(95y*iJ1Xgp?)5nv}_z09U0>6sHr-JLik=T#nL zR%7xx6eQ^Vi~wbE3Y3<8(ra&-){_J+&L{}S?7eCUTAY-p%2$Ep z@l$rQ_!a}OXy3!JI+ncsBJJ1a*LA710fQr#$Y8Y?L2 z=W*BwmuvLrLTZ*2MD!v>q9AbZe? z9W0@xM9iY=N_fP?<4O1u^%?vUdf&cK0ij=vf=`hy^LwnUO`h=coDYsgv4#9MGg@sP z<8=C}E!YQIqpR%*Y;751bJq)wFSklQBVP1;hVizi=U$2Z`D1RE3HaGECXP$q|kwQrkTA+Xr< zdLEQ#HL@1nQ&e?Z_<|iNo3h$Tqd)rCf0V~2Ijkq97dmqfD$}WqsE8Y8aYry}@31kR zh5sz$q8u}h`?z6kb7!3%_L4oEgHNB-lu9REL#b$|cJv-j&%W{4tU#f;^~c`|tZcjsd~oS$M+uG#S!5qlBr_&;Iu^5(g`Y3oS; zGix2lb>Qb^CGT4sT0123uO&$}5%ozfXT<+VEQ79W4}#j$kT%%RVT}BmF{WpoC1pK9 zO3h&^SQ>G0RK4mSZ{pW8^*}uiKUGrB4>Jf&QT@7U24^@2l0H4&CQ&9gC@FO05o)!5 zRkLZdGq!{CFSAuQG^^ib--_TZFWn`RZ>@Q!5#@q13(jlo6Fm1x3P01`OX0JVL#SG0 zx$E6c32f5)_wT~$M<7p0a$mh&bsIGg$y?`^NN)Rn$-l$f3XsHU#+6if&7bL}6CqoR zrdeK?mGq8s!-x0d7dAX{PN~-e^dIcc{()kMIcat^2C)*)(A6Z?AUqd!j>VgU1n;pk} zeejB}Qs=mVJexfl!w`}@T6*jZiMFao!V4Q=7lqLmD)6h8urg;Vz)3+ zd{uLqp32o7?C-4iwvvnqLV{ea{tXv+Al@x8KsYCa_)a&WTqf#Z*>a0_@q|XqS`^*c z(JEDZU~JqE%0Zs_c5i9|Z^G5|ZsIDh1SOTd?RT{3-k<4<9%I!@)NRedo7RseID5-> zM>i{h$YvI1xWuo;Y4Q}Oz%%ZUk@(^6o7A=f>nhK@E7sH7iIdHn((hN(wb4zlE%L~1 zh+}5#>sG#zFdNYu;OpK?M*62%bw%`W1kq;n^$x|AHLe1gZ8^*@@C3~ZIb8eCj^`+p zvBsk8aM7mkL|e~ed#VzbJxNrf$sL3Ry{*yNO*!ah$oex&$+*ZR)=E?jUJwrkhphx) zNgNMl=ln{ZmJgT;nC^f3!F8rItiI;U56d!COYQ10e8kGJ_$=FEtgVvs*)K^`JPk$c z`D&3p@`b=;RaZsqxOHE}vGfMCc6rbkX(O8cy{%z}*zI?j8R9ziNJ<+V@8hd%O-r1+ z-eYn0RSJYN5=>AadXB{xKZXuchI~P5_yN*@txzCrkHw*Z#mQ-mUcedrI+1L9YKbi; zZ$%Ag?{jkYDw4zPRrd2Z1;XW6Tx^j75r_n16o|)T@zq%h#PPAX3X(+SSo|3&Tj^q8 zM~TQD^I~9fVGgSs%EeYKy`|U10)CzFtsTbQ!&Np6N!*VlE)vU>tQaumQ7^oiY5h;X+Esl4{Rd%BTj(6=}wVxU2V$e#2>?p!q60%MRzR`zo6fi9KCqpW5KuU0r4WCu0@!jX$nLV5+H5kun_p<1{&+M#`*uDl2BV+`0TNG=R5_16zOYlA?xiOCDMyX z_thc+QkmCCXCh<77YUF75roumiUL82bY=_Erwf4{HAtT#!*1Cjzx}rd%$hx4NSQkU z_XvP7?L-_QziRN6*J@8ijb*pGsJpcd{ujL(mF;bMaWBfs>jHxwwyp;30K>*|$=XbI zAhDT{VLq|oiBH_ILY#uB!w7`9i=ak6&6K+JbM{|oW}AraZ1IM?vIBOM8XC**1yqSd zkG8JXs~@TnQvKGPb_SlsYA@WmJ{wbyF>Jo7Mi6NHdy$*ZB6jrM)3LU;n^! zeOVryx<_Q!FW+63{r5OQqwckW>yelz9DcF{te>prcar%~<$BLlq`KTp?mvDLJt;7B>7%JQ{HWf^<=3=dud*bSr`0G!f z(Xpp4&6PdH-He~Q9NWce=z>GXz`sURRzOwYq=4t3fYvT&8vRoi-C%f^pGwSN(v6F$ zH}$1e#Bq_7qrZY1_IMi6VBHeTodu;?OZUR~JFgGt;Yw}nMjU{gcIPM>k9B*NBUdPS zHH)!S!GrsE`LSNRb<{qInOg6BZtZ4B^zR}GWtZE7xmREPN@vGYSS38B=ACYYGv!^m zuiJS@;=N4)x^b4sLW%u*OM=S~qYzSo4VQZ6xaUoa!rnSCy(=+%`Mh@^*RJ|>(#O`6 zuPvhTuck?XUCI2YU^=^$*3I^|m9%v&-n@D-irGnTRuflr`KrWKzR}P1Fl0FC%fU#BgjwhGd;~=mn7TBYGpv7)}yIUJ_5~KOy<6x zP7Eyts8THZaI?ehz5Wlch~C-epf~nV*xO6Kt^2;CmW$-2H^L-no_Mjhv)Qf*E0juw zF$oq(fq3$6O44I??Br)PM|^qmrn&)fF@PKsg}*0}@i7&Z`E=>!*ZX%R&6WQNgD==( zCTf>-8wsRPqj4_`ibgJs6y)&7tftzsW01PWNGsMRJz5=^cPOxPGsmc_YRVo&U3k>aeO+ED69$G^)tdcr zEiL$JXr=+#7D^olC$ACC#(jca#F>AN_=}LJP0m3fe+BS=UhBl|=;4JV1~j}J!Z9y? zs|gT!h83y};`;TrG8QHV_;uYU<|i`sdk25gN|59zCEWGsJ<2GO;7tR%AI|={$R{E~ z%<>I?+QwMtMV0po#Xg3*41u~^GKdhK!;o$6Aj3}fe!$+f@~5D$KPRqrDoR7Z8y9lN z`>$cwW8FXC-pGO7l~LrWd_rw=h=DWEcU@C&s8|#0w!vBBg!<^mTOUFgF@p5TL5ekE zoom1OwlJHWAB-El4#R~A(6@M+OIG7Qu=ukJ?cTQbQpYnj<}b+BX0ZacP1zt`^LiE+@5?~G-P9A>ad z(zC2F;&;aOK%1Gj|Ae07rE@bbR&cQd#Rs#+U?;fIaKj zyOxMs+#QD>unoO;z%5+f-3C4g?mKKRfiDLBp|N7<1B*C#7uG-9MscZOr~`S7;Yx^T zjo~MqF4iz+vlEXdv}*pzJ@S7oEEv-MyJmNRO!VqW5?hLB!Ar#V1;1kXcAjYJtFj}? z07lM?>HhOwJ1W?iUWZyl#tD{{Wn2p4trxJV5ERxErk->-Zu#r&`mma$=V`b|MF#=- z>&r)fGVF2hFR26zL9$Dhj6v(ln#(s9;xY~SW==zgUg_V)yrLO{Xtn4raT-b4h@~t9 zGH}7}SGbw4fg!Cv?-73XIxhOg4$59B=}*GMlsnOkyUlmsvnmV8mC;jtYX|cA@uT;k zIs>>B=IQhpEy?>bqdT~^wtDBb7{b4)`*WgtaikBGgvKX?OX~7l>^JX{?h3QN|Jr5i zC+Hz#!v^hfkC}OI6}9X{_$H`^8W^o^FLWN?Ddc+n5!t4`dl9Lu;?3BPv989}7w(*X zO2`;=`Qp}17Gr&tBUcLOtUB8%ww}uuG}0uZyB*8 zbsBYHFiF`kHa#|M{-~eScU|6|fPsNWXwN%S_b5#M7EjL5Gy7M?Y^MKR&(XV!?S4An z^?#@>JApp!V^oHIi4(+63twijVk>VyZejJarhE~uB)fkVF|C}JSVu(YO0Wbv+0}{f zIOCgLAaqTEqKxb5txn1nO|sFZ>fI;3s}p+*O#ej~on~sAaJZpDno@j$vx9(A)w9aw zuzfh*YB`IhaP!5;ANF^)T{v}AA=f?nmG7Py!GXa!9_W+2pyW!1Kv{K<`{Mff%;&vl z+Q!zxtOvhat;}%zyxy{hZtCxWTRg)^VI43U)Q2%bm4=L79U(d*?hELMD~&AJ~YgGhFmUa~T%4N^e8c_J$mkGIo6| zsXMo<6>8&do%9TLe8bKHqTghM#jF(fHu06CP#+PrQ{UD3C6#Q7O?NP7L4YH=3+g4= zmoGvB=_AfM&KS;aQWpcB-r2EZT{7Ac+^O8kGKLRdhX?nRdUeit1%`J1F)-i*2Y?+f zGc8@Zyt=nbslQm3zT0uh9D!g}998UR$BJjow*HWHIny9x# ze`qQm_!lN19UBasuay@jD3QBFvDe@NgZ)5CpAxwytk^v5=l4Gi^b3#s2GP63WEA*^+)|z|fCF>NX^wyX59q)R~M=5eU1u_CoTnKZIX==#04Y35b za1GvUMUX2`XMI#g=Pb?INxaJ<`540{lfosR+n!BI?!4R<sa^^G`GSXs-;h-q$%zvs&<&I z$47BgUgTrW$R9ry(wf|PnFSOj;Rq@qdW!eQC{YdF0#s$lJIWAeO@FumQ<+e8@gV2ANLS7ypE1<{L^sE%1 zA)ru)2sYWaQ2Hn~vx}+9?S&Qm7<1r`fUrOR16V98DB1{a(sEb5)^CNc(9D{G2l zx!9P)V8#dfAU<+uao#Me1>_M}t{Ko9ZSwmVLq!V4`Vgv@A;}Eh@{4m}eozA{h|T&3 z%&}_sg1Tl`?Fa1ZyQ5Unqh{aGqj`)Yfy!SZ%)dm4-^Pj9{1oxvuCgGi+67bBFlsbC zt^C^4KJj3&Iu?yP)e8bn2b{JnsMn{wk1-+%-oyJM>Kht+Y*n+To~{{6ly((>YEdg9 zR1phed^w*VIvR9wmo-=OT{z{h#)vJ0POmDVR0XNZcTO2`aK~Df-u2kMmR-1{t6csc z5#M#W{$5zz4{oL#EhxL~xa}!^^RW14$Qro157^5V2<~b>^Gu(3B z$CMZ60SEXz{GdC#W7_+W6{5QRUbvaI==T!w!$3~9fU%c$M<|gC>x*$U+;wORC&XDs zaGKsz)DP_XL~TrLPIPgEDK{v`F3q;5-?;EODC@lDcVft1O4|Zs;Q%^sp&mLMBmG|y z!6GCvtYfgZNzYn3RtG0v*$B`bo6q0ACTTb%mC}@BsG!mN-;HcVEZJ+@!@W5J&@twR^C-csSQkVM_s4EJ1d z4DBG0#iprTv2@0{U=MxstkLu1R9x9<0A=|^X8~9Aa3MJ$`IUoh|F)St{PIU&jVIfc z)DESA_OZ^9`8B|$&&fQvw(di(Z2fKp>Q&oP7ntE1fb~;EzDNcHMn-1dyCW;-tcllG z141LoQ(}!gRlAv}SKQ4i`zRoTXgqq}Vv%PgnaKahHf-3&ar6zpAn=IcD0W9DuNK=3B{sa83jjPOYiVkn}BYf}DDD z6W9xADxwGxTqfTIV!@B}*e;DKz5*cGfpZ~%;MoAdW4G(mLP2oL`2#73N<*7-o4FIdLf~~_8@Hx1oH6U=dSgi-V_f3`qYsT^G_Y9o4Z0wH_hy1 zyGKSQxnPA^_9c*BJ8<;gM zi0so6nLTpLm;VH1Z3uvIN8Jgx*0n!YeSq6D&y}{ZAJ)OsFMsx;?6s+iC79ez!3^I3 zn!(=nRGs(+7NAHKN6b60jl2X&uSq_cg`uCc804&iT-YXYQ;%PjEP(H&7xy36>9or9 zF0s1n<_KJ6r)`MvhNQfXnqL{?BVlf)_E6|S zR(@XeW^#9wKMR_(x~Z1}_|Q8{B}&E1^hD89se~$3x-q{wR)9H2U0940RrC`~Bm2<4 zUlKvQ7Uy9U`Sn*L{N>^8q``JVuASBNA4fC|Y&xXoJ zJwx@U3(eUYDcb6rVmEPXvFw361KN@+yf6C}KV-JgReaFhD>;=!N~Y8>Q~{ive7QhT zyFwpzOr3${;)I@Qo|>5jWMNAsOLAsiJ4*TtfF*Zr&KjR5l!?m^>WU##%XT)j0;=j& zC7Uo_3{mFSU<^|6O1o*s;H-#=2dytrOu3Pt*_zTk60yBVH2HEMp8X@L*Lz4}w1@d; zz^UPny6j;5)D4?k0x@`I0qMG?gS@)%mBCNd12CVwN+J#Xgy<+MF~EjAT`Xb9347~_ z1`_00I{Ch*+tJ|?qw0*1@%vcEu*ts?C(rZ*8t<%0gRw*GLiQs&r0QawBys=jAxqn? z=iYv@>wF#L*f236{WgNB)@Hr~a6dhcO0GyljJ8=!SrU(%u@SnzJ0Z;}N3L2XA0aJk zW`HQ^z5V1r5U*fy0JfV)zhEVJm;AaGA zMDzbvs(YEZ|9Q8!M(XR%9-<_uVOxtr8?hR9x;gTg@zxJ6l|sTSU0ldNVs%&-vr3D_10;gC`g?{rT* zSk`UM2HO1dHo85?F%*x??DJA_QE=rNPQ@}FA}|UbmUnex#>*1dOw=4Iy;Jcz+4f9! zsg+%o;^nRPdfv0h`DA8l`ni}wkUdog2wrYdQX~7cItBx9DbsD4aSYXCNZ~XCcLrRA zANmwH<$#nGFPPsw2}!y2pLX>+5IPU%Hy4!(;j~r@iqQtP1YQeKHcIT~ZAI+SQ`l$& z!^>)G@)M!PrkLLWSvjg1PTtR6T|AJ0cwqY@vDYDFkPvsp#4r(RvOa$q zbu8G%B%wf%n4_{9Qo{i6>WQOp8lM2QvD$Cx4XDLT>ft5sK6%>i8g==?o~QGs)3HVa zs!j#ILvdkY)?A|y;ocRZO5KhFolE+c{gvn?EB8>R3FlMl=2dwBnb;V^4aXPp)##b; z1H1+0Oiy1k0*IUj77if`UQ*maz6#N8I*5{Q({Ro!0ua44Cc;U@IcS$Z%gKZ__fSi8 zyI8~RBj+r|^$|nRt)8OL+!MlcPv!aWXq|&~%U6HkKG3O7Z(bPa=gb*%Ip&d#603ic z2=%!!oHeZSZ@MISyG|f>So=btG`6~MWyT9U`&|Yjfo2Zv_iB>4YqLEvs2qHBfyW_& zU|gbY9|#advx6)AbK0+`!DOqXph*Yf~t3S5lBM2p;T*p8x zRFyGaVn0Wv^$9EM4FB=N$&u*k0;Qh~*sxIP7+^^qqU6epfZ~@TH)CSX11KXn{9>;& zYIArq`>-15R^#akrPNwHzAcwzW;HBYb&6QPE4fd74lYK8T3&3QR+KaE8OW|*|6KA_ zTi!!xAT!Rgb#N zhQ)-Z^#eWv|N3lyFWH*1T@wS8(QE7lAaC7G{gP}RC7MtdvaU|p-GlXp|DGq@rS2K} zpwSHqYmD`dRg88dUiSrFZ-Y;@ZMjrJ3Y*NkqQhLJ zzB5bppbtN!MrEtz{4)arB`+t@Uue8q3f+&Td-Ho?X^6QKR|54BvW$pkC=*u(xR|gS zz;--6-wCYgt$Sh$;yLne92KstRo=fG71O)d8^iB{e@1+5P_*9O?OqNOdrcc6oxR*d zEQ9?V)bfy9`z;RuYen<`g2~aJ=2w=J-kwBvc<&fLeIUc`tX}Lg&x)X1yNz#9)osnd ze_W!*QlMb9gT2o;>yE>4YD%sR(Z#TEiPNx$T4h+JELpB>r_eD+fYSt04dE_*iG}u^ z9pN2b`jmn5{Y;((#iat%c@E7oCL7lL!P zGJA^^v&?(Yr3oFNY3lPJppxOexYvWn>vXHeTPAVhjDSAADXg1|{y{%htdIe)_k1`FSK)f-e77$5}>gA(ggM%A!l_ zm%jV~Vu{C^LuYmHB&~c^P|u-oYCO&CGW4yvfqJQXcp2;8F2cl;`*@V-{uAe?aR-9@ zmeAkgD{SH)zsUj&TXnlmEZVFW<dK>53K%Wogk8{$lWt=Ebs)mnpBi__$K0Ae~djbeA-QhEsC_O(xHxzfVI9Ht#LK)Ui%^0$K>Hv7P4tmpQh zg3oW!U}8N(KrG3wq!oVDvt!uU$Y2K6?S&zC5p;G{Ul?x9M660ZP~r?P>mwOeCxb!P zoWbv|>Rk@mlSP){!x*qvE2q{3{^f%s9QaPErpMHkKvclfi|kDS{QMgty3KWVxxNop z)p{?yR}wUtw^6wjQP#IbwJmcsnd&f$UJ4ch;CIYWE)Jo)q{Gy}weuBKzCFsXg2! z2h1233+oWMi?Zy|M&tLS+Am4C3dbP-U*+GlFmihIdekP4CjKLQwngtuM>Isz6?ZCP zUXOgsgVD#0&TFeUh16Ftu=2S73i0%EMmO=+K@s0Rr@H z0jLvwp>QEn{WS@xee3ZuOH9g-z3DdbL^ zNUTY|yQ`Kxt&<5A5v23>!wMOOoBXV0mHY6Ute&MpQBFY~JuYE)rHp=` zB)8i=mbRF=Jp3Q3ASD>v&j3}Jcj?Pb5&s-?2}@)g^TaQt6ZaUIo<@JVZz@^xfnEJ} z-k00Teq5&>#y2r|`yNa3>`_`A>oZT%hL`FY&Iw0{&XG#F(rr5{Uk#?wcP*Dz`bN^? z3hPRe-s1Gdb<$|1lA`%T1Lr?9*C=8%pX6$iE`q37vtl$icd{;_~U}&B3$FZ*XZ)0fxo459<*ce>ta^s||jQ2OA z2Jr2{g*i8YOa{3k&9a?#1bCC|HKC!P+veHy4>C5zyGx7r^sT!R0>t$s)tKb8>u_O#SA9?t$?&@#EWedE#3cZ-eerr zrQsJaih|dx&CrNXpsU!)KYvXg7yxrVbxKJ=3lt5aR2^ z_>cKbBIKcxA4~99-Oq+aR+KP*%eOB>Rtt#fPx?=q!5>CEgy7UFy+R8arezM%i{g_i z^uD~0ES0^6p9elnDhNT59OaQ4{Cm-Xz4`r{~=7}*-Gr{H2R z2lUUpejd;z1w`M;mN&R>x_gS*Yn!a)HN3#7VUlY>%otre>9XkuQy3s;eJTES{xjhX>%up zxS@0s;W=Hw5PAAFwwd)(oPD_!l{=IJ{?BBMiPZ$;x#F4aOl)ZSP znqp2U_dtNT()snici+F9HXjTkxetsp;#LXG~@dy8x1Ar8n zSFeS(_-GMd1{`F!!Ywq;))zmMGIY@x0ZW()g$XNh{s!`MqW&Qn&HMRaP>FKjACY`z zp5ISzRH!;@T5+$7+pcwz-h8ftzT3pV^#MczVcGE3diwNc_{0y@+#@B}6TB$$exrW% z^Wu`})ji%HyAG_Q4@*bavfUIJx*a$VTucmZY)sFU}bArl>s7t9VwmSudg1+ zA6(eyxSHl~#4f&Ym7@q6i~uYoumC1HiXUMEE;;`lhD92)l2&@V3AaVZ^}ETa7c;b^ zoq6g{Rf;q++DS`lg`MireHT_~p2)d?&K47nJ0sKe>gNEwJWASgUE7n2puMjo*FzPZ z@t;6x!+p<~ed`|GM~!W*iMzRjwhxaSyq`eIubzGIVhw{cuD%HMCCH3|vtC`;I})Fn zxv6YqUEfXqYEw!Hx>z8*i+~vWXs2E@qIAPmS_j^~?4N6WeWAUSw|&L(@vwD&gYnO} z1VGN}>j)RXQ5H?Wdf^SH+T}=H<&CYO;KmZGXEwUL$6>&uy!lZ>~|mr|)7D zP%nEE(;W3HGURVn)St;HSj#-0){e#NSGLwtHj?f{I^3c+O=3L3`^X%!nE zmTKuvl%aYbu{&A!av>K%=Z;!}fUWUY?=jZjr#pF^g!jh=&o0705W}eD%EE)>FUQ!B zwVDsP+pDLovHS*lLKUu|bq&{R^jO6n3$K9j2UuSba?@uC9c@2Ia%0oL4N@v`o2UVg zjP5p4z6;yAg+3pdW?2oJFd;)8|G3U88QW4e8H4ooy)I+@h1i2A`zMOqTD)08!UG&Y z+Nm1C#QbDsPQFIGRkB;hDkig!6sYV8$^Sy? z?htA>LdNGUWK-~qodUe10AZ*s1>8kkj4LjbpeJW6{$X01q^Dk4!Gn({*E(fas5mQZ zD@sv5>8d|tgxa5hM1v{zpx@DcJhF_i_SPhMhvwgdyH5%k=LNnpJ37Q%C=j1nQgF%lcvbKn0wUqZ50_ zUA89;tef4>vz_o+(+sxE5oNb?w!5{g6E!YY>T(oA)aM7Hg=rU)g+xHYM5d>mp+QmV5X)am-46!Cl#L;>SJ(G;Y582)C$t?Ddj)`o8zO# z0j5#MIJQXKv@?^_G}96s4)+8!xU5j=tgKJX2g1TUU%_Zwb>=mrHBmUrRie{eTgRgv z7l-x2CD7TqsIKdt^xzr^d5s&dc_T0Z)(l%Vi z&nboX+2*|GlpUEzY!RyBj5LNw;qPtndJ;=oI=8SvLaB1$N3bDm33YH5HhSvfq@}|< z{z19lW)~YFo*^IqRyEx(-^N+5b%k6n5-h)^!`0Mkro*Jc@ ze=djKlP3nUyf^DTr!-FPAMDNNV*dzF+n(O6e=dGAnY0UiV?d+sUd z8c{nt9NwP|aIhu0lacd$eH~f=kE8Dtr}^S{LhUr#Lo`1`_@mGQ41;$fX5khfwI>9> zyI>F9>TJfHlW|o!jv?!w@8HN2DID^ylzD>6^|>aQL(}$`TH_&gOc1N~8FndiA=}w@R!0obx2Z`6#uh zG4$g+3OG%q9s#MOQbt`;rk2z~UZj(?egsbtsNBCUjVOMjkfm~ppiYc30i?D9DctXU zIPgeLb6qb0#hzdapXL;``**;JRsmg1$nsUdvkCs5IO`BTNvdlPJxM5MHsFyFkqIhJ z=M_Q1SMlWD_>-%ZAzaP{pYrhTF>cm~_aT4TdllCNK0arTL$nk(QaSSe(rj_6JioR> z4&g{A#UpdD@Z-)x-r3&a@Y7qs*@_>4rS=eg%Ha_0&MV4No)CTu+E)N6Sw50V@s2k! zr;=MOiwD3zOF9dA=gBBl`DcVf=C9j0DZY1b`Qm-CoP{C0?Qqn>+~&<34)2NTaENB- z_uq3Vysz9ZmT;Aep8{n;py0iy+^6KaE&|F>-FyBSkbeu5pEycEKXjB3ZiZ(`P43OV z<#31=0}A@*bl~|NP%Z$<4M4dWDAxex37|B+H)p{zNi7KBVi^0$-j68{QBTr2Y2EoP zOG+dNpW=K*1~)MYrJz4Cavm+Rqk<%h!-7_Gqd217BC_%5U1W+A!g){&z8g_Zs)t@= zn^UR2@Fd?&q?Cfb$2gWWUwgs5)jY<)N99=)q$YqjZgnHrf*xn&R6Ehfz#GefH^zZC zOqb=9J?(Nzv?be`>gu8898X_3A>M-+PC>FWxh2i%ciEnt;_oYoZi~4PK9w5IzXa7N z{Qj3i=4aV1Uqr77JEzK?jlmmh#OuZr!t)M?-)m!Ca@}}%MC5pSXiLY_7tVCL_!*G1 znOgw3t38X6OQZRp=6zc`?WfEK6#RnZ>%ilm(GzVBJflE+!V>MlRf}UlYMDe#b78x7 z$OWPBo->YzXEOljNRBZ}ii0yt4}sLwouT>`*z=@ifsdMt!Oc+Z7$ZvH)Q9IfWPWQE zv^SaMyAPx+!Yf@(X8Gh9qM4*Q{R30-x?}IQTWxAK%ZGDucgynhm{ixvu7xD}0o>#N zhv!#wdu;Bjvgb*+I{`ntVFjsF2v^SGaX#y2qc8zY^6*>X(3|7FE7(fR3AtDqkpBXd z(?M!1@XQQ6f}G}^_qm1gns~YM9vz%9!4Z|}pL;m!Qsf@mB8~x!r!V-gs_}lFFb4QZ z^r2u0azS&#U7H}Ly5xLf6ty*#(o#l>qFKH!hMvgJi}LPpY^UIeqqoQ`+nkm~ z56KsuB$;-ija(Z=v@B~+r9$xQDtW&h$HRLBIqiMnR5wE7@AqLbpzXyT{|c8=9)ZBv?;IHWofyY{BCH;}j&Hp4 z68x{5G2>?(Jk_4_e6KgB5WUE^tSJxCD{kz^-$rrc1R@+C-r(Z7FP!AY06d;|^;8?o zR6Fne=thJ51stcH-|=zC{B9}Kloi1n-RECg(h@&JT*z6ucb^aDaoFVf;2m5WbE!3) zR|{&wgR{+2&r@VM9~z3kn+O4IuD3^R(DsLS7Am7iX&O;HxX8v@{1r13;iK|&pAV*W z@D>*>yiXu|Dlhj(fCuLW*9NHxAT?Ca2Vd4kyR=fuw5|=G6UrS&-7K25KfF#R=0b!8 zx$bLd+&PpnCO%T_GdYSri7*2c$L7#XiuVvOhNz2bz907w=u`Y$H{T2Mowy~@Mf791 zPw8w(Sn_NZ0XN?aGqRH*9J zZrhT!8xz<+d3jB*YY);~gz#mEpKITrM`qo#{)gR2k4WB(wxsd%K6p~x9;s@7?+ywr?_>r$-Nvh&!GncQr zwIZ*%d=;?9V{+Dts72PxT*iaioMLBk)R-Udp5qg}#C@FcDbKT9f|iu>%h+NIRVX2x z>+bOjItRuZhdPfHG#q9W_l?NrRedu$jg1iYaS_EMa~Fp_^u9P-XgK@?9M%Ku0{OZT z^4{L+i2p>FnuP_O>gL&oZgs?QZlEf+} zIEudm6=5rH%m>~$1Y+YLmdaye48+FDj;Dtf0&jfI#UX#i1M)=>8-Dyu)Lkp0#i*^s zduOf4FsLa>_{5f0_Or62{*h`S(JNG~DYCnuC86N=vg>x7_^f*l!aK+@NM0}g40Y!l zuFb?ZuzF~MvB{cTyJwFNXa~jC6)R(@azPXub2oQRZ5fK3UQ=W%`CmAqCo=fZ-l4LC{k=%m_pQKJw zaxJw7S1E^ZSYm+U93FKUGOrZ@oF|+;AxHz6>Z;!ItMY!Hv$-hW1Qb1zI_1K~kQL4` z4oBs4?Ltc_1@Tl$=EJ&jKQ8i!wAd3uFW@{KdCZ;=mT)}y#wF1vEX8bP66D0~OzV_) zEO!~@MwpILay`$xr_`0ZtOOG&;nlojx$|_3^C3_6WV-718Mr>88gfD0JWn(i7*S&z zNQn+h>Q$Wk!ug-$OoWdrh2zW}k|I-{pMuo9VBzu1la>WhlQnNw0}hVxe*xNY4c^zF z?>-FdIVI|{crIW)WC->Ba-OdfdDxUvLRg!NFr^UrwW)P$@Md-MHvA49TbSa=%D9|D zw3^FTL6^CClD=@RqZD)m2V#m`w+3&0u7o+yexO}m_|AD`uZEPuyAL^C{KaAL&vG1N zsZ!{Bwnq!rk+D z^`qkn;X3e-d>1dz#ca;z9+GF-k}O~RRss)7a;Y^z7p|SNIZwmsuHnpmc$M?vw6KOV zwJ?MQA%0{Pcgn+iF*yp)By+y<_*sq{-&|_d7+*q_Hi1_tIZEAr!Y4ajeZi0P3fj%# zXfTT&u}RyXGx#jEht~i*DW2N_9zU}b!b#lk2&yD)Y1fH*DoXv@_*6ST7k2)s{Ad2* zO3EpfLY^w8CRGYeL<)HZqR2HZFZq?)Bj;5T9<|44>K{2nsfkf8bW;3n9Y^V*+rWpz z9Zx}fI|`AF-pyk{mSbnJ!Ecv?)Y3qCE=uu*=pT6lBF~qc$#qHUA3q;OB=bMH6cZff zO@~9Yw}wZlukw6~qxn+Z7OS#iDyQJcT$U}H-GUr~|0WmDbw?cGQ|+?1p&%PYXVFt# z{5+SrQwxdSXAFE49RBLA;|by8u9S)VTy8-NCzE=Lb9uB!6e#5Z&^3-1%L>tK#@ZCZ zP_22pG3u#w@k4T_eLhDSx;1alx>lXY=3piNT7v6<55ECET$o*ym*6lC&75*toEWWg z`U3ko<;mL#Egc@D^e3fAtu&HSq~*7y6r4FZof%SHMCV6}NQzVlA94A@84sR+1{{&k zk!3bDr~Cz!-rKS95r{*%-?5~nENhZ2DFu0O4u3}z z;iF1@3MiWc1#1)P+6|;o*9hR*2`Gq+qqwa>N@j^IX}--TH`eDyh|ZaFnTX!eWF>CmWBS-|4I>?jH(nF5#jI(Rc-cj1ynv$5Deo{3saoR9@AA&Y{p79LDqYjR0{1;T@&Mx`!7pX9E#>j zyKmX}n^PQzDGwuXDC9U>a5ItfNNfm2_%s)v=Nqt;hef6!&S^21Vu9MTxTEm%xM;KS z6ttM*;eN;Q)a{MwaoXhhMfCjS`gy4Q^L2;>u`Dh3!S0rcID?~1aAiug6?BZHqO0@+MDF`Y(PdFq^#0FJ4*SSa;P|*5UvM%4u*(2P6bP8 zsmnyT52s(9PV`lfdf+ABj@?{h>OV!6xj{=xx!q3rsFY794XaYF0iH`DrD|Jla6J6> zG*8nQQE}?+$;3?J1V^u`3>`Cc-OrBb&sPj2%EqV~!g z{#_5Ai{m97xfJehdMxDPK6r}+BjL*+^%Ug&Cdk(XK*7AP4W7sKY!^qID(^QqWPbkw zBB9=%+&}((Pa=#=jD(fJ8+Q$nH%@>^xRrC7+dNNgB$z`ae)#LXyig{k5N%Nm6kGhHQo_gxe6^w&?q0QR> z59V|;;E|r%l6rpAcv{saFBQUmulx8xUG2>^ZD0oTQEk2(;%9T}0}s{=0NNl86vAbT%CWV_7wCN z&X-)Te0a0-PhZ##d?<5hA|aBByr2AxNhk$TqdUOfY(9!n+^)aE6l&4R8ueKh7>Y|@-A6;)T1g`HGi@NXd% zw6x<1LGGI(RH>_=W$l!YYL8#L%U?PJAN~}4_$0*PFp&Dc_|#QYsxE9raUSwGh;)a8`Oc6P0_H=W@WubWf|8}Ps-jh2G^K3zn%Pgf;%y~{;G7s-}wF{wA6i9A&) zFX}uN!UM^2d6nAp#k@?3q%3menZO%=jPM^2Ip%(1#w1;qeoR7-2r#SqK9$L}!-MkjRXUDk^DX~lP6~e#yd3TC4 zIVG4QFCN=Wp^B~^ddm5yt_1)2Lhk2+M!OOW!OF{WL9|XRor;3vF}Y?*qs7kTsFWr< z`pz+b?lGR#XW`U`TBbQ=KYoB=`c&6fIeK%Ct@lihqdU#!QuSq;^ZXn}l{oj_q$RoB z)l+UaTnQ2#YO49D`9jLB5aCa7tmb*2+4Uei-iWn8_yoD2@%%saY6urVFY^ktv?HKi z{2R*R7ZF)9Bf3IZ)8(rm+56Fmnp5e`^IXi%3@L@^OOdC_#mwB=q`4^Qp=hD;5MAPQ z@orJjZoCqGSV{fH`H*NoXi1KA-YDqR*jq{7H1GHo&gY;<`=ZMi(Hw5v!teP4&pwQk z`w;H}wvSSkJx9g%*(ltbyS($)jh(I#?t|D^4SZTJHM;>VKL7PMH>pb~;zEPr*;*fXIyK3YSbhS`^SrSS?&pDez!1qe-gVNYV)Bft71ByX9W_r}9nZF&V^7kL8})T=ja^ zN`x>T`T|@%f+NpEqBqPxAv9Tq(jLy4vDK>bwT7eMZqEgM)bRv(@2_qL<~v*@w8=BL zRVe7^q4H066-wGK4|kDJw*p6=Nu_mA$9_5G65yF}R-s4>AxX!OFT!YfNxj_9lblAW zYAhz#wEvPj7vogQBS0b0^^O~}>8>3)&IjzYU)O_xEHmwS3H}a{WmuQEXA34zUutb zZJ)dCv$ls4k!edp<@dx>iZ+iarEZlV?y7A&-&)M`kymG>IJk#x-73LbU-E7E~+>em5EH znH_i*Q|FiXihOPZZ~PAI!JPgT)`sEys;u0TWH$4Rw6idT(XdJozom}rMXzM-xqm+3 zkcm!&ygvtdzgZ0||Eqs41j_9|SrI({C*b*2q*Qso)A58Ld#4*wwb$E|=k&d^UQ~8} z&GUW;l<8{_2~F0E;tI*jtRVPU)j=aF1=oV^4v~O7P1cJp0+E1i6t)TTfq#wyd$3Jd z1bp~ws27)7#{0d|q)nIrZGyyeUV_*r40pK*;Rt9G4tG36xN`U+hy-jCz5{uG1@e9q zU_fVQ$nqc)i^4#H5r%Vdb98 zG3GqD>g+*gNPSq)W>Kmt9iCP%c?3&z^@ZQ?oVg{T=<{xo5UNl@z-Mjq#p}jHG>$2$ zcA}qizH-X7Jb9YxBKjaaC-S>j5&aOJzTM$$=KVmxhtGizZ#300U;0Ef&nbs$mWwu5 zKRye0zVg`9d5m+KQ<)2+E6>aHM7?;^V^NKF- z6w6m9WRyygJ4vNH(|2r0PSl4!HbQ@F7?19SlILBXWm{4ldB-R9Jg?g^20kj!_kn_M z)ftABAQ9g(mgOnJf)m0O{3mC|(^Ky6ClpD_b360M^@=jQysH0%ry%}U@)(ZlGB&k& zkBDJ7cD2Ikw7Dvq7Wu{nhogF6fTS{kBT6KF;LEKq(5IMVOr{;__?ywO9xbV4`; zq~zTbOQK!(>lO^dA!u8OF5~N|6cI+$F~DOIa%}EHlzK8+S6Mi?SiJ;r_;c&dt9<)4 z(OCTnNG+QftzMP>D)*{i2g}ceqb?Ed0%DiBYk0|{rmO&}be_$m1OdLZBpYVjRvEwP|0(Z|?&`HcEC1a(-tM`-Pmg;Kq zp29UScX4$@t?XIQ`KKUx?wRLH_B$0@DygISXG)>+?S|B5{`LnKb58kW#1N|H;;~4n zkgsBUQYjuMFi%c-o>xp+QXG6w;m>Y7U(ohY7E3wgg7$S~L3Fm`A;LEk?*<$X{r6p68u-p-g|ti?+=qwP(h7hh<)fjqh?JCGjJU(PFV$kpHFt(H9vjkIbQZhsD;;RW`4fbnuc3ZY97%dBZ5RhtHthxSJtU9wI4s z(IZJC;rzEf<${Q8JR#UqJfjpf-{6yVJEF^YLXdg>lv1}>`LA8{^1WWf5J|Q0kC9R# zpBRxV9(g}k&ML||Nyno&Uyj!+oQqt|Eockixym8)%0_56@C_P%fw4cSb{U7~7Onwv zgFk_ImTz*2RAuwv-fvnL?D-3{z1{blQa>LK?MCiwp7-8hqKz67_I8#xsHa|PCB7PJx*{GPivG9rj0bHFVgHC+@f^%r zQygAP!#uf#UyVFMRSVB^JOyzxpV`bi`9vwxRnP~(tFkjpt{tEC&ka2H!i+t$^e6SI zoC>lW)n@r+NhnF7GkGAX)Iya?DTb-~DrDqIDQa0tsc__1WslKwibz(vgvThxuSemj z%2Q|+4r&*Q@t{=YG1FC1I!nowDplHz)|~Q`iSSXSZ3I$&R~&wi z1I9m0D1B4qeF@IKoEe4pHgpjl!iH>X&LiLTu#BiZyvc#17>^-Wel`kG@`$zc2Cp_W z*na=a1KLHSUWHAza`Cu+%x8se-ikv*ei#(=Bj>;qRHbzwn7lor(zALE@RgR4~S3#@Jx0MbjF)&IYk91a|&wNO9 z9U#l#J?A+CbfL{3Ow?;mm$Z!YQ7H)_QV<9I^9X2vJpoeW_W=*){R1E+_f1Ar9GQK} z^FFxu9b`T{M}7$W!>_tJp0i;rVHk2$3uQ-oqbem4iadN<>3B{xk$#*c#G=$Wz%vRc z@?{nys&=eR@*E`Ru`@ZURN{`&&xwliE3P6TNu?Hpd89wX+7)@H z*pf;uXQzBr%HJUuwB$|U62TxxhCHjq>rt99?T3rx;q zGfNhS)#~($G8&{1=Mwftj*L7=Y4X-QN=;~>OUe}O5iCjS!?Rl}!BtdEqAMXxLb*4Q z!kK|4QpRJY%q?4z#6KZ?5&UzbOS$r)qKq;40EYV{(0A(D! zb98GmO`|vqz3S`fmqGijfQ;`U;u~{gLHh#9&ADp#&pnCodlQHAP}mGmDa`3j#=uAA zK|iC-;~}T`?T?EA8MS`}a(V^$c?s|{YDYic2HM9%Bzy_9&jo%yA8=L%oQL9GufnQ6 z#J$u1%M&gJr93#gka_-`=OH`oM_vYac1|V>6nS`ZL#UL~_~yZ&l=3Fr$KgD{{wC6| z{RGgyFd$>T9snHK@4|>`56(5+2|Rc=dKr`jzOk|=Sojq{-oT9M&uJ3iu}tv`fCsV5 zOi*)SXL5`bxGRx&)+{N{qISwhrJMm4${5m;@*Dtp#}z@RLf)4(5I!mn-mT00vnAzO z+D`eXl-pqzB4LR0WVHcpf&Weup68$jKW=U9SDXV}Fe**RxY9%!W>frYQ2lv^=eVec2n{z`~dycVFJ}PA|sLSp7c}egX z?%9Z*UkSW1IIpe+@q;++`S}M?Cq(Az&}!if=P1D047@rXyzz68 zx}Bl(oc;~8&kIqvA@H0AlqJ9(?+t!G*HrUSeRw{%Hn|VabERC++UK_~+kH-+uwI@x$0c zN(qTB{(iUP31Ka!=OyUBtikJz!5g-}vE)T_G0q_q?UaOq@~r--_Zarew?S)+`T7Fb zBYQ+kE-a_KvpvMv>s-5Yp5ys0GS54HlR|QsOG(~yittDhs`}ZF$M|b0ulu|wff;?s zE_4yqecq&=)%Z!8vFI^;-h``Ax_(Z5I8@K|4v+CJ*it(DwxFsh|7$H+4&wQv&@bcD zH+<(Bd!oMsa+BwJ?IP!G!Y|klLJ@bUf0{hk!<~4=tB510lGM-bJ=be$PnvgbilK*{ z7ID;r)_-Aa3_iwEZ}1x{tO!dm<(V9hrMakkuIKX=!qFzeM~R>0bO1{Cxt{t-JvJfn zTPGjlJW}fEPEy_Hdg&X7H|1`&=@Mv)Sd2u}v;d`T$$ zJv;t0KCJ}{+G*s`ul5*GdGHQ#eP$3n!l%gHl|^aYkx^6bpC%)arYms7>ypItbt{Wr z;+vVgo(|RC*WHyxmFKBk)1~Luo7;%d>R@+eQSKi*lcTmR(<5a9w4#`c?g%7p4RKR&x2dRwKw?rHi+jZAf7J+?HDarLz&`= zm-RvW@(|Cs4h{ELzZK&72GD*JP%xhV1U#ETPH~5GjORN5=M>24^te)P8~)g;WldWo z+{f&D(1yQbB79V-nSo~+eggvZElE;&p)1*?y*jP6S z7vS$WHmFl*536YlLJE6H6-f0z3n<>vB|{sY}68rF}V~ zvM{NX(dLa4qcsP@|6tdqdmtYCTiaI1Iq3|!9Ffo;t`S2 zV}AgzJ_VGd>O`C!fafZ(hZoMcn1325nD-HYa|1}(&K|<5{$UoU>)SvrM3CZvm9qz1n`40oeTZWl+^Ye16*v|wL~r18Ml1_l9r``)-(}uL6o}_T_;TVP zp%ky;u;M)F+vF+f2tCW~NH>RDI= zd?@JR0f^I%J{;T%+i$?w=3&rv802&};E~z6+&{REy4M)^sCi!wC{5N{&jl-o&v4Pg z>z09MJop*M>WMkgLYWD)q&5%LTI&yj&3nVT!Ii{y6kkejh6a1>hg1!s+`drf7XnEmy{z>ja@-qEQGEh({yDrC0v3efZya3b`kR-$6>`M;q3DA0Z`@XQ4sy9u;k0q^4BUE5`#YYotaeacMG+Mu83 z0(;&&tL}G(IQ$vZ${&KChicXR1kk?IYrdwO1=_o-?lp$B=E_rhOG@dkx>tXwHwL%r zepVPY$t-c+u0H8n{(}AjvHEqmUp~ePi;rsI-=Xc@6SOBnQR-))>-!0lBFonyEh$C5 z*^%esMu$_-hR{==2W#VZHCR3>^80~uvO^|16nLHoo_CU)Jw=`u#!WvVdcRXw!=#rKsmztfWQVJ0dsI#amh&!~5LbQj|MI`nZ zQFF?VWgI2-zy3bRMEIx_yj!0Kc#`TBrI6=A(7rI>B#lJGNrq98@_oQbU?LCJ(IWq&1)q8}S>f;BL8$xgNfYD|&_-ciU#vRw+4Jj`zPZuA8qpY(*atc9;x9)u-sP>` z=VWXJdEkc8Hs61`#c6#qkM`R<n{qQz+7@33pwasMdc{Xysq|Zb3 z(KdGI%wvdTC~-WBg1#ZMf3e@MZyyX>5_7%|5U&cjQy>H z`}*_!G_URJ&-c@u)i>_$r|CyVEUaE)D(Gi22x8dtOS|aJA7c_d^(hoiuFu+4cC$iL zERZ({JHh^OU(M24PTPlOWrMiyV0;KY&#sj^I-YJf+9x}lr}D?Ii9CI&)8XJ;ke`c5 zJKkblOG?Ojp=h9lEw))-XkK7I((rAgLId4-PE+`Ra9O5xZx;VqNcUX}$v0o@G!J)o z-a>P?*%diaqyW9yuHXM2oG0c;Mr--0UA%DlNS7leWto=kEr>MV*Ue;yME`7Pp@HA- z_GZLg(mQC}!S6^*%ac9*?O||)r2bB{n2L4?D>I`(Wq|#~(?XM{=o4h2{UZg(b{Y?w zdko1`-tRQqb~I>%^sHwWze^PnC?y>~vXOc$(Aq$+LoMnNDDOb4D5VV4CjJMe)!2x! zpF^GRnoe6r)R79_=9+AjoQH3j3z+KIrYaT72j8%gy6q@BOo}I-$92i-e5nhOuB=22 zivs0$+8IxgiZX))!o^vWlzZDUW#uhufMX5G8sLjg^VaSjG`CQ2N3}d~ME-LvB;;DD ziwATNxq|!ajlOX&xmI#)c4>xI1q--O><9AI$E>&KIiIsT+KB5hdyVq* zVr%3|Jn*@?iz{f(Hw2m^jHcucG#1tGLHDsM-=uZOIM*LRlX4{unr1|VPN&wMiHR$$ zCtA8AEs>d~|8aTg!>6u4Dtdg^2%1b&(h$oJEE>|p2svraG$gs&gZ-gNuF`XkCqjxP zfo$ZmT;AOyS9Y@yLP3+ZA4Q(cjRtwNXYe9J;8s@b@{5ZJH&m8YhF`2^>L#G*4l9|Yvn>4b0?$?(zK3U{kb=2ni_{< zuT2kGZg)2`#Zu{+r*?)Zx77UDWVVFE>bIW*63unVzA2IoQ-=EaPF%9aA+3b2gnJ${KJeDEzu|1h-8PuyeSv1k+pk1!A7HlNS z@1^yp$s^XRPK*OR8aRxvUyTeC<3LJdOf!5x;-qRnVk&w}%MYtkDO&$aiAmcR8Pc zUtIXFu%|0}a)L4%=FU6iS3H4CmPG7sB<^y&51hGfvwxXF?3}h|eY2eG^FKTWO-O1l zOn4-PJWCsG4NCK0Mo3CtBjdfZP250>rpc>)?LsrlqBS6XE=DTI5Tk}Nri;+iEES_e zQ+~<~p^Vf@Uh*ENf&`khU$KlL&&pVhU(k~86GQ9sB*f2^wKcKT(fXW9%yKZ8%~aGm z1aGAshHst2*s#MI|%K><&D@>4^xc}lD3dxS<;T3n}g`A&SBzcXh&t3IN#~z z&{9$A)M4~cp9>o%--d~87`}DM>S&$sFtl|J!=$ZFTbH4Z4#S~NTTewDt#cR#9foh6 zwvN`dQ>U%VJ8{}NhhZcehPEEtFzEE^VVKzJsi-UFX`f1 z&(p?8mvTlHJ%-R52p5TH{sKg11cNCPB zo~iS1+3$iA_6&l0aqj7F6n^mFV(t~%$9m}`N3XC8%Uhalg>95Ld78NWgk&d}{U8}S zD&>lhdcJI9L3s3TGreZMY~|>a9SSe56AVoqk;?K0($BLvBi+RoK>N$oRHYmF-eDJa z=%$X|t-Hg6r}vvA+~00jK{}KI(BV8Sz14ynnmOCvLSN{h)GDCk*8#C}(IPZ?(6ZV{ z)6dvtXlnKI64-a`09L+<|Ngj@oh+x62CnQ~k&E;vLGQJ4k{qIruh$?gw!t+?#-Ly7 z!_@C%_8W8+(K@|E@`Ux#nQ#1t3w^Rg`}<_P8FQFRqs2;$U9W~r$)e5A_5fNcVfYhk z(h>ritvgTj!I5|PzB5*r+;?*Mm?6y?{PRYE=8iEmY5OGsp?yW7><@&raDbwOgBrU| z8(l%_-U`}@rUgEGbZLlj1qfIFH~^VqMmY6163y9TIPiyjI(q5n3oTrr%^oG_)U@7k zCa%*w-RgIw6({s-7FvGsw5I*bmbIh~oU6zjPbG~1TnAbpAz$v|3N$=tXLWIaLi0lV z!Tk&NPI;TBqwF8|avFNjzQ!PW_T_~3Kp(IlpzCzKb>8A)Ut`Mt_l6|*zc&eZqpa)* zKIb~0S9W{?HPViDo^ZI{(bsoq#CfVcl<%EZtCuV?(J{?cQ0mWws92Nw6TfNlA;ZbA1NB7#XsLl4^l#(I(d%& z{(es~X!wJU9oK~xlpF=s@dYTMZ?G$A<2NJif})3w>>u|qpr7rbqzy0QlG8Rj z#zW|Zw47+VUmnszE=B9`?Otwy#@a#Z^OE1Bd+RC4L->x}(;c5}G`^W{O~0kw&L%xj z3b(d@+!ysqAy4C}UuW-Vaikt5UPsMdJuJOGYiTR}nVIKX!G`HCjL-i-&fnuL@Ax40YL zfV9vb;WFfC4pj3Ao?NS0P}Y~sVixNsY*N@dFy2}Qe3xRMTg-qRkU?^hl#Dup^i?RZ=FLOt;;ZT(P2_ir>&#)6xV5| z7oE6d!*G~h+Inompu^<`}^q91#5bJ+umb}Os_M2V=&{x~V{d~#I9UL8ob~5b&iS`+X z3}eYlo^h>dDJ9?N*$~hB>|gnQ0io~mkrn-5LjRF}-Ft+-dBnIY-p$bA-hHode)p(& zPm$2Kj*54XcVn#hH})>SSy@?rg(g6?f5$jDXmhLx{q)h|-JXr*YLUsEz7F+2qCGNn zAHNSnt2RA-K4s*c3Sadwe6hSuW~n`BzD>-}jUF~PJzz%%*p+2-`uxbq@XZ+@1M6+6 zAI9<(qbKCOcR*TtlHc-o-e~WX(h7QmU8N=93BDOd45OdGp!}+F;U0Tze{RpY$M$d! zy~*FlTU;dOoek~czJsBZmW%Al&^h*-(8E0Rg9LVxA>D_pw&$H+S!l5rP&k6(DNWk- z9o03Gaawr#FW`XpA^0YTvH9v+1$?V;P`=QB=3ET1(FTC(UROLdt0D^HOb)EK$0$*u3(g>5wJ8f^vWD)#hV zXWfyK51{i+$>jCV1l`2ZM+D_GM`%|u`!5{O`k8jkZmpw-C%jDaZLLzKK~J)ad$Dco zv7>eSEp=0%v_5F(qoT9Fa-IFNpr3N|^;Ps(K|fukz0uLRJzAuRePFtiBzAmi8H$os zuR$pBS^i_yLZL+mTyV(p&-c61uD<2^e2ZZ@(|fXO?r$OO>H_$j;^^B1eV?Q6=%J+W zy~dZ^$P|KxXMK9m7g~(Skf)`R^ca;gqgv9oWXWa|2htY%)M)Y2D#mV^rmDpoi!U1I zqWvR>%vieC>>ZYf9Djb;$_$~jG}s^K8kF~V_Y@C>2cf*1`S}qKW$aq&3EnZV>o=m2 zq@{)BWnDuA!i8+9OIE89+jO?k)ZV2e6EokDOW8l+^%H=oNrwodGR% zAt*hHBD}|&TMHZsRgKcqh9$_OGNG;Wq?KK zC#f{LI*PQ#F3rgtNx(P0Lp7Ovsb3E;=A`0hmWH(7&l-}JPTpl0Z!PJm)SJl5weaX#5J&K2nx4EKM^u@3UoO0`%&8c? zqwV)w?@oI{ptG&-*Y6cTXUEya{q&wS^Yna2)n7qhl~C>9AL!^s!r>K;eofHL9i0t) zv8uIAdQv?5>=voR>vV|=sbcYtQy0g5LKG%XbL4;}r1amxIP@iX*^TGiaizqwwWcE75aEp}(6^%b?y`=9xNFg;3&o2=N-yr)>K>2U zObl1gG2g2S^>OK%RSxVSKuXoF!m;V_!0w=bob~aZs;Q!Rd+n9P7sJpF$Gm*SCA+X| zL*UL=573uz`ml&H$*XkB_M!kB*u$cB?heJXG5zi)&IeBkg%$}M1{o4HT;~|Vlj7NJ zPjp9yQ}?ubao%Bxl?I{CErjv>pjrX=@d8k?@m${_>#KF zsU$_d@Rt#ewGb0|GR6@eygM`3GaoeTw~KSo-s#7*5x@VTSsc@ZqL#`;>08^7L32>W zhTYF3UdF}2N4qNikdrQV-Nv3Tn4ujdO@%{D_xYkpn~^bnpEYHarQdjv;lzELPf+`a z=_z-?JG@JV2mk@OW$M!NYLO{%=8>y|3%}YYEdgKf+VhM;M&3#Hi?2wMWt#lyd^Jdq z#8xgD5?}qAgjjBCwbu46tZkN<=!~EE#VLV?;I-T!Ltd961oxGXklt&Itr|TOiC%I9 zh`R}+xp$K|nn3UG0)n#ptAO@y`ul;XA~O#DuMXj^bovQVrFd%ExSg^5oP&12e9x#< zXzbSf)B%U*!gAApx~l$JBdI1kD_#%C7w51A(skXFhjxg1HCe+fnzEfEzq_<3n~le{ zy6F1sF_=$+O$l{5ezX%3#1qMts#9SFTn!q{1PX-qIqQY`gk-b(MpdD7_E8e* z%J~8X4Q&^t{{&5Bp6wsRe6~Nf%;JC`0oX+s$ou0h_n`*PIGWI5;pPM8j4alh?$1dc zAU_cvSv%;EANs>+W=9NsYDKdz_EG;_z6{M29*EJgp4sX#ZgcE-e^uS_R)4t97<&k= z>(OrXa4C_9QU>|HMUn`5g+HLSASn*g8&JT*vj-rl9c&u1u0d2$gdRi5KQb;}T*B(n zULPA%iaM&Rj@on2r?I{9sNzxzcj+Nr%HemlMa_>gfxGpMlH?nqB%AvLja1?rVCQc_ zaa5r?3obgQd!`^-_lSujcf6@)DouQ^Cd&guL)$ToZ8&Bf`LN>7yQl-%^Y$-9{0IU7 zBZ(*;CRiQP-Cs=FXLIhC&WfVlBq}zsd|dDSv2N&PK>z#;nNsNAOsOBs9@)EcLWf+u zQ*x{uil=VH*G-i$2X`&uRUfijulY-~J<0a+^A`oy`N)Rf^8O1)W_(f7@U|1EEdDfad2oWAB_jz3kfIXzBco8R79 ziHP$Kjz(VKH9tla0)X?UQ`)R^bvh~+e;g-RrhOW$p1aYLy5Y{;S5i37miFejAv>v< z+`z)v8>f=R;T1?OQ|y@}Dnk{&FzNKS1k=0OuY4=v)MDs2zL(CRRWryIgM2~j_ zdkvC&Z1H_Uh?(89qjQgf>9)CDRkB>;@y*6nnmWX%=Bei@Aw&JHTPeWCdLz^j0@AV(wHjiDgV6$ z|0;DFzC;xMUsT3%_tj&*XWh_5 zm-3O8f1|XF@{UIOequXdtKOu)sYcbSEMA{{jRa zASmmt;zqMtyz2XFMR`sKUiP{=V`OYROnHNc4>ieA(fA$i9LlPnHz3i98*Z8)S&@n( z3R~4xoi`d%W3*%UbSSF&o!WIKW_bCaGqWPAHOyTH^6eQJrU9_SgWrbrX`hfe*AK>% z@}S^is6u1==(9L^mqN#9oPMXR{4q8X#ar+07=G{f;XUtiILfS~{CN`Nr_hiM_n&{r`%%Z)I7!IA-t+qW9roX=auraJp@j%8vH>dU7 z)H2&lc{MqWELk*u3`?DRoO?+Uzoi{Mk(aij!}Izia-fL<2V2lY6=$F}D?FS!k_W8#!7HWL>(+5RY~`|86-nvG=9${Gj%K$9xi^^ zqC%{|7@cTSc2sUNZNvtyxB2ZH_hagwiyg8FYf62@q<~^0G&QKvw)l|t@@Hc17r%Im z1qd4AN{DLYdc@lft3eJyLmVXIX0^RV{UglnyxRL>NKr_@$TbPqr+%ha=?`3Aq4R}5 zJjpm@7_`80bc~&(rD=87b-|EY0s&xOiI=^j&w4lY6YzUt=nSpyyv9mIHSwM1GS3mJ zSn%1@;3e>I22p+EiKufEMU z3rqGE#p~jMHd%5(KjqoORZ0tP0ax$U1Tn!%dJ4j@oECo%Y9Il{qPMpjY*H~nIZ>`{ zn5VE)#>?7g-t7~$VJ;GyjAdmbL6BIQbv^)e+c8xX+}oq`a!t7Q*KMNoz7v7)J(1mQ zfIzB$H}Eu!q}32P4inN=DTW@j+$HFT3f*1nay9TlxyrhBUj-Z1&2q~Z;PtC5r&#A_M#1FQb4IU zd}h3R64k3V`8vzT=A;-+!p?RSzX$2-aOOL2f;!J7 z*|jOt7{?8!B}EBObbn~oP!)=-R9)~;*XB3scL{eP{A=WbEiNggoSCXlzaakdKjkKi z#vk&ACm^_#Veb*a`oI1>0Y*y|eps_o@2DPCSOe&d2WDt%d*n8EUn}vPAIPmpCW0qe z2*4^@SvrZ9Q3eq5?%nBcl z#c3r1w*Yp2diF|LpaBcH@xzs=S&g`%*lzrzZXw{=+51vSSoGWlT?#t?sLG))s>CXk z`Zqrh*xTx-YqL(cqzAv3mmVrFRmV$QMzqb*<(AQ9a5^B=Z%`K$>=tJvnGpqo0O2tl z-oQ>_6f-X+4nc8vf=~9j6<%7+s3@6@k)bQQprz)FTy6IV<+No}@mc>&i$%%~cNkG8 zL5YEcr1JaaecSqK-W_A}Sy@~|@={`~0*AiE__{w$i}%ghYYLke>&KpN$14^P9xNL{ zvB(R=2Hi_PLA&YKsy(?&LorYkA&GXePl=GAS^w`ethi=I2c#HJk`~kqo}$}J!)<`{dK+@0vfOSbq6l`)A7UK`{vhfA#UX^zaLva%N$S!@|TFH0^~#U!0dP&F7| z{L_-Z0jEl|l~2Cf(16b&6kY=8-e4jt#IJ|Gf`KJBaaJ&KPg?00O%x4Lr{rp&*s+%F z?#(&TU;2R-^$&zWZxKJcC^>t1FCtZyg(%VR9Jz+(f zu1xNEMiy_z+$XKP2$%AR3rwr|+UHuhT2-Q#$LFY~FI*z$uRS|nW8t;Qp=F!Q1>&tu zNGb!V%q4*=mZeP#YZ!6Meb}0qV_zULi{Jk8 zd7z~1bfJmr1=FCt8|EF4W{*ou|vh zUH+PZ*Q-}-d&kp9xN75Tqh#LeCD-e!k^sq9sdfyoDcv(S53HC4nitn%)Ygjg12Q%kdbqW8CObk!-|<-}j`@tT!Gv}~ zi}!0{87O^^ZXUJsxIC1O&Jq<8WV9Hr>R7@Lm}IQH_}912H`=hmXH+6qenn?%$#a08 zo2f6u=N{;a+QVYORfkba!yN%iz&qCdwtc?D;A2hpQ?5r-{i@F^z=g@f_3KiG1~;r2 zm{WX9mN-vTS~BW~p4Xpv=d^9;-QdhnfHld+|9!{Bm3HcTCeCPe25Bd7f`8 zI68Ftj+8>j%j~rzTF^_I@!ct?= zKu!r!ZK*>e)x4gi>#e!g3($t7pa@6P3MBHpfI(8UG$taImlJ%Hsss7SwfArQi%E3o zNn21zdyhKD9@^LRi(An_?6Ash&L>4s3t#+rMCvuCB5+*8Ua%u!MkIrOp&yeZ;-CJq zI9r#XgVf-9PKZNlTvRcqWK{1Jhk_GzzY98Y7%s`cP>9ZejHybIAfSMsKPPbiL$Tm^ z2I}3`zmoWZz(u&cZWHK}d-j4InuKTLMVtz?MK#Adnj1-~hIG``07#luk{6MMT0F z-Qp~ks;(b`_HOypfc7~30iZoDe``>rBmoCk6C>opsW<~Ne3TSKmC~sd&?12311#Dl za75Ma5ccS-2e6qQ&eWw;mFfAmmKagq@K!{sN^2!`Nd9ub$W?+2wXix?PDOTWsmQ8k zVas-?u$I$}EYtZs#^&4o3_CoAX7gr76xAA^_#FfH&pD6@MDKAtV!p`v@O z1MyMjI7#J!#<%7p3_*e|cfT51{L=s`arS0nV!jLgoj{DgCO$_Rd^2Sz8od?T;Fmm^ zHZHd#5JVOmJH*WEXO+-Hnte%Npu_oR{PZ0#^o)50-NEa`3mxXMkYn-{ny^Uy(Peb;p5G`nXm}xyf-^NAr)kNlq z&1`A#wAG^@gt7Xu1fbh)I9cGAy)!u#FOjukMVlT$M`yYPo%c_gT@N~NC!g;ZU)O(h zGelTwE3GV*i$$t2>3v|@Y%O_q3pQ&Bsq?|Xa9d#)CTl4iiGerK>Var7FAfN)^TVlH zW}^5uU90+YuP_9}VIA=l|`6H25iZKYcW zY%T#_!5VFY{6WCT9fEumeueNZs@Ed>H#4kbV#^|V#p=ZwGqKE|tpl+idw<=#ZDK1Z zFJgtD)=2E zW`!wIX{|-DCW}W$gl}0Gv^9JGZ9AWT)k9*p{|iVPZx?87OW z{fk#koNrlrm~+UdP+n^j3_YK@s*K|{?FzdL=xX37-Pj(2L144VMpvRJi%r| zEIe9kGxf&2SCq{zWR&)U{dqoSR~)qzm?5uv;(H*AI%9-YAW@aiL+g>K>4b6T?sv*6 zFSq=R%-KXvML-pi>}6im4Yg5)%LE=;N)YHG6;ZBq$`$o53E9VdDh*n?M~LU8L`Fqm zuq{ld5uhbOG21y2&XLnZ{#-IA;6*Cg`j=mNvBbj)&p(>w#xuRbN(zYoqVo~deya!gd|g@(m5coJHNa$4k2Q#*>GoCu9|cZL#b{M0Po+z(1{!p^IXhN9;Vxy{^rL97+oTJ4XnF1)r(37plr9f%+~!3kMaKg? zAJ306hx4O+8T6;m2ElQ?g@R^hDN6srE?(#j71zoX3|wPT5{|QRaQ>_xfj#rCHuME0B5IUvD8> zUngng+Mj<096DIXjWH~p{*^rSZDA&wj{?tpUzX$Vq5|d__00A>sT1flst#;Ra+OOJ z=G5h>uW+>69uyq>e1t4HR!+_&%=$~s%!!l~e5GG+`_FZXe+zm3wCNc3!S9u6ihduI z{157FRQHFSnG zhlewloCd}H!}qA`;AumRr1-jaI$bI@?+qMONs>~r_O<^d44Uxd$vdg=9<5Efs3#Jc zV#4B>*K~=F!!!?b%pJ}OCe&Py*V?lB_IZFaJ|r6;Ymlis4wMpyH*;UowH(B9DRG43 znuSXs<;3@5M5B4Omn2M}7Bz~-$L1s=rMaG!2|XqM6Atab>QZ8#xcPx!3%kxu%Lbg+*Al)kxeP%a#tOdQ z*PdF|r$gqioBJOj++6@z7UU!U=_8?AfX9s=n*H9n(?j2sNEDL8@y3RoLGU zy)QxyiGcjg43K|-@f*gEkK(*yN{FW(w$=RZAumxRS~Jr+R(#;*H}he-=OY1BbL7)r z?by@p%8F0Y0?s{9(qV{M38Ql5-- z&7t#?x8Bly++yEhC6`Ymd(#Us(1wGa>98P@1#5_c=Bl*=mV9f8=FF!3;*I?cXiVXF zY}ciVmAtaQ76*CN?qG(!w;B;q{jkKLK~<$`NwV$p=^J#R~4 z(@w;lCFk(=JA2do(3q?s;evhrk-p>y6Wjl4YRew>A!9lYx{jH5_FHrf-dS1 ztW-tVz-Y*w@$>KTIL{c_7@_N8^<`Io?oANOYQfTdd&-53~L5q*wxl6m#ssRD- zry+v+lk9ux%Jc_Hk@#3iKcK8VFffqZA^om1) z&XypoYEV!%qyn?M@nkXxFm>EWcqMB-ZhJrMI0(&52bjbr(Ozfyj$`ZSZS{37e&QLg zNS`99Gw}ThJ4>B6E-AKq7Inv}A9G~QWo40+$d2)7fRxWUVxyS(QihIL4WlitQ8`#mGM?Br{GR5>eo$lWOyvT~c5+{hK z@XhSdu!=L5&{3)HMb6liYaNY4i^0clA{D^hCdr05@hb({R3G7OOmEu^cVZE0R7qnm zEtu7RlJV-WcGp@`|VX~f$EwOY|^VfpZs~FL!NLJ&pI$Jq1!le6c@98gs z+;$<6q8dYY8iJ3%zAWwu@98H2Vr z{W92o@x^FFdio6_1LU@u z^tk6+@g9LOnf1y|lY6QFx8`2!nZb+cmwXaGgN-)0?7hrD35O}7VcQhEa{NGHLBaNu z*UsW&(wmpzTd%>%a1@9id3HuDSo>P&e(-vk=d6rCwMX(b)SvAE!aQ6^ibgWLd-YRe z97=`|2i4$Wgiq!A3|$IaOyP`g*~WRTqfN_iHtt$)*}hVQR10&1og+qSw>LX%yZpZ? zll;cX{u?qsm7K4nNT(Mj+*&%Gx*30sJbQJS$998~MmYAKF`&%1D*XoGKbg(c9rkiX znYnySM5!tMoJsXV3y_SmfhWBdk%y`#kW_!%0v*Gha>MDvZ~tO{okv~fwORdN3~b|f zSUq}s@pP1(q7!mff&c}31n3orhC#j2)pgIUQsrdGrjrg;8~d*lh&rkIPVc4exaUL` zl$Si}=3NerKLl7C`{eumWd*LzGX>~-35G?kgvrQ9v9?5#UXt9q6s&Zjw_1{*-u|ps zfuH17Sb1wA%|dE1y)&s7Mi>EQdJ6x34Sc%uxV_E$+kz`Ox&7}WKY(W&W4wS;yiyc? z7eZ|?5jhRo$`C<{U_&cP=+6L_%7MST0)QoaX1l?&le0swC;&V_zw+;JzOMac3sP8kS^I=zVM!BtGYxy6Fff@eq z(NUZR&r*2=8nK_^G37|G3olNQa+%RvzOTVkF8m-q)Y^tJctn9u5A_HzEdA|j0zY5d z2Wy;lI`n=vXFsa49fd=ob;4n*RlnRsipg>@M}paQoNFnPRutD zxnV!I{M>N0mAj`d=TE+Bax*&WyI%=HfqUI0EW*8n z#E=&D^QJ~&V1yrS$0D|awoLuHWO&XCIts7RXqaI};Oz_>y%G}S=_b!TT|Jh;1Y$zO zGvy?YZ5A5~Slwd{1-5r62=9m+c&T>YW%1F$A0xIn zs1U}v`Qxp;+wB@;*I{XWEa=TAGv=WuODkYTg5htKJE_~nZ;Gencb5rSlJrJzkY1J7%+P|}dDl?U zliT}zp1G5=5asv{GJBa1T?mEh~O zo*pDbq?n{8Dwd3H7(LWsJw13pU!V>@^8A$5q83!;X-rN40VS?w0Mk zZ$Iq?uNoKaP0L-OlIu%fNnokOB)9FSiTpU_4<+&YH8gga^e*z)a;u(N>dKi+enjUs z`RpmxPP7Bg1d?U|848i^hBetaheApY@Id=}`Ku0?Pf*vY6up`ya~>|hF!FA|I-3Nw zBk7TS*a9r4Cj0s21hlV}=j$CHh#ed4MWqC8A*HN^=goPtk3`LlQ|q#y&xQSjMOR1c z+-ay4S^1+%=?<8T&6Sx5RTV-W6>{3lv-ETKezsZWZ6K6T7#ifih zKjtD<3*tihn;L5oHSO1J@uPCr#{;Kkx(WH-!{*yN(BY{~rXS7rorI>%YJwfGv9UJ4 zC-J}#d_Aive4g95`W_1D?t6vG9N;^S*Igzov1ZjYIUWT)cQmafQE8c5c2*O>qZTdq z)@N=huSQDTeeL%~6NTs0@XcQW?X7=1ZUY^v*!=gtSxm&GG`zz8in}+}5*xiWex8zWd4s+{X31_S$S~0L zlkm19=jeV@2g6kG(n`Lt=_=oe**CZ!7j)tZPQZY9Pk~$}`7`@esAWhYPpC!MP{_1X z>F@7i4`63PK-ZUr={@@1Y_9HGq_cOCn|rt#3n6n}$q(}xZ_@$fYPMZ=P2JWh9Vq}!%pC5ZQvFdNcm~u$wyp_KsVdSi*?2s6 zX1@`_G8N>#{m?BKKIPt4xGo9cC4#gg%hlj~&Z17c-oja8^%HG=-`9=A7n4ePL7Stz zU3Ed#*k78t!;9DdIIaJ5JnSiX)RJ`enB1C`wV1DYB=^Bj)K8$xB1ll{dw+-qgodJP z*!e&0&SKf{w0pae1K7d2QW=5k6So2-;Q=zZa>Bg zh?VOR)XaKl>{Dv(2m|zx7Zz-)?;S})5?6T-PeWGoD|5dOBvgG>)x*qkeJ%TWt2_@X zLLk;IjWNWl`=IAc?)KFAq0ns#C+t52=GC1mNTzFw^Oj?jl=SGJuL<;DWTo0n){0YXX)Tohe^OoDy}EM!F-Ypf>Nc-wy3eCvO3W7Q!PeOo zQp^_UHEXNY8_!0!YOAxt;khU`!)moiH6L6#%p*G4M?L^tQ1RY6Fq(9B)a3uMx%w

uM@{fwgcc_a8#H z6Fu&St?RHmIBj?PgNCZ`2-fTsF~(K6XM`NscP41#${x4zrain2s`#7%+Z#20uOqq-+Tow^-!2 z3(XeGtLgZ&R4%g2mdo=r%iq+Cx%PD!r5>W_E}j#;5ELn@r)XeBn^rWmqL)^*RY3WK z+h^5t8Xkoh7uw*(hLqd4q2@9i6%A|H7IZ@YhTAi-_@;u+l@$-WaTW^x=yj|AP0%Aw zN%yd3w|-|BCO32#^(`GneN%@~-!@}(-HE+|KJ0bvW%L<@l9Hdb=aCX4%m3$*Jgl6zFj1_?7?t+wGK#GAM zh!Glt!=VZ}fx_$z0zk5dTiYH;F^B*$LLt~xxN49*GlKvl14G30t4CQeR6G0o2Kf8A z`h|cLGJwoxW6%JSukOEE3KEe5VuaZs)evU`bp%C%6fjr=F$Y5jklc991MFi|hx_@v zxH7UbWB?WHn0?`eB#;I<9$~0|h-;89P=tX&4TxD79DroMgXMlfAdRZh6RuJMCR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRDgg}vfte7BArne}h0+y_zy1S3y~7y> z7AFUpm%{mSb7sw(JuCdtq*-(3Pl{pzs{8-Hf-xy6A>~0rLPEu_7c*ogBu!v>w7_8c zkA(Xb>Gk&+>g~h#Px!&$o}TYs9zMZ_Sy;lF;p9^%?aPfK%_0KLTU#vJb{zX{WmR4A WYv-}wKdhN|Ix4Ux*n*t!|33f~K2nGP literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..4082468e42b2ecf948b50e9d19cc96b32e89e0ae GIT binary patch literal 5352 zcmeHL`8O2q|5XwZl5Am+P|7yS-Uvx0TNEPFSR0Hb`!d1hlz=)lH=3~`ks{T2|si4@pXfGiYqD0 zE6OX0>-xF5JBkC9f#QlP3Q9l)prVqpxVe*$zZ=*|+|&UK5myp7eT7?UZSj3;HixlZWU7cd?=#QhNc5APrO82hpXZR=ixNx)G)KV zkG&!;cqa=gHQvqCiA-QW!*&_wx^UV|QubOG(ET(!TY_-f3o{E5d8!|B=+@ZM{DNzT=LJp(S~vgN(hc!EE{mG{pO0$*ZlN(Nyy!(=wNwGw`dHO zkWge+e;H&&qyHRpUIr1!W1zEjvWi?yH;PmFpXn}@Yu`1r?>X^ZfE zB{zJXisxuh)V94$6_)d#uAqOk{5$EU3aP9pmvrxc@bpt;ye1GYt9-usj)gO{O!fBj ztn;_Sn8$5Y~Hsuai*WHSa1p&)k>d@&Ek`;QGkG>;N2*Z+L@_u^n?ZhtU zfR5K6?_TV!(NTdFmb!zm#^RdI-)VsUcG(OZM0ccRW-KgZ)8W~Ow2TMzFTB9Y%We@V ze|!xs{g`DoG$Y0gKK!mzlKszu zLiL#9^<2T+yen<<%436Ia<(tCo%Xe9Fu0`YkqESIehV9Dlzpxsd~D8{0LYhdh?+28 zW|frwBQzs~t<%G(hoy|q)yF9v{yD3V!h~0Mj?&hDJH##Q4mj24+jI7Y(vYR901HU8 z+EO0f8$DMys68ZQYJbmfIWqw70rtphEaGS;S7Y)xwz-#(NgXMUH1B#PiOf=eL)62l zLAD5#H}Lm`ia*7+1 zlx$2h?6Sr=BC2L4rVHG%BMQY;1{capHD>({=a|kaTn=vv|0QZ(K_9hD&Vs7ECqN-@ zHl(+-A5or#2S_vkJ@;0kVs;vntwGe@lc6NLN{oMhq%?Hpfm2}Koo%cK&b z{g#wU=_!T`J9V^^$H&(B*DgMW4AFr(VMs5S$tDJ?3X$}hnjTh0|rm!pOTU2+P#r74)E;TY2bFItj$;xQLxemx41i_MT zpY>SLF2|lCw@v-&8oA?{Wul2iQ~~!g7W|&05CZG&)ti@(wFZ3J_gcD|>?=4OXWn5a zh^iACQfY^YbQ;RmjIN(5Rkb$rG4LD8MzhYJ7X1{4j|IyElOkHcX|uC(e7#{$FS=S2nAJ-56yLD1?(ffP?gRCw;(c(cWLeV0PoX zAt`sz5I*~g&q!rjk|&23ZlYV91JBk|pLxk`9ROV@EkgQ=GO&+8zdvNUXba#Dz# z6eP`TIMwo)$S2~<4@5fU#gJ2T41RTp zh$`DdmkwnqkkeJtJ5BVywyJvY=kJW_Pss_heSdNnrl$W9#T^Vtfzcn*|8aVs_p@aR zvU+mB)ftrKMlJ~aHif_AfMYnODJT;hPPRJPu)HWDH~XhkdqY$ zF8Fe$>;=)@#OASX8}xECTMzu~&|Y4lQm>O2q1FnsOp_|c)VJR^lo4vsC=V^%o5R?+ zz1BPJq}YhXP?(AL4A3iAZ1sBIET;&bH6&qAl^N?co0nq(n72HNS{aB9`MsC*uOyet zov+Fzf;Tu3-bF0d67Bj}afGued&l|P)bTd&i2!qa6#h0dHb~bbaF_BYb@Yh$#I7r1 zQLC&}O+iepFC!$ux4q3fyrfjEX$G`J)ha&GFRS(8jxOQhtSNiL`3pGFqRz+Tt?$f}TJsl|IBQxJ>d}=Sx$|fBa}yJkurmVi1pGt`j3KynGKn+R^>9Pn_MVD;_(1y%7?=7)AuL=9>R0ym7OX)Z5Gm%}Y}a&sXzc4Dus zU)Vi&e?J7evD-od#SAe7+!q^UvX2a>^Gr!x^b%vxAy=*_deLTm_Ka{q;d2o$t;z9& zqfbsb^Y$OTKtw$VZ8z*3@?e&@^nSM0W}{yLp~Hh0?g<9bE+X3Jk_bt;@?c_~w%n+5$`-fbFgb4PFa zHHR7M`<*fEM6O2^kx?Kv&n|OP#xrT>bQJfk>e0_5w|?kRNG&4CJ~n(@x#sV~QTjB9 z146yc*Db}xkU*iE92Xv^RNS-!=#-mgIvLWQR?bz{o&@kujx0 ziPX2(7nE;O`Qa;~d-u!xz@@%}G4N7d_RjiWzxv;)z zo1VaV>BUC7fpj7!ndC`IC3l;aZ_A2=qVVLpT)3vDB$EKmUqp>4e; zTcItvHv~@2Gg_?BJql+wQwlf3TAKZiTVKCKcWY3ARk0$(Ez$6xJki0bb^~FNm$H7Pi4wV44=E*FXo2$^qo^uR zYzVzAe3Mpm&`rTg;D{2UYB1PKG<0jb+ixW(Y1HH&pS~w=i5ZG(k2dK7gva11$)ugW z?PDE_DKMOFT^ob)pd!Q~&OdV6ku7EtR_+XR_YHTXer0b(OdizSo09h_3p9Q&UqXsl z@cw%=p{<@e_keDasnTw->^|*{Z%+)E@h*MwVPipbPD4$D_dR8J*Qbu@jJ_HhYj4z> zpt+_8A4~t(ipZpxqhd(X^6W}HmT@;Qd~18UwwVB5@4QB1TY;6l#%q{+wi3t-mS1oj z-e$o)?S2ay-Y-4|EPTGe>mAdmYnujA!04|j35>=QFn$g*@rk;RF?M%Zw?+R?Z_!_u>1z~)lkXM=Bk)wTg^??-&ZTh3koG1F!l>{V7>wjh zujPXX7w0%u3r$adY0i;W=ZxWx2{z*n=Fz%l!SVb;ZGR%`L4nMjxR+*z@GI{DT5&FG zI??Q{Z1fh@PJdxu@44QbAYuq~Mr?@L;{wy$?mjXTP%Y_J*%}!9Bf8yP<|^(wKoqbW zf;ErMEEfv>TS|5|`bkc^GVnbP6ZAyfr3CE6(yi75eEI@o5k;_8YPWvwC0UknZbRpF znq4hNgY&~D6CA1xeDSH%PE3W9DCyn7cNQXsziKK|bxg;rj_moC@`9oqCoXc0W`ECK z^fKq2N|G|ovN1A^iLEP-6g2Hj5WD_fvCs*bJgBn}bQF-D>2E4)scXo}{D5Ze_vO==wjv|{rTp-s848W3GCh+x@lKl~hvC9PADAu7I!z?TZKHOP-S~)6hx+J1TKTIX*)wotfr<7VJX0pX} zJMv(lU7~ee35{UV5_yvojVjkG@OiP z8a68C>MLAW-i_^*TDp~H$8_#yV#LL_eqWxej|E5@w+MCY@?Rlw`EdHNI*NtCOJNxv z7ve)-_gr#7&R1ms?>)A>tu9E4{M_-QxJyh#=m7LxUI@0?H3Dq%;Z5pDA5+yV4)F~& zy}uts>Eb>;B0i8~^-8Y}m-+zdsb2ZZ!~{1~Clqh0=_~#FTW@Bt5PjfKk2cI0(fZ3d h-1aSKR#MixcD2TO(@L;Z_#iEJt0Th}sr{d4@PBvU;LQL4 literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..af5fd58de5882db720ff932a4b46fbcf31cf63f6 GIT binary patch literal 12848 zcmZ`m(!5xBoaEG9ay99S%SlnGN-`)MYx|*r! zS6yTEYF_miHVh0*6)Nn%()C{w{f8RnF0R&&4&-bc%&g38N29$W>*@HO)=PCCsed{Fuxf78(``%{|8yw z{|CKbPyA4_s3kHSCl2U;BBhOf{Y;L0Y!?fr_4I7^KBxUSsNy&XDg497KkWt#q=Bf6qs1RS{`kmo{vJ0z96cUA z%+gTqn;!Jj&{Dz-^nM<}(iQQKE#GK$g-(9qm6d+p))Hi0=F<@H6SLpdbF{aZwX?sD zQhxr7C4ngmKpt{+0-(G_CA^O;LbzP_E=$OMU3ModMh9m(Ur23vouHS#!g_DOUCdlN zorD&;MhSHa!umf)OO3t>mq2?p5)BTLd`gTa`42JIl+18rd9<{Sq_Q)6$K4)6J#5=HP+>}G5-bwxPQ~i>UCx?}?B*(CwBjQBS z;%_Et(xzF)kLHuX*`p;}HluAbiBy!dLF+L=S2<9gJ)07$}XPHcj>uF<+xW zrd?8rea4jD*bH#adnVOqRqAm>t)`L^)EEGssk!8fOprun^^p52pAQyY4@SVeTEZC3 zUow+5Npj1vMKeFR5`~r+R8?$nTlw#6pK>_2*)@pG{)BMzwK?QpQ+g}}yWgU&&dV!c zati*v5uSznzeYV0P`wR#3U;or_Yh~nK7+5Y*;rPP_~HiV2a=PNfMNI;sVb0dpKpvC zh-122H*L?W-xA-NYQJKZ%9ZWS!S(<3`=606+`P8tW{xjI0-s$hN|ly)oAR6KnwZd@ zk!7KFD!lVmYxov4WwqLD8BHorJ29JitWE*?6^`O>$r)>eCF2wntugW(#-3Fx z-pQ>6_EEm#jp(rQEK`wVMBu39;yJo~-nlD3NyDX1;UL zZoi)T!)4m7HU}1+D_=yL(jz3;_^k!}ujhzwtT?^lT7S}x+}jmSyNam1ZFIRpn~Yy< zKKd9+z=F47gr23O)i~en7NN~7qa|1`8qSFFnU6`;Ly zfUGL&@!49KZpA&8`&*Gs(o};ZoeJ(JmtAqD)tZ>Yxo#Tpop{+{?q6JG>qY0UJt|pgmerS8S%stBMAkj8F-<}QRiecT0f6haXD=;5~cjQg#2_lVOt9xq3t{|_hQg;M=n1kzu^W}Z<2edof|gJ zdO3BW5Ax}1#P|Wh&fE8n(jw0zW2qdE-gCRZuaY%MIs>URhq;!rp!CJ@UF`ewH`>8h8M;R*ECiZ!!H0|0%E`XC zC1lt$b&9X_@l)(MxZS?`A*x>$G5Th%Jw{GR7dSIN0#6z5RF-4(qks zU#3yR;`i6F0?$!gek|IrvGa`BiWEt*dHrHS86>h&cBw7u1~p2Iq@)50yhl_$)O1jI zB$;9^9(szHP(n5BN;(FR(%#0bik`TBSk(f+jlJY?70qSDvqgj_3EFMw^th#XL2If-JW^b#0Me#98_ihd3I@ z(8BKIhjchu*(sIaed;+OsOWt~pgLn#GbE`t^b~W1)$fP&qkpxNjjfdt@7xmne}6tb zl%3A0{$GF`A6gNOEly(t4TYp0K>p(I+CmPw=z+@7)0X1ETEO(cM4?$uBX*T)}8K0Ww%Is}2vdFz7ue$?3sH|T3 z<_QWlQVF?P`&(K1|86su;T<}v%%JRSVyuCkee{V6cC*P00807+`%e`2f!j@+@00sQ?WU`HM?R9^xe)Y+4Tm?t`9Ne- z)5X0jAF0!DWd0Qq^9h{D0E0#V<`nqGybC*+_{-kFcAE>-_hq zqp$8>iD4(N$%v%U1<{EaLgIskRE4k$gW1{Kq_evfuqzqS9_NmAHAChr8Pmj(^MvvUnx1B$GEzyal_p}7TkWSZVqWt-gChvRjeT z4Y|9T9tABsb@X9whEApt@kiZEVRnz*Q;Dbul?WN$+3?Zwp1koKSmau-hv(;c=P~B zHmvdU--xd6dN1M2bA%^t4gT~o|EN<7!&;Gz$!7(O^=ghO_o23R8TnMsjm#qK$KqT# zE~0a6TXXG`oMP>3%ofo>EgoXx0CS$7wk+qVEb}gK+@=(GH3~@XkV!%1;eScr#S;80 zQz$Z=vH|)=XU>r&C1PI?-XlK#ymwj)p~BmU=6Vyr@iV5OTT5J7LJ3$4QXb9~`hyc1 z?yMKfo?T#Am_J-3nI0}mD37#bl%bR|N30Bi4Jnu-1ZvTUccQ4L$jWNtzG9{>>omKHvW>RUKZ4sshC2o$3MoEXK-lKUwS(|^*kjWE;n_g!GC?( zG;W%Z+qYPBvC8LuNFZL|r4Ib?+h+rW@iEJMDBdvgg^HJ#9?RRt#t2zydkG)u{TsgK zuD7eOAc=g=(~XduHneiyJiCHl2mG^#RtsIuiA6-D}g>)9CW z#)(^_1-MA0d6t6MqS@>jRQA({WnYM3hw#{5V86ylurVIq|Kv0>eDECpe1vV_#h-ydzwBQofT7Pf5HPKpds~ zzg_V`&c(V2ACcm7Ltj2Zh!X;^9(^EWl5gCQchpD1;djJG9SE5OFzUrIL5b?!7g9>` zPH^Q(G4z4)!6y6Mb+j<|Kx}`dhA}hz(DhA|eL_rVX0fB0?M{JI&AVfo?_>BF^gY&g zE_;g#Pl{1_!MTnor#7|IUa6=HAXyM9Z0v{2r&4>jgh>l=&xY})LX-B#yLZdMe}WPj3r;kDki8o~Z3PwaJ| zCYirbXLl%X-`r49TI87UQY+GvC}bL{$J2Hancj zdUMu*d2q%>r^ytLp_KXyhrsGQ|LVrFhP7=?W8X2y7=MY)X;bsz%D9?sm8k{;7rMu{ zE2csC>_rnrsoEv2$-;rDCMnsW8#P_IRXg)GTWhJI6T9M0ztfq?;-=+d)hgRk%Z0E6 zO5&B~qFP%xv6wtdv;%V(G)Ikf=wT#N}itY-U#V5NYYB7mQC&if>&1Z^P zxSqe_$yv=-&As-PoZ0N#`06o_*v{ntOgel}s7cN8Z!7HAg~ah-kh4>WL&)MkUUX`m ztQg6>tlcPiyT1)aKi%JE@s=h1f=?ayazdpkw3m>(w^-Snv|rD#Q;6W4DdW1lip*5; z{*qpJ)5xUF+3M$!;r~4MwXbl7+hrfy$=YraNqD@21ce^p2h&F0iSxo8 zkpIcbW4)Qhbp1;FfF{dCoUJc$z18gz$79Y%1ALA_KJI|Z!M-v4!jn2hvZAkph7P}K z;yzJ&Gd7eM=$K4iHQLGSS38KMc2zbnu68kIw6meFmnIG=J29BVBfaZlKFwasaQpb6 zV?zVhp6nPCr&?&WugGv8<}1jRwD$uDu6HdTNB@eT4*js;dN^qm-yC?Tw~Bm-x}29A zn}JS|U9X1uEc$7C0CB9k3`wO2=sM45dBbMd4qCEVaP>KT-{*;0v_YuTn72n2X)G1! z?ff421?ctTou1?-07SL;Xj_5J;dZM#-mxpoR3;_NQw!T?kZxP$?)hQe?Ah`9NUG1X zV3Z?;l{TZ=E| z8-46YcE)B}1paxh7OQc+>~soV7q1`=Pt+o{reV+x&z|DMPM+p2@(laB>D6adYc*;t z`S~t??mAzx`b&G8pMu|jsR;#We@gJ{+1o9zaB%W<#u|&qGr`U3{l|)I;6xYj+FE-m z9;1F^b758L7AP>jb8UTJE7zH4sGgAkoni^sUL~Ir^rYjH$?#tdu!t%4d8GvJ(gR~Z zo#VT4sEsemU9Wl;G@W({fgNr$$Sk=Sa+TWIg3s}6-ycC9;1d+iSm~$n`&Hplo}$^! z>U5hK;(N^9{&$s4z3T~!iGwV9zS<;%m7l3!6`p#5?+H(iN~J7rFVb(?R zSwau%(16W?qJYv^Oc20eZvJyjhj6G5ebUH}O(B(UpMRBq*CbVy{Ft9Zn-P&*{F1>^ z@;&N}sjQZApm(#<_#<{%^Ke)()=^nH%i_KD_t-`&fn*y6b=o{H#$n{!bvbge*?CEu z2GRETD}evO=lWqCvk(VhsIN{i|HvlZ+YMMThnW?VNu8lIa0j7vR5ZlFUMpV#li>!_ zA{6(fMNi&X;-|R?y_>FR6YaAFq*hFuI8PmK^l$1Jb40SS%K#{y+18oLjXE6pRnEmY z)T6$AN3GBmP?&Y5+uHPviG8e>QbqM*Lj+YdH4N8gQSiHQ=!s}ddR+78?*e3-F+u4pRYn^h?TA0@^k~$lm(+&qd3!s|Z3{+=}Fd)yrK~L@!Uw8j~ ztT?A1)wUPeX8V0H>32JkduJs7iNvL%{G1<-dyU-OdSKf%j{CXD`Z13CVj;#|_!}RO zmAqEIjw7WC?lTe>g&h5yYVdZ93=^5G21!PxbOkPU00X6p=qc{~79R5FxT_6Z;ogN6 z?Z7O}ev>0f9j$!S0&^fq5lwpEg)M#?@tMzI8Z*;+jDNn;0YQp<|B}AcMrMrH#q6!Zo%36!F$HgU*v zAz@s#KveUh#v*!^7&!`+d+~4L<)V1MOv(x=oAwp-_f$ny!$xqw5nd}()J#Ifq7sAR zSV;^z#O&u|=x?To!y~*^b?qX!=F17GPr~>I*BaJB%j$B8man(-o)d9if1u^5otK_@ zJ)GmE_XcLf4RV((k&rG-HBQMQ1TF0iQL7Nqs#i zAWpTqcmyi-SJfos6|cjRy4!!3X-U$J?-+1iS?*u+;2%UMjF@nf9Dlo&1=CqAZ3fcX*|5xr|fUU7Z>meU&L{=(S5J~ zw)9rd&o>Al^%tr;ID|0`{zvc6;6z-0644NDs><&|8+I$spSv3HA}-NUl^TmzF#dZc zMAHs)E7-zeWs&K*+PY_HC5!5RLwmrsFViP!rG3ZkC61XoJAMp?_nCzhXLXjE@EPwn zld7@EK#$8T0GYw2KBYJCED<6=(&=Ir;4M;GW`}>D<*%io$*@|RhCN=2 zjrGmS>M>?}<9BLY^@DYMafO=HB2ra;*>OJbvY}KfEe?0?K{kndTe+;UcGlqlnsjjflgbR zEn&2SQZ$3K?cO=Rbz{rT^y7-F7gaQy=5Do*G!FQIFge?RVv0 z+!S-!Eh%T$!WuAC;#AcX9-KwA=u?0{+5G@BLY&@-Z?V5^jzR}-1;fg%?ux8%n%HUN zTv_AtT}F<^zE-fLRoav=`g>;N5ZFzGScjvVmCyAe)`v>4mU8;Hr`2t?fFyYIhi}rS z1pz`Z1b5!PX|0hzlUX~_uz=7rG}}+1rXt1B+d$krlyd%4_>l9`mDI*B;Br8%4G7eY zXu8fXT*hpt@##VR`=g?{7oE&BRE!)IF&hEdZ&r{ESh<||xLlup5Ay?zru!y}=R$3V zJ1!_2)*%~4!Xy?8+do6jay7B~9iDD}bu)V5Hp|s6URv6^6K$DXta%t~(kT@&UxU`) z(V+GYBwQb=M~CWNLl;8Kh#>byEp|8HHNouxHu_78REe}L!jFN) zmW>fXvA2#9(G33>$_}9vt|xxw(;l8+hisnsbPTTqv`|qBAGTBOJPSKvps~>YBvyPj zX7ziA34B%N;{@<834T8#Bqcc{J0Lw*8jsA`Q6<9+?!(iSRC<|&jSNX9MWSAvP=ZO| z^GmYf=>Jq9Gbh&&q5igic1E3N{ zf`I%ct4KHm&z?xN_WDUGPR^olUE6B|t}?jqR_X&Y7oyq9GFE z+ksLyD?5LONu#e*ZGe>oVH9m4Lfy`B&w%;elGpur$9pTsXsfKObewy{t&odj#E=>a zskWiPCr$M+)A{MQvj;{iIs**OmJIj%=&`LF(E{J7h-$n~>zc@&1rZbssF7zZA;2=M zCy3|)Y+mRv0X#(F;P@^7?Q-NT|8l#Il$0m)~Bs{gi z#$r?idi}4Mg7}6YUXt}WAwAMVQbRtC!M(cZDC_sFej{&C(PGW~>u=XD0-4!YTqaR= zkSw(2qq#yR9|5cx`qM0!*;zrIdC6@_RyX2-qci{sCN&w^lGp&8q;Du*+aTVpVT zxzG83JM%skJ_89|z-ZpWVE{TY|F2^HOk$)6)B=j0qoQ;o7i*}n+eBHW6>Ez^q{mXb zW{z9Dsk)=Yk7k3v4B(?l>{u|U%>{FOWiZC&g0P8N;?R~g!%kSlFknMC=B%20^8y%6 z!}!%wZ)FKx($V<}Dw2pPw zVtB~-FOLs>sW^RR2z8$pAU#Z@k-BIkYH9r0M7c?-Gp<2>hDYd_F&Hzs2&12-GPwvJ zugxf?JU>qJQ24@9tdn$*BkhOsv9gQ?c{~4eoQsjcFSrIJjPb5+NM!!2%g)_YqyRbX znR)j%3qzs-27lke7nzr!uOh=i>=1H7ms&JFcq~QnNu-T%SJH&?2B?hfkBTSE0tP589?%<(>5#mGW5{%ZW90o#-x3dK^p|%oYZP0`&$tyRP z=$dJ7rcemMhWJ`HSLS8|OphDYap(g+5?HR3Rk>!?J7JCyVfNyldSj_u>(4kh%5C;| z3x~rbpPq{a86AgtZ34f$Tl;=BVg=ad0CncvqZuLd>=3TNzdM)nRIh?(?z@)-d~zSe zZNn?(X(J-=$l<|^xS@K?sI8QNjej;t-_glmh8X?+#PwB_=1d3u$PqY2I$fr!Um>N1U8D@h#&kF8lhh}j(O}WQ=Xrx9_g|Pvc3O&LOr*R zQIPo(KPJ^&fkY!PWK-(++dk{KYQpYUm3=IA0Yt(w3$0$411^LK7`;EvPfmIi?1tzv z>DA;qwbJPA;KB_=A*^43HB-eOHg3j7GS6n?Xth3G)7EW$9r-mWSw(&f5PtX+#d4yF zhQ=}^nN)Z5z`H>lt1VG*#ZGdtJ#%$dSB`ougSCWhx*lu;?cVB#Aq}fKb5>Hy{lMQ& z1!STGQc+fa#?D0$y;ycIh)B08|$-*^Rqo0c{<`O5A{ks zR5}ofD9f0$$ex3VEaiS=-4Q-Ye#sMOkIr3EgD*gylNzM|G4R6q?{OIGEwQR#Ihu$R z>;Th4MJ=19k=FqA&Sff+W!aPEZ}!Okf8rmRyYw zc7MY^C5znrT3g1ZS4)DWvpT&K8W}KrGFdeJv!~!8PF>KbBi^xW96<5u2QgV{#v;No8YBEDrB% z(2LQS4_mXFeZ<;`FyUGdoZH<{gF2I%|qHgpM@tX{^>~&{lzy-79EF)&)e-jvsWzBN)2((&!C!jIGkj;b>*9BGl z30kG3+!trkg%dAzeZBL*cE-TcE8|5M47!k&&o@T>fesZU%uDypGI1{=j3i1w3g5TBU7`&D#WN-r(EPOpiG3yFz z%oS~LSjW8VW^a~(GF8K!$Zn;mI3lv12>aCSGY6->AEd8RC;qyzkf&~N->gBgOb%Mf zuxuO9CBPmLY@DxyUkAGZ@X-SXed$tx@%KD{qZ#w`mvnvn%IWEQBwEqT4jklFkR`zj zK<|`MVdhi(IYNj+1Z+>*^4DQv^I@pjFw}fF4Q9mQZ<;xU=16?aaC{AHZwq|s&3$Z5 zmRq%K7qeU&5teN*B~hh$=kY@k7!&=0BMx7VnP{M(%lJBD}IEbV)*M z)ec*u6zhVMZr;Rz=OcEGkKol3w}TbEI0-F?k20gcAB)EvjgK3PXMlAEG;v5yTe{}I z@!=o2wtml@3Vlk``^^Fo^<%?7(z@xwf>{*~uZ*^5Tb1ZXpxiMbe?YXaB{Lj=w1m@! zhp!3Tv0y@4H-Gz!&pjw(U$(+*`)^-7!fbEQU*VFoF0J4H;xQV9swU^tch&2-2f&>< zY}L1KgT?y=DR=ONyLT!V&%wzQ_dk8kaIo*AB9O%UgDH13DR&krcX%jwD6lVUBfP}L zUo^yDFp%>-$#6pfG?e}NA$uJv_qEj|UO=<4cZ+sgSCG%l>b_j;>gBOM& zK9lC=oaz@sD9Z}tIhl&Bik(2O+}m4@i@WftH>!W15#xg0E(BWN`<_hUIU3(?HoP9_ zbl;QOI-zU1-|SFL=rQ;coNE3m83v#hBSj2ZJ(iTLSmNiMTLe>DggakFFrKuqLp))N z1f5^GKEINUUv!`@cUaG^L9|sfp$ijLtNk%{M?U>)9L+yo4D2vA&*_*ezG1FM< zRr}vc7Or?B%pFNMo4$OT!_**Te@7tsQ2~ZQ7NG5_=P&~)r(S*)X7qDFeyor9BP$M) z5C^G?gA~N|7$}?b_17fU7B;45rdQ@?w6)vpWQq$bDhg*dTJ5ZhXXbHz=U=W%UvhnS zTb_I?DxQ4Hll<0$U*Rg^hOR4tNK+HTdjI0 zl%Jd41p0!@4fX8-5n8c#J!komHx5qhe;aeo>T=Eu;9Y@uO}R#(Tj7+M?FJRYdo7#7 zh-NVVTqg*o07)^YpQTbg+(&KoOM(o|@L0+jZK{h4P3TnQ*UHE#kAaVw&h-Z+O$_n_{8}| zj&Hsi6Yp=C_w_z4l8^Hg)-}bxxci&4*uA8YP{?&df}!!8t^Tp{yXJ2pmiWldYEn&T z%lT64m+Mwfp8Yw1X&our-u2eYyA;XyOaT$YL%dDyv)(O$s!3+LsfcK%T=ED>czK%3 zlk285hW8QhZ}m*j*5c_bpFKY*r(X1N>UxBJ%!NZNsC8(0L%KaTvZIH{VdELww6^GV zfGuxq1fYe3w=iX?A*0>wI*Y*!vM118H-^=iifd$-{?6uo1~Oa*E&-7EsHwiUfraTwdjE2Z^H_e2e_LOk6-3bK zrQz`P*v|}jJLYlKi0~c2qUcXGYzYuyQI@FU%l=s3hI^nfiN;=K(=5V~-F>Yt1iduc zYmTiVUT_C272ITD(!#(^GN7tf8`kGUyYrQ^$w%$iA#KrjBxSZYx)w1a(&RM9;v=P0+a!$7} z?7A4D^GW!caAvR|YXd8g7GXa34&3>mnKCCDQ1M^?Y@x9~)KE)uG<#jabnf8^mDjJU-8Ex$tI zQAj3b>}(CsokmVyfr(AW?`5ZC_PgG-Trgi$S)vtvrFF4Ja?-67)H9tkho?} zi~QQ~3<1VifIAP3`=vQ=Ub?k>6_2+(Aj3+-Ya|b#!TXOB%`G>M2$x0*@pl&%2}$a!m!{h^9R{) z;XeC9HM!z+X1_bR%_Ne?ht(|kGn|9LXoDow-~kzVMVfR=By4}w+bfAw~k~T zbHNnW7BjeV8Jtm>IhL2~E+}ZZN%7rN;`@0OWalqvC>$|FC*y4%%xW2g%w`1UAEbP@ zRV~Z&${Nuphmoj%Fz;GL`RQuKp(HG6#UZPY_t0ftukUtdy9?4L1zr%+41Q{Ethj02 z<|LQtaEfHly)N}~#KfSaxSz_kEOPpMqWbwm%I%*&_EhBz9-^@PRvv0(honHUj0yw5bSI=l`^5~miB8x%DtOAIEQ^oY(GLINV$4&e9c2fkY zWI`D6rQ9Q1LF?)&dNf}I4c%wm##5$uMo11FYz21WH**zrHirqO595T<@b=~yhQRL; z26d#<`cxLq1gz>L1znOaf3VtwX)S(UXcSn1@Um83@n2YElNt;dk&|X0yjb|J#&JP1 z9+Q@8TdG|LK$?v6S;nWOh86E<>45MsNi7LW-&HxXQ+Ub2Tue_TP5ml9t!n5_~LIMOIyoR%zL}Z6VQ9XEd>@RT{CkU56K8RTTP$*xQ#^Q6H_q!ynVPkw2$1V zRQ)<(gN<41MPzSpO0HfEVS+pL>ndECiVCZ>eab^W;9JqJmpOQbr-r=!egi(;e`H2J zT&U8@sqIbl{jB4>`YdnRT#?;UgWSlVlx_tNQg3i1u?L;C4!#Uq;z|y m(0;3T%fO}$qmvirf}}cHbSK28VkDdwO&waW^p5l&Rr)VC+dX0c literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sim.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c85dd230532c5cbf795bb06d504368411e1d5e6c GIT binary patch literal 5315 zcmV;!6g=w@000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Dr000000005E@00000 z005B*00000006@j00000004La?44-0S<&wB*h41 z$!Kv%TPhq|N!&V?v1OLhVh%m0ho-cph4use)`hlgApsJ?8g>YLXis~foHk`CB@1L> z3xp-<|IWN8kLJz1J09c^8@*#`-n{pF_uhBkI-`-iXV0EJyYUJkdqm{F7*@#4?*gOApE>Y$_aSwkJkq%b3BgkThfimc6<-@q8IsR7mj{jKr7*((7~bo zDrcb(M2sAbbF~EsY6yjlvC$|I@|K58gEUf0iC{<_=no^LtF!q)yysKrq|ysg7Sym4 zg(#0+q=CYrp|_BVh(Tv&m_lCZS=87&)V;L0tjkO@tQ?Ywoc^w5MKhr$Z=wquBAksVPK3LfD>{df^j(B z_SWDa+eyEv8E@45xzYfgqT0sE6tRMF432xttXk`V>1H!IU61iPa-^srG1LT3-O@#} z>=9s!Jza&N-etpmUFF_VKM9}?b`V9ZU`)r+DXD&L%?h>l0|v8e>%NONp}{1AF%6Mg z>)zMpIeV{k2J9Z8=}rXWbBOHgb&>XAvUF{4tVJV-$r^!KK7r~a>sCLG&m2+rYu&Gy z4vdf554`46?Doywx|n_p+R%+v7xoXX^BQ*3Bed%f!JvJ{SYt^NDc+kVcQ9rY0fu|9Mc}+KcYPsT`cUOdnEMI1+s^($JBbYjJ;xB zg2rqS%~7yuh+3<^Y_$~C!mnrC4QZVb)R1V`Pv)m@S6Jbzc5lnqnp03>F&C~gMU-FC9?qhF((n=SC|}w=THvp>#eA+Fx|#bozqk1=Sa{oX*a@-FL^M4mz1u-VWC}Qs=42EB=3 zwyjm}K-WEkPG&vtli7E5?QZomgPzYYWGBPX*3aC1W|jLC6*1^d1h$;cmY{jMF{aB4 zs!vzW@{7CAbmb;{-emWSu734A_wg`M{1S9*>gJxwo)^?-6Qx|xzB^Is$J@CdE%}C6 z_suwsa=x7VT%aqb8!NhUR^HyT)6!>tSgQC%*I#t?3z`?S?m9blz8;TqL1pUftmln= z{OQ_ZqU4Q7yX)#c9{PgH+}n2Q^aafeT6bMJ%NBa{%4;1vY=YclS>Ss8Zq?6y{nNFB z<+HOjelZ^-^H}5D?+Nhtw&_tmuXZ@KrtM&@sqTK!^%q@U&~;i@&hlBowQa4uM_qkp z<*j$UzpHD9eKn6BTzp78xYk5pDMFwdO$J5GX4EE_3!auRyPlm;ihN87^oS;fN^KQ8 zlB# zRH?pvNVcLy@z|#=Ge+%LMM6+R1D}){l-Y{!JkhZt;}|l8s!lJ;`4zqpM#oBmVwjQu z1!kxeG1o4;j4mgk$lw#cDAZK6C~eEj2tX*6Q)HM|R`%K|gp$AuRf+^iP&$m#r4*rx zVSIujqZSE=)}X`qv;$bBORX7u=l7|_-anLKs!Rr-Wvj2Wtzt)j##Uq~34FUK9Y&E6 zV7w?YYLT$cIrV*+^?EJTPGA{)+qzc{sg)sih;w;WgwC9}&7jo9-5>VJV4o!>Q(g91 zCo6_2ljA468RR$LD)Wcpv05b5!pZ!at*pq(x*wn}@+;332k|=tMT=sGB2+07z+=kg ziXv1=Pz+NDB|$Mv8Bt0RMT=so;!{P-S?r>90ZS-$D37dWp8uN%9iik6xsn1bM4eEWA# z{Z;oty${s;K)nz6>jPrdsvqhesC%I9fw~9k9;kbu?t!`o>K>SI9%yf9ZwO7Hn-};s z<*uP6{S6^`56Y~IVb$nF^Vtr0M`tr`8c$(lkWbEUb~xYew-hfX%_HXm(YH@0FJu8L_L7Tj?vQ^^FzhKp~m5%rM;!bbbm26*n3g2ai~1pb7|vn zsjo4fj7M9Vn;YYo478k55Wn@`h@W$_{R$dMbPJ1+=+Z~zB!k>;yvS6|M9{+{OMy_k z7B3kn4VDW%q}Mg{B9&3s4}@eb?Jkst%LBt@S3yX;5HCj7WkBe|zkD_xZcC=;MUvrc zJeNzP+OkM>4Ob(Tdds{>*0ZPIh$84l->sX%D2cY3PSU$m_WLV`Ga2^4+K))~(vlIgYr z`c-o^F?#kDurwido)t?Lnom8obu_Cwb_PJMl5@c@6Tq23+*?(uqfhnpM1)r}4+vR1 ztI4}>M1`1^SxWDaw!~Ol;w&+rCE7r6u1&B~&Sr^oKrqddWQE{zgAN$@k7P7=Qc|*P>kYwI-kNj;QG>3F#(y@Fr7tX~q?Q&E& zkLUB^zQZF09=U)=x`5Ev5U?AIq@wYd(^h%D$S2qBlk4%x6@7AxeR4~{(PK;5j<|Pa zm--ae3(g!YnRrAx%6a^*59WJ57~H5}2HgNlTquZ{r#P*Y=YxW1ZILO?;}A=fSuMkY znB%#ST*ML=gP@&?r=gb!5zVumRgmYG3K279g7f%&A;SBv%VjL_1D5z9OI*$pSF^;= zSmNg_@e2^#;&6=*=9jFdYe6te?{%z@U-LqK!y`AcdT!!{tl*K`c;pTqxsyj$^T-;3 zXs#3<2BO~tJi+T(&m&Lq$kX14yyW<+HzEi9-+|DzLNeYNPkPQO&w`?A&uEn8oX3Cj zsx|=O#?kYF(e21z@WH$&%+WOE%K~w^WRsQK2t?o7n|L9cfpFE{%8S|NL(FzCdV607 zLCxJBk0tV+TeY`*=z7~zm+XDJJPGMv`jR*^-yML}PG6;iKrli&c;s9jS;-@-cw{vY zeTCNWLhj;`wLEeU5co=2VnqW9ae$kr26S>iaB7y`j~_DWXBk9Z+h@yNA2 z@;e^66$pRVZiO%EHeb|AU(_mJ)a|~gJA6@h`l3!k>oXnTa^ypn=JVqbmbii?t^z^d z*Jw|)Wg_&cTp^uG%5R0prLJv&2r8c!xLST~^3@Eb%@|e83VPf?#|$ z7k35qL-QOADH`O>tuva!*^cUui16PUq{Ose!Lo>TBG z$UY84d#R_`m+f6PJ<^B&FW416sk1oP+gDohHRQR5$5HSwRgUCXPv zn@8^9k^6Y$eja&{M;_vlhk4{t9(jUCp5&4BK+GLWI#<90IkBqNv7P+QC-)4m@>w2v zjz|8*BO8Fw?YT%Y8IGS9jd$e2(RBN~M9SQg;;|!q$e)OY@hn$+Je3Ql^Eo_Cm$g;8 zD*7K@;qyH50*}1NBQF7=rw@k8gTvkB;lW~+rM8o;ysT|JvYkhE0HNpbIx_J>G@Y8C zXtP;S6@8r-_6CoP7H=q#Abj`as29SX|(;xFBx7dHv?i@}_5;=_TO7R@1QOy! zML2LUF>)~`ni!+m0|$+XL1Vlw7pkh~X9p0LI7xNCSM_!Mbyf8+tcQgF@Y3eJLD`_76Pt77pd`|`Q(z&subL5UXh1)P9_9jT9(T5^=xA6Ptp^$Sb zUG0bJ-cfhM^a6kzKkfS6LGSuf4+Wn#kv6_cv`~0Geh{@#YQKlzApS7NHu2vTxROyN z0>r6CXaX;`KwPWv9Ij`^KR83IHAsY|+ctF>NmZB1^<_dr{x-4htHF~K^tT6z@P6Vp zWgtqL7s4kf{cz^*rMN-ng-ASA_5uTh%`k@RUtKd?jF}e&Hqo)swIaf`unzIpZ+vzZ zH&}~AUX<~5p#@2S3@pGv0WNIcLzM%|k?24e(KVO@(j_jl9T-6T;hCw84stdi5is!s zb9>h53?F(Js(9$awst_A+@0I5$$5nRe)gHyKPBKYB+~A-LU+G$!y>*1;Rlo*ef!m~ zam%wjrxl6Krn{hnetq}SIpSnlPMTq??Ty!KB;X`0XY98h_nqoOPG+6&n(Bgav~HYZxM{)e&pI$Uy$!vO%)axM9SB3k3HZ0`8csw zB3b3w3E60|4c3XG9@v5FQ_dSZDZH$~w2#ee%N4l*yHV}R9JvTNS%h?*-0BwXRB}`e zQJFrT@hM_ej-blTOGwAQ@=}}5>KsGYn#JnlOiY!m$N^X8n5l(WBPn7FBd%W_nngff zeUXT9E@>G%kHG-)+p|BOCwVs#Whp(}%lC6$ouup)_HjCCzu`+e&nSHlKowW#@~@Y0 z%fn6Vz|DJ%0$2(k;W`4p4U?@UNL)u2=?rkEe)HD9lv}8jrAe-cgv)p&cAR_XANp4e zuk0Z*8gE%@5&~%(WYrhnb*abmeA_@%th1HlovgetO_=O%dB7!7#BS5LY5U29= z;0QGpP#v=c*CZ`$XVzX6J~zw#+t^-;LS;5?jpBdXd7MjR`u!c7DV9<@x}nm-S7|+w VczAwJ z`3JxMzP0XI`|NYhyX(Du?p^B=qoJWy;G?5vchnjV17X!J|2FsoTr_G z6<9z}0L&-C%P+tyz{f8L*0y%@va_@XYnWTwgZaVQ%HTKF7GMP{I}cQ5UI(fQY9xdH zvqcZpQKOXfKkI|OXL1=&GvaE>;%Zteo`A2EFt2pK=FOb=Wnfq$sCK228Ruus;DJAH z1Opdjh(XwwIWmW;vMr+ej^VzBfO6cNQ+G<2IdgAeyEF)wj)+8`JN|BGH~(rkKYEdn zUOM1{`*yE;eYT=qCh~3Zf(O4+DHk+7SP9{)3Af3NH|oSj-T=Dp31sfEWDai-hr0-~ z4MI4RKq5t^Y(U`dUpW0E_(X6I;VcI99{c8InEKL^{h09y8|Z|is`hzw^VmSe+ne!~ z6;0_*j@l#GaDd-w`nGhyeEZa{$Mv710_B)K+D)wbJg4!y_+tfga_e`;pAqZo%7U*) z93%yGbJT}nki_`95Grm6=3eaN9$Q`BP+60m`EYP< zqVaQa{w;Nj2~*-i$`Hj&fM&X;h?0sR$MMqu3}hUTC{5F}U|llv)7V|{f&-rc@aU4S zJv@i+gpri(_KkG^|b* z&)hzwuT(cM~RJO&1IXMFc`qbU&MLmA0`Xsoe#XKwz#(VjrBdqdP-Ts%jah zgdu6e1i=)?5bNghtsnIb5i9lh=i;QBY;lv<#Y(OX;xdP4$es!AG*I)D@kEpU8Pk-?F4W2k9JmSri#i9;JZ2jt{ur^G_jyZPxLf@8CIH2H_ zPM17m;p167$cC+MDk;9>*@~?mB!QF?V-vLXO-K&2O8Y9ztnl_)J|HT%D_WIt*w^XRA+g2rq|?XY(cvF?vS8-{uV(w2P_Bdbpld?2zG32TChd zDw|HaPUtKu6b}zr>Ra$k?wDkZziu*>e+Fr0C+aY4L56N`uCKxu)Kt8*l=07hd1r->rTOF#*9>buUOT8t|ie0)X^cJOE4_@mO+@J2oSh;E6zsKz7IW8J#Dcx1_L$z^2P4d3wBZFeiydb# z5ADe)YmxmzG%o~f-nj38U-y^1crwd(J`_EgHLEISH2b9HiC}TfB2KeZi2g+>yO&a@ zdlM!u#jMZ@UU_;@iXI3jCQ~~q0fsSuZiHoY`cCZ(in4`2Dscuw^!z(*L^cRZ@v$E5EAv4a~MVgo{#8R)DY)Ky)(ip#!~n={5;5m_uaZe=32AxXk5Uff_Bt zp?RYj-n&O{GjWdm^o&ZA7%#-*`hUSZ4uwA;OX{lkt6nl0t@ws~mdm7UP?K<3YoNTa z2|YvH1CjJFmprO+1)4GICyrK33DmX9ZH5C?GWQ;1vmU;%a{{WJB~8jJO8ZAg+OM8) zOugf;%FlM@e+Dp_G={W{aoX=&WZ_uZN)DBF8_ipW%2=MQ&W(`Gddh_s)n z%f1tLoTv&sVqfntvuAK7|SY4b;{1vxVsF>Rr^(K<12&l-+?oP6j&^*yp3PK zqh2fIAcxcd_bOW4P~`qy&f{850F_qdtW>b)asR?)(z=bhgNnwz$m%tJ8@Bd0y2H$N z0$TJyl9VTYBg*6jbKPs0Lp05j##Y`DKP$iE0EO^kH$4Gez99qw1XR?>XrN@cw(6++ zbnj%q2LfZ?OkWek)n#m@4w*KZ+9Zac-e}$8_Ai^<=&j)~>DaG#lzl(-<^vmA9>YtU zz7T|dpb^EmkD{L)+0sfTNiY#qf4;*IlOU*l6T`{YE6AuvxQdnnOFkld`P8NZzi-{kv=JB#o0M|wexNbSiEL=K}ly`=z_p@ z-=YQ7E6yB&p-0^tyImM!P8EN;xSd6sxPGVgeoE-{vXd*N)#&42m{f$4t6@iLhEFpnYIgcau~mUcQ@1yK6$WDbL@Wq((>nF6~2$v`$m3Z z>@XtfBpw(i{u&z*7QkOd*RA$#$7>b(HVHID+>DEEUCd(eo~<*HX*zZTA45^Dglb6Y zQEa$|VB!D{B`#cG%?04Awy!92(AmO@t$}G0^!%1yRu|6jvN^UV`tOQyQh8YjPiO8O zVLvx(_y~Ks&tHO=aO$n&dyo**;J|oQ>nmJBtiDZBz4nto8CJ>!9+xZxU?n}-)2wMj zT(;+@S@oC+E+q03N&=klQ;xbmG*vk)`DL}ZS{yN|uLx$7+QJ*jIly)%~LfFt<#iEva|(cmwXw2hEUA`eh?aJAHd+WPLk(jIdb`P zN>Q#kRux7?*s)*lhABU>P>Xr&8to-3jmiD&FAez0t8s5BFv}F063ZqYjq+8_pC^A+znu}Rw$`C(wzYQfUHkXsxwWNPk$urd&WTK8@gD2s z8GlcPs{WR}(8VbygE*9uzTi=|?{IcCWywmJ{%-$B!IzjbOuS=SXuxK6IzHthxmq$p zyeM*RtB%447+5|>dxxu?_>}#1y}{Q!W~38wIo@93mC$~0q$eGn#rs`R@2+sGCJpz4 zZH>%lM(JeIueLN?mjaR#Tm?DeGAFPEoD?11f+zV_4rT^9O2OPnzc*k%D*A*k-U}V{ z^CG*wwUZpI(ZELnzUw$4`q6lU%4Li4#38b*&NpD9%;+BfIu~zK9ksnNe8nw7M(<$9T|I#pr-vL1@ zo19E!>=CJt83jy{+X|3SNE9CFl&QpzL7#R+Kss)Q3r7NMrKoydG1hLmjFd=HfhGFKg1?qZYo1!0Dg%=i^@R^ z7H3z9gBapTfb~XC`nGMF3pMMcH?3v<*|`JW0eDeHXGOau+~fFa{hyhP6_rwqtQ^Y{ zhZ^oP)O!{f`K8rDoA(_SmmImY4|Pkvj9D?sj?9seF8w(PvSw=wy_I-9>zA4`l6~s2&+@12vkVBl8;>5(xC4~H<#MhfNW~? zkCliWbVO%rx-L1w4enp@qeO&RQTy3KhgKBZ(0VC0dhI}ZI`%IK*}$#rzu;>QC&hl( z`+vYGrP7m%Y+wwJ<@kgrf34Xd&kB{X4*8JyPmN^CKTzeJ^w_CH^F>-R8F5#1L#$P? zqAei4B>aNx(dqq4eWSVTkT0ynf?;3N4ASIBfhf}8KT_d=RQ*$Ag!Ok3l-P0sFa)}I z-$I2RS%_SGX_}Zk<}vcIHX1LWnX&)w{TN{{&g69Bi_FSAXb}~viF@}ePaWO$TF2tO zS~Ol%PSWFG5;df+rp0@w0#c&DXWNNNJ=^W6@9-io1EM;U^SYb4$qaJwIS5!oQ<$Ik z?X-U*G01IYBVhenQNY8fSH<{|D}mXLkJyn#lcdJac~F7;m8DQxRAVCFNB#C+VxO@l zsR$HT^;U9U=~IEaOMkN7UA=x(CYO2h*-g{=Y5mZBAtmtxd>cz#!%_bY(f)=4Wj{{g zGPWt@sot#Cy{tyLDJoQ@K8Wz63aL>gTwqu->XR+@4FQPD@f>$vA~P>|&!YV1X%HX- z4O?v)ztz4=^sgC;_8=SFDE<7us#%<1i?bYrJUQv&#)JS7s{Rnv9&En3hfbhaMUwRb zY{4QGW2S4HBy%mR{h8Yabvj+{JLJAI;V1pu_)z?w%eGWh%D0Y-cp`YfLl9jLsvFj1 zM8Z#$&iaGRa1cp5G4~~4<)R(e(wN5$>0FF;JwrSaC}|hB4mLjs_oF{*zwCE)U(1Po zXo0L|wsnXO>0bc`gT8iol>hku{*DJftti{!&_i0qWP=H{`{{Iw0mqcwEKG>^fic?6_J^lS(HHiYk(GTNtSkFjg)?a`Zql(28p>D zh6OnQUl9OkO_Nmx_k(f!zzW@Y9UXDcKOZr~sYzEJ-Km*YjuUZ{$YMDFsbm{iRaaEO z+>9FB@Hq2Ir@QAJ8Z)tbW?>(Ib7>4^ZfBlup02K*A>Y=_G|+b9myZrpp5gcSMKyFY zJkJR_II5Jc?5GSP+O1fiq!go)jVlp1(0W`z8UCJf!y<~j#86QWfSFri?(n69R@V19 zsW8zhpMc;iw3I_}2{k|qVNu_}%KJ87QE=X}CTKw4ShBqzE}^mL3EKndr=wc-8!{{UicE{y;H literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sim.vwf b/Exp28_Decoder/db/YL_7SegmentDecoder.sim.vwf new file mode 100644 index 0000000..cbf6416 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.sim.vwf @@ -0,0 +1,809 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 30000.0; + SIMULATION_TIME = 30000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E5") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F6") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G7") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 26880.0; + LEVEL 1 FOR 640.0; + LEVEL 0 FOR 2480.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1500; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 16640.0; + LEVEL 0 FOR 3840.0; + LEVEL 1 FOR 9520.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 4560.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 490.0; + } +} + +TRANSITION_LIST("OUTPUT_A1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 8640.0; + LEVEL 1 FOR 5280.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 590.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 4080.0; + NODE + { + REPEAT = 4; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 240.0; + } + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1200.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 250.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 7190.0; + LEVEL 1 FOR 2880.0; + LEVEL 0 FOR 5760.0; + LEVEL 1 FOR 5280.0; + LEVEL 0 FOR 8890.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 230.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 5160.0; + NODE + { + REPEAT = 3; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1920.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 730.0; + } +} + +TRANSITION_LIST("OUTPUT_C3") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 2870.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 19680.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 4570.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 45; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 4080.0; + NODE + { + REPEAT = 17; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 840.0; + NODE + { + REPEAT = 6; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_D4") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + NODE + { + REPEAT = 3; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + } + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 6720.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 10; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 3960.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + NODE + { + REPEAT = 4; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + } + LEVEL 0 FOR 960.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 10.0; + } +} + +TRANSITION_LIST("OUTPUT_E5") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 4320.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1440.0; + } + LEVEL 0 FOR 8160.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1460.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 4200.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1200.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_F6") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 4320.0; + LEVEL 0 FOR 4320.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 11040.0; + LEVEL 1 FOR 4320.0; + LEVEL 0 FOR 2040.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 230.0; + NODE + { + REPEAT = 11; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + } + LEVEL 0 FOR 4440.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 840.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_G7") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 2870.0; + LEVEL 0 FOR 7200.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 9600.0; + LEVEL 1 FOR 2880.0; + LEVEL 0 FOR 2900.0; + LEVEL 1 FOR 3110.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E5"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F6"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G7"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.simfam b/Exp28_Decoder/db/YL_7SegmentDecoder.simfam new file mode 100644 index 0000000..37dc84f --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.simfam @@ -0,0 +1,2 @@ +BOF +EOF diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry.sci b/Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry_dsc.sci b/Exp28_Decoder/db/YL_7SegmentDecoder.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.smart_action.txt b/Exp28_Decoder/db/YL_7SegmentDecoder.smart_action.txt new file mode 100644 index 0000000..11b531f --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sta.qmsg b/Exp28_Decoder/db/YL_7SegmentDecoder.sta.qmsg new file mode 100644 index 0000000..8190d63 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.sta.qmsg @@ -0,0 +1,30 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588514809854 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588514809855 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 22:06:49 2020 " "Processing started: Sun May 03 22:06:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588514809855 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588514809855 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_7SegmentDecoder -c YL_7SegmentDecoder " "Command: quartus_sta YL_7SegmentDecoder -c YL_7SegmentDecoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588514809856 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588514810018 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588514810232 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588514810321 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588514810321 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_7SegmentDecoder.sdc " "Synopsys Design Constraints File file not found: 'YL_7SegmentDecoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588514810515 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588514810516 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810517 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810517 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588514810522 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588514810545 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588514810554 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.665 " "Worst-case setup slack is -3.665" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810559 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810559 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.665 -113.278 clk " " -3.665 -113.278 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810559 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810559 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.445 " "Worst-case hold slack is 0.445" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810567 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.445 0.000 clk " " 0.445 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810567 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810567 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588514810572 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588514810581 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810585 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810585 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -43.179 clk " " -1.631 -43.179 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810585 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810585 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588514810659 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588514810663 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588514810680 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -0.854 " "Worst-case setup slack is -0.854" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810684 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810684 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.854 -24.594 clk " " -0.854 -24.594 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810684 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810684 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.215 " "Worst-case hold slack is 0.215" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.215 0.000 clk " " 0.215 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810690 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810690 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588514810696 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588514810704 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810710 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810710 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -35.380 clk " " -1.380 -35.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588514810710 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588514810710 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588514810765 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588514810798 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588514810799 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4545 " "Peak virtual memory: 4545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588514810889 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 22:06:50 2020 " "Processing ended: Sun May 03 22:06:50 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588514810889 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588514810889 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588514810889 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588514810889 ""} diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.sta.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..4d28058c522b5c81537ce1b49486493ab4278a56 GIT binary patch literal 12896 zcmbumRaBf!(6)=ayX)ZY5+u00ySo!K_~0;j@C0{v4ek~oI0SbMZb9}W@Bi)pJJ@G? z&00^dr+d1(s=5#Et7`@m0s^8M84~>b1pbJFTWu@%Pj)WO6dYWv?5rFV;+}SnmK2;^ zoD}T5Y#f|yoa`K26dG3UUUn8%6sl$x4ip>|8uAo6R^}8^mUbTCnZ@7M}LUQZnhLtA8}wOy|7Pyf`^Jen$=>&dw(8fqEx>h@5Rbob|x# zEqyx@YU4qIU)oHdeN?<&eUWOr(J;Nne*DAn@(TMxsqrGQ8u<3<5ft?Jn%lSe?t6b` z;_T@B0`>CZ>_LVG{IjdqgHQ8B-St$MySBVcY+|hPe2Cw;b>8I-9KLY2aOm8yg=>Xt zw8et++a25Y!TRyMI~(^KbeLi)5(m6mNv`eTKvgcZd-ung9Nz7_wPq*CeemmB@t+?s zQ3)m9KH?^rW-X9oC(WIz>6gRVV!7cDJew}*tM)@DgGt}EBoK3?WS`(~9|;mn z+oa#FRsI5zUoW`H0=OZ|N_B7+HZd zOra3OPhJLPZ~Yr%IL=j&^x=7%SrAour0a>DXzDiQvhxi=H6m-UKr+`zx!~Btl~nOC zp&S3sx-V`;R}MOcL^*Y<>*}bfw%H88V;`7jg>(T7rk=TsD|{-nbNSgb7#V7Cm_jdp znXUc%AdthQhtOs9&ND}g;7DIf8(Ba71b+YpNBDFxcMBNArVp^K zK9$ZgpP`pD6OZF=z?Q!7JnngnZ`q2FM5H*}H9Z}sZ@+HPNq`O|6%JZh2I8{pcFvzA73`qIuVdR>b!K41>hToZxy~Wto9vG zLEJ_+6B&l76hQc!F7^zbd*-n(hVgin>YyfZIc+}mr#0=_Cy!_PKOCovLdGvK{! zaoWPjF%a{u9#?%Y$OZHkKHIS67GgA8}u?jo)jkF_@m|1jBFWdax&E;>} z#Uh1QwjBi)BHNdhr7dc8!KX=``$969dO0USWQSa|(nA7-Ep+HV zI@{FY?OUbR7(oxI%_q1=4QQ_IBM2EvY&KkoMeCS@!(GA=!?71q`pOlB zdc=LgB>Qa_#LS;SvB+54xJjwwN+tWItahQ>FEe|_2vs)oW$Iw=6|>9^$!>3|Ez#|X zg8b+dL&*nw@xb&zfhLNXocAZIjn= zN(R78^ne#U4c`feEF(<*K}az50rJQP;Rkd(0l(_hK?pYc7fRj9W6hg^?*J0R@Fk6f z?U`m=KQik`in3z`l>Uv~W&XNh_F>1kX$Zm(x-+~BZ-fNdZVE#udnl7r*3Lf#NO{Xi zG>fqbF55$QY!;9JE)|pTWZL)L(e2c`0XS0!>2jeXHbKa5^dn)PDL9;Faw;}s^3Hb@ z&1+GpgJbs_2@(Ba_yW>g=N>q8On&|hs?$F~ekoV5{n1)BNlXxyAx?3UpjD(x_0Xy_ z5m!YkcVN<^#$hhsefcXF1$*iT-2HkBc0dD&|b?)!g0pO`s@tpz`jopISO{(j5)#C$5y2 zH$iH?xiQRNcHncUV`FbVHz$FZ6D>_G%_e;3x0C09m;yqmOhW4J1mL_<9O*{dGVz@9;sv@gmO zsht$=rU(a9ld_OR6P{F4Wd-h4OHhx*K0{?zdgQ34FMsF`sW*UiAsJM?+76EjQK#qV zhI;?K?TU>i7+5xv`wh$Num>=t>BzCqY9oYrs=su<8TsyHSz%AgopZMNMH8A0$fC(3 z={bo#>!dW=Sm@>|WAqOB*2M*kMmWM9sPhRk+TqJ@L=ga3Ke?RLht@3-Pv# z{mP1Korgcu&9?D;_vFqgH*ppQ3TfVTo-fU?Mabl7djjAa4+dhabM5_K^D3#$n9rAk3xlLo`IzaKqTR?hHd~bUWONE6Va@Wq2H-emg>Ie>)7E#+lY*GcR3t{GGLrllSS|i4 z{jdtPs%xO4uoQtrzu1`70GSspmi7EQno$4qFh5d5k;>cet#ZlSmwv@OzvE=C?cT>(W)ch{J!8M^7cIQrUV>71q4J9AAO{&P69YR!%mfZFHRf6Z+vo zXP7Jxd9amY$2=$_X6QjBW9V({RjHCsyI$be9vVw?eZ}NAHHy~mJe(6;w$CiDOoma4 zew8sg^36;WC@_|rdws8@qCHV2`k!>1JL?yJRE=9J#;tw!$Gm%>Vvn2ZeI$3}S2r+Q z*4FK&4hK0{SiDxVgUUZKq4-5W>ma*Sg!65EUA7t)Jl0vRa@t!L8FdcQ+%+GuFU=t#p-i4QF zpBKT7xupfR6MtVt+Wj>XdTigUB1Px;HQem<<%7-$>ok>@GbdOo!mC9Wh-!3$Memk{Z#}rzF;Mjc=!S*jn!J2Qbhbcn@c6DGg%gJgVZod8O=v zndJ!r{#d>o`Kh7DoBV|06O>lxe|nV6^{>+P#3`LX=3kVX=&p3`O&ZkTcQPtm*nE!b zfhXF?mUQj-l;@pKR$d6&j5G&L(`{cWJ#LV|3Jtj^Z!tT*D&?ts5frPg-NCZnF_(wY zg7*|4&k;IFC=tW{v886?!j!jl6XxHyRzZK)y5ai_7fe!d(>~=}VXFQPaY18jMw0td zh)&Rdbhl0ORgWc*=k{+H!v zQfXIC>!L2my;oQowvC_GA?J0zdkAQMPPRj~#y`y@(4Mpo2WAjd+7GCFi~k5*NZYQ` zC}dD;miIl?x@2P%-l`v_d;Ue=b2rBLlZJhPE@#-mU+z3y`3oR>RfnArXYP5ZA98sD zV6?4ydip|8^y5rI@o-{@CeFf&xW|Z71Ntp&tj*1k|LTbxaws5R%q3$tNCin)@w?gi zr>hPw?C_jGP<^a%G_Cj&7C)o>e$xh!ohxtLZo%yH7Gmn$j@ebtxBFNOs(6ZTf~uwV zJ6Gp<$84ExkS*Q?@oPeTyD&Z0WW~_@+h>z2S}>WB%FZey0~lCjLIT(l#V?+9p1gz- z@$zFVWM;N@vfQ~9)TAH3_+@-O5t)+wi>6V==D7 zjZ+SFnw(WN!$1mswZ$+F?N*Jh_s6hQxq7S3hNnD%I~jI&;z@t?YUZvd*tVG%LJ(Dm zf<(8*9eDFK6fp7th3RJ&l# zs!+9rP8TU1B}s;2BGHFSZBHjWO(L@sbStW%w!1b_7;!vY78vJsDmn#r;=cmfao*8PgL`<2F?@sWhD@H&&G%qCFUsRI< z(L9Q9>{5$<1JiPLWbqHMs#yHiO56&s?6?DL?yx%}WJcqo(ff~^f<%a^eZ~FXIQL~3dNd8f^`q{ zi;}B+Lvv*P*C}}DIoC=PLXX zq4Km;sik-*s~}(E(+Y+CGbe2$#)bUo!mcw-nK%mg1Lm$hmO8;JR3!!u5{qLQ9BI#bH`CP zt_Uiu_#sR2kA)#C(vs67 z7avf%QM;B0xOVdfkru(-8v6M#JMP@Yd5Ktw;5bL<_@Q76vPuArsBhKbLw&&ABksr>t-J+qu`OuYw=r^>W26R!#VfgJj(YD1_x82zd z9*|A~6^8ESKx*58+D=?0*@e*Z###+|lb61q(JQ?>54QRPgai9R>(zb={Ht1&0`K-u z6f--8JhGpB?{F`zd}RIdhew*5eM69uuXeXe8KZ~U0NtNtrd=6yi9_A`iR40@%&K(u1+ZzmBYbLC=&suzUeNL7uk-cqYh;_n zPSL6s&@cMcy#kPD=c}m=OKN|cE%>v4hEi~Md`g-XZnicN4Y^Iyuc)>2r@5^sYRuf} zQy?Zb3GUh0x>CUn+3zGp4(H^xzx!+yPM zYrAD_L2lqRHNGhG%ptqgJ-<&ypK63~l^2!Sy-i@b9P{>{x?Ww4vK|Rnk(s=5X3J8% zMuYTT-$xp1t&WdJ2%kTYaM5>QCu&t;MxGYumlP@wp(1>x4X; z6)xHlF-eEi`#h_;IL?OLQ-9@I2M%NuZauywNq=+b&OVXcNP84Ge}Nwz4i+O-yf0b3-414;2I72i|h>Vu!M8 zXPDO~w0(n2YhO_k_2QB^aS8O?<|7BO9yWqc=97)+uZWQ?arPU`Ia@?&(J(J7FSEcn zp4p>bQP-w)MI+cuZvhPG;vvmU(1m82B6 zuyCyViB*t;xf|ruRFCMa{^p&t6+9ns^wQS3>g8V}VAHM068;3=ej>qxj`|<=4wS ziK^lW+Y3n2YSqqxR~`=QC3eUdkx2}o%-+`KZI(+|M5j*ky;e&k6V30Kk1cj;_yk;t zpAf$v;*87*@rL4egI0zED@F^h`^I)ccCq_Jc^Z&4D28v+G>`%Az*_3>xA=+r( z)^y;f)ga&s`OOdO%nM3x>g50rBl<_utn~}b06^&uAr_qB9N3S&443z4u?ruXGZeQc zU_{vCoAb6wkIV@p=d+^5aE2AP9=<~H5sPpA8xEX)@ewnfsZ-L4i(qL7a&+VG`|@-t ziq){MR`8rm;{Oe;vfs9`rE!3O>#zA`TxqfXwCJ!hAL)`yE1naSLW*rxi^);2VN)Ff zr-c(CA9l`gHtc0W>H3eC%qL#t{Ok(iQ?ES&MT6KO==Q{Ft%GL)oI`~vx#iK=9 zaOnR=W27h4A*D;$t)a+^5GMVh_xZrNqpjltL6vrtbz~@-O~QDEtI_5!T=8clexlZ;qhwrV&igYmqI5ohe0afgwoU_awS<_THi%C%_KzO!R_~=z^JVt>pbWa1 zH^UFgUSvJikg(W}Jeh<-rjF9AiGW|Aiq6xDxq=ANJ1(Br+(#YFWQsaV$6oxg!7b;? z#p-N=)G#VgPZ++moxCf)Bqcq`$GIhyiHLqku7KAfNBa4M?m$Cc9BK4`5Sugu9o^aI z=bMK78LGQG8!L(Y$Wvq!;xbXhKX{Uq79(|4wW2JnzEO8#BQfclbW?R=j|W+Li$5itDbv3v1wf9YeM*8F`xv%_ zM3sWYq~lJ*IClgQwjox5s4~F zyqKyvi4oRY3>~V3swywM)8->6}kY1B92D7^Z{)c-0*XQfS zC;-lK3wO9d1y4Sgn5DYuI!aI`0f9u)deRE=zt!R$aP|20+vNY(8osf3HR`DtEQYF< z-Wvts$VipqCV$(CFxzb)Bc*;@9=*jiPsWU!SZbm>>&MJ!K@#_QeBX>qeKCH+<;#8- zgSyFHP}vB_jK2~GPz3P;I0|cpKa2tKFH}WUubkeradF@1GxZR;vb4-*Dta6f6R_*9 zU*so)o6j4_4H%K7wxj;vEFkNewx}oR>E7Ww5q(1fKtSONVoOrOCa=n-0y`;?#F98< z4M6xBkD*i}GzK`}tdwbv%9(U$Ntfz9x)6O+EyD_Uk}m>pP4+M>{f7Z%RZS5yM4)R% zI6~`r4W$!GlWId{p%z0MG8MXJ?DW2UFbQ7S-mslO%Xjp&t;H$ZRt9a56m7-;>*8Sl z$XzP6jXrjz>dz)xygQOlihWhlQjNF*2d0aIjNFKgw0KrIKFe7xSlenq*|w!DUH-_L zTJqHRVqQUpI#E_qWWI)@(**gE&jaF4FJ`{FvAdyF;PjAz}LQW`aLCm z6dlGa)=9m&*mCJ2R)vBx^E=EZF=i{4`<+FzSd29{joKlr0yVyV>`7QP7{sr?Jid%e z7Z|oV7Lg0Ja%$au8Mya4w-gv+6UCb?b6PXn)vsEwabuH@c%`iGHtlLz<5@@E#Dlat zsXGU-!Hju>T+^dF{5DP)@`XFmK|h^>WF2#{=I7$ec~KUgsf1%LS)vx+!d5K?8tT&f zf5gAB$NOz0dST02D_t)+Ch@*d*01a8(sIo!ep@0??{+kGI_GiHj;pYi)1v zN;n-O$6E7`wBj5u`q3Z?XwgAQY*C_;f>wJ=%n+qaj^3XiyNMh$7oZ}Xz9XN=_7~rO zq9TOOuLf*1Et$4^6fV~NQFwKzUBKihsCQ6IGEc1KZ1&PMMzd;=rTHR9)wlNULHHt5 z$Qz^s&rPLdfywQ9rr_s9jN=g&mt;HB$PD@K2-Nk5Jtx}mNi$#$!a8PLh}O<(-lOpOOPs;*+xeM5dy zZgmnZFP;nD6HD=inizC0z=>LV_&o1yREfqbW%Ojj0&gbGLcAzo*1pLRKWP}Ii*lvd zxg_T-UU)|(Hw+VjDn)9!^+YHLkiw{Dd{L`745OIosDdgNkmQ6Aj!2bqFG$tsxB@B; zBcS9;Ta4%UY>(KLTFzwAF2$IyhzMAVnz1Bo)-14xNh;52L*%x1`cpvL!2b*YM}qyc zCJOW1NkfHu?z}R`%P};}TrAVETjs+TuxAKsEpZ4+qrMW!PsT6toM2}p7Z9ivgwL0i z^A>WBAt|T>QX?#P$nJ7qD5Kk!E;24~;`E-cOG!K7`fY11f?xeElog&+Nb3q{@HWOR zvp*ToTHMlnVF4|B)n}@L;D_B>I@+TP zuh$t8@KBovYn0>q@dSKvf%Hx#KB<65i~Wm#7o?GFQ=fn z`MDNE|EBR-;$)|3Y+2qfEvl|Z?Xo}GJc=!u-Kt!{=K1Cz+%*D zK@#&gM`z{&9JTas!FHOrNqR|WIHOJM7=DyTsCTmS_sYSIEn{<8-Q@~5#k^Pgg7f%2 zvwfY)=49+X&sMUZIQdM>^NgQ2j^s%KOM9c47;Ws{z3l?4FcQO|vqj&J1FIvpTV6un z772VDzE7l$QK(UESI>G<=&f4?y{i|TDJl zk?Q!>Ols2LZjU4Nh&PDUsBDX#Bzd#3&Wo9r27H#l!fbIE5uxYwk!Z+lD=#f6=e6HJ z)Sy?yKs;x0*bocg#pP4=GA~obXAA)WD8{1mohNW&CVwTIQYF_z8qm%yyt4)8QSHFymx(0deLurTX=(?_ZEK zGe!7fx@^CE<1LtA!Mb!397OPY@$r&&C0OM$30u$O_sAL!3QL6G+v0?qufIZ6JE>br zDt=;zcI@b(GmW_ zN1YPgOsXc~tM2ED5I85F3tMfG`--RK^qt9Do(Un_KaURDb>kz(Jmi)3RTcH=fSbpY zQ=$td@=E_RnydP8943tyLLRBlzA6P4?H`95%i7_9Zo_0tLbt;MGP_SGB7Nc7t-;g> zYAcM9-*;VyR6pU~qvsjwZoMH4vvwQ26NvQR8Oj@A@ankLyDnRWevTWl&rvW#4mn^v z`7NeFxriW??tUOMsU`b$Z4^CoN#%z6fDzF0^h8-HKAh9d&zNmet)dhccB}6RS54ONy@UKmKLmbXzfGHM63coDs*pPT zwrL)j&GcM>p=P9UnIFM7sTAA!*xP}lq%JWWWo`z`zTa8R@#{UQ6vYTTk+PE1)ZLHL zH@4T+TvPgrC3XIpw*@8rM9nqpv)M%&G24qEv!5+=J%D4Jl4%3J<=ofH?W@qp5xQ0q z>qh9(u6lfYc6TWO=FNyuH~fv3iF-}3JO@)kODLP{vb8^9Wku9kR#t0~K z0(e~vA9!9)Fr|S0n=M@0I~B2FTlh5mSN~u79gHADg+`o@QH*9JF%gJPm!va{;!4jp zDVfghM~&g`>yk=mzwDE(gL4FCht2_8qea`lwt2F!q_h27IT0NH!Vj2H&KJEN(PaM8 z%U^zQ$I`<83thbz?2IjJ1r9a14;uZpBhEzgEq~Fhd)M{ax!U3r(ZJH*C?mjQbIx;f z|9qUg3^6c0_8?XGKc;NOkx2fTs$oa+w}K}42Q&<3O?D|rg=PvR?89^`&Ju$H8KB6% z*DJdsp7=)55eqM9Z@>oXVc~{UFE9QM?Mmmi;szKu3dQ(O6J+LM-)ItD)$osx+&rWn zH2F6pM`~A$2L->gjqG7HX=uH}z2nA3gMs6+!=$@3aVq@S#oJ4fy5ia!P6|TLJNY1@ z-~nnz8(j@U42Kc7OFvE%tQ89|aNK|DETT5F<3=r3vwdDjrH`UON<|8)Vq2YO{x_~) z)P2;P@K2(G86f!tz_E0Gj~0gK#QAQEHlKT^!oy%pRtQZ{tv$w!^BmSIu(VU6dsi>q4R_dCEQjfES~4mEMi zh$ro;|HAkO6jZs*gZs{ch?K4sA6nOYI%dJ3fKyh)So%&TL(-DmmLktdJP z3O3na4PFgA$VmB%Hvh!BE_Xvtij4NVHU=6#uY}t3+N07CFPuJo4CbvI7~aUrY65Wi zeX&;xwpbD1afquT)6Z9Rq%zfqRQHtjCjX^Njar=YKhQ&JfSshuh8iPq3}*ag+X5IOMJ=@o%zu>dacHJ?qCB5fqmW2Bp`<=Clld2ZE5;S_+ z&q#gUQ8ym-zq>vUbX*UUe~!II)}_VKXs2Jmo7KjMo;i(DyEkm)CnI7$VR?-0Vr7o$ zdgFA&$Fr9*dE5C8w#NS(Z0$!U*xC(vf&bOz?R|{O$hIl3dG09){i_B3tN+4h>OUru z9AeA2NlKM~w6p~WrR*}=#L+86d3JnBOaPGav zj_X}c$F?mF90krFK)WA}4o{U6(g^h#Vq_+s6#!mcL3^82t7rgu5qYMRl`x61R8c?* zwf=dg0Q)sXFgBWX*Hg1(mmZnJstK4dn z<6|e=_ta=|fBo9#v#V&DFLsemyYK+N7#>tRA13V0;~3eJoEK`(y@O7IXgC}HREFqz z-s*_=&nL?aaO+qtXT#R-m@NZ`ym>iZO2TSk#kQ109%7bu>mQtE`M|6}Q0NHwE2}wR zk_r{CKBz^D24I0BMU6fu8A-3tN=sa&YY+$LZzWMuVY$VoQ(f*T#gsKlQI>S>_Bhhw zFGxgKHpw{Eh!%z2D`J+@+(ud&F4#{~sQuC8#Tr`pnW%_qCpb);d~T?FPWX4JFf(MT zlvKLJGh$rZg8uBoYnFEm>a+TOO_jGq5H#VrUJ+Ko><{;%>j}$G)b_b$!~gNe=&v#- zlTLAm0sjS!^qxX^=6>Ej9O@U!vHNl-V>HQ}Q}i*E3WBvp*`~=dZUD5QbY@ZNn*Vf9 zs<+dQX|v4^SsdUw#&11h^kJ2>P?+fHa$m(r0B`XkgEL9gS7KH93s~Ns8uO|@SvBlR zH>nO~OO0YyJa$D4=88n;F-9?i1*-|0Y1OZ8B04fmf}z#{&Lx}^)%MXdk8NFVxJhaFOR z;VHUY8Jl#$mtt-JHh?~9R838RrO3hNL-qY60c|zuedM6AonGX_Le?T#wXVrqqGSgN zHD=H=z+VA?P@ik?jNZF{Qx`)8(9y4QtorXrLQ!RMTKUK@NV zB>Rztrjs|bNw%cD^n_9h=>VuZE=UM)*B+nFV;B=kOz2RV5(pD+P2G zG=gvb0rD9W;`W(7l1wU}bT@x~KD|J)@?yqXYy(3G=det5jVOQvb*7$>f@S(DauDut zg;RAh-uce@#udYVUi6!ht&(}CCqaPVZH6 z{J@wmw?!?9(|neYHI1=iyVB;)BX!O-21x{0D8mc!kiePBluncOaGQw`UGceGA_~q@ zRoA9y4+7aF{9F`1AM6>^C3QeGe8hTZ=WblKgu}_*8Mc7FiY+zRgmi$9X31kkCY6(- z?^J()RZMLbgEo)$g6=|mm+_6o?RCzrd%f^=yWq?_DiB_I_2C}^C>}l?DQBA9lsx^9 z_;2ei6b07D{$3Ofj4x(E$gXVD6;f2rGv2MwI#h2C|M&^_?x7bn)b#p^!6tCfFnq8* z?j_Opm0Q%jM%B zcgl__*Wbt=>;FFi%x-izOe-iRs>57K01A>YP5cXe&zgwBjBAJfD^Xa_c(0{V$(7;^ zR1*#qnek22{C~**xeA`AdmHH*`pA6;6w5vz{bs?S5en+RH3XMV*cKb=!Z=`Wn&NhB z3?J0yh8Jhe+NWgh-G4Xi8=tP7u9u4b4Nt&qaCP#g+4>m#A9?%7Ipn-j^uO{1;`dE= zPUp)dp(cB9IU)nme`L(N)6QNC8LWiCP~bgzkV->TbJN-fL^b%w9TZZgEoLQJYtBUf zsG#>uQIE=1A`6TGVAbA}PE}W~RcR*iS5f!a@U}5ywi)At1^WL8eo|@T`%%;CybMFN zcrCLgy|ryN8Oy&gQuhJGt1o`_wc#50t_JoRqBtRw~4w|DUW z58mH>Aa`s`Ef7pCP1`;{_S4G2!NI9fpt-xnpu62iqq})ryTv_tU%PvvK_YRn>q2wj z4?t10i=JBYCYobFnQQL<=w_urtkai@pW!3~^rH?^G>g?hzKLN#X9kNiqu_n~ zb8ZgB6M_Rhib^5Xm*3qTFP22_Y`O=*gv)7Y0jJIgrFC+0x_7d(a{}ebK+P5kr+TnM zcNOmSQ6H%SX(w|FW8@J+rN>8yT*pL5G>Y1Kl#Bh6ogQMrDX{K*n9&*z4iQH9T8*pLh!sn6E0Argag9#|~`fFSMsTXhYD>DOpRs@2& z>yZTM_~^JP1h^cSUCc(TiaH}HTSq;FLCqBkql%bYxNAuPtFyCva&ZKWOypIUT)3Gd z091sOLgT+Sq!u@2%pl+n?uZNcRUi=3PR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.vpr.ammdb b/Exp28_Decoder/db/YL_7SegmentDecoder.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..01f5cb2bf15fc496b79f3861db69c53b5387efb2 GIT binary patch literal 364 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRo?!u+0t9v-Q-MGSLNVk(`4x=6{{R19 zFVDut=B&`hAZGBDQRCl#d-e&u4~?BtGmq-C&OU$ozyTG8w66_s|9_F6UfXH#-GI}e zaR&E+SN{)R4c6*^7hk`j_WwWTyX<@ud=q{+hCn?2-IHPyPR2 y!I+eikiwCWkPz_U%|)gl9wvu3FJ4Q(mw5DE`u*$oLhm232&E-3C4oZV|9=33WtMCJ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/logic_util_heursitic.dat b/Exp28_Decoder/db/logic_util_heursitic.dat new file mode 100644 index 0000000000000000000000000000000000000000..274ffd5247e0814e5ef04d45984649590b630679 GIT binary patch literal 5588 zcmb8lV^Ssn007W0W3z30Z;)-wWKFiVwwY`lARV?V^xp3m2>f2q?`t3!ZV22^xM6U^ z;YPrXgc}7n8txysF>qty#=(t;n*cWvZW7#NxG8W`;ikb&hnoR66K)pVY`8gabK&N} z&4*h6w-9a-++w&Ta7*Eq!7Ybd0k;xv72ImLHE?U;*1@fZ+W_}3+(x)faGT+_z-@)w z2Dcq<2i#7$U2wbM_Q36h+XuHF?f~3DxI=J<;f}x^g*yg!9PR|%Nw`yRr{T`PorOCG zcOLEn+(o!caF^k(z+HvA26r9q2HZ`!TX47G?!euJy9ak4?g89GxJPjR!99k10{1`M zQ@Cev&*5Icy@Y!O_ZsdE+*`PJaPQ$hzR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRzF`5H0tD(HQ-MGSLNSCw`4x;;f*iRH z83@QMULe*|=eg&%#QA>*vNq+YFpPTM~-w}E_RQ`j_@bYO&TGm|M}>_Wb@T z6~j6GH6M-VzEG`+vnJo7Vze{r`UjV^T^&3P(ahLcoVN7ny>1m>k}`crE>2 Z;?aBQ_pjdzy??|al$OAh1oGj zjD%=IX2uMz@7_Off53O1wa#AeIcM*8z0Y~h-fO+*1{oPyJp=hwA9MwcD{Jl+806*W z%P%D(At@onuMy(qhI+ZU@tZigc=Jo~o9XdexHo!{(KP@j_|l@(7}IH?M#cj$acfo zM{3EZE;UC#@@i`z%$eCB8*BXiEkA}VzA0{yK<5o*>OPO!P(SC5}B)0x$dc}e8 ziBVb!FVgU`SyJ8l_<4|-cm$VPYFD^m^qIT-Y3T>f#2}cO5?PL|H0W51G@Yo(%07G3KrYN0ndK3qUo=Y za)IsdtciwlEpS}n9mvD>`PpD+{}swF;gS-6P?+J6|G;gPgZ-VVRD%W$RRghi)e5+y-_?~ zX(^cY)59E@8ZL+8N8;wVnrK6puW^V85if9|@o14ebPlW`%I2#_czZ)uzV3dT#uJy7 zi8zy)xX-GwOQxL4Fm8VglBv#Rxbv@t#OCDpU7u zk}E7cGM$W`Jh#j*5OIn{D>}*S(M7nrD+#`OR4z^0Zf*Vz^HNOrG|OI((vx%ZlhDct z-D1*owPYKnFqj%Bs~#Jd^!L&q_m7V1hBZT1;jaa{vr0C*%M&q1FMP^oEyEPkKO{UY za(5s1O5#aoprf5<^V)d#vBYr|_*;e7=T%IKOJ)Ww#2@rr;;o`7(us%!OicRr<^?}U z+V&Eo_Aqe~kRMVepH^NQX1gB@;#&GiVJ|N1v-gW#ms$qG5uz>=M_K%HAZX2W-&I!d9tS_Xz&x{c9lJPaxgqJ*~c?u9pg+w33%4O7Mddb?Y1#b$C67?tQOSC*s)~ zl$g6E7M!HSE((?ib!xX71a{vm`!XZ+8Khb^<4;(a%?|%^2p{Z{q(%VtDZuRoh6lGC zW!GzpUV*%FD#ptaX-1gHg7&2FkDbQomzn6bwdB8JnZE@zIES-4g=>6avX)REI0rav z+C7)*vmQ_TwW!gh*56*Eye#<6&fT+)RtAom@u~{dG)ce4kLLa$yhmWDrqqd5`zrx1O><1_j*s#9ZghtfF%Rj5cKy9Z(x_^FR!v9Z zE8Syg^mNS=;O*qw zK4V`_^syniJ+Nf>IElWWSDW(VTApw1@@7?Ymk6I+PT_E9AsizjlkVhGsJuqdw*RSw zNULfdk~-d(44UnfXX^h^`$VJy0&+md%PC&)#>VgF^0~OsiC0X;*PGSoW?2VT4&?T6 zTo}e>{cb`sAKmGAo)IL;(5L^uOJXqm4|@k{pbYFAgpn8r2B>J?7s}FDvbN;*^ao%gQApiRvG#(X_J|AT9j>Yfd<0o!NmK_4;(S=lmnKAg56Dec) z`@J$w)C%PDy{U}eSxfhOs|9ALRr6*|?$%%`S9z{crZC(6PgybYP&1oJcDyr569RDF%;I@+g`S+Aq$#*Ruc zgok?6;lqsvK!plUEb#pp(_M{NH7>5ytCJD6cF(6_A)YBMyzcD^d587l$KI#DhEH@3 zvmV&=nHlUW51W11&rg&!Tzotl$6hIWIL;7jv}NANjJkF)seBl77g=eakAcK1K3&Wd zU8iPABigMoD9TfyKHKOW&Q+4JU@xo_O8!zzHLV{#_uZBeJe+MjpfMhK6#R8y`(^(3 z7{6_ToXn!CU+#WCI%yQrGsje9K~gVzRJIQ6w&=vdmstmEh$94Zk?6PF?b<6 z-xQllKuV_3ANKPz(s9^CRC4oWCg&?uyq21@!lz`p`4+AF;;`1HCa*(U^s?-e(g*lE|Sxt`dZ|&vZUxs> zyn-@9^Q4;}kh9DAy#;vqe_FTRt444Z`FjP3B0Okteq{n=k$23nqH zN~5y1T0E}xD)H6r^IT(49%h;l{sQjLtfg;!^0StdB7Mo5D|Hi(;r1}W%=F6aFW5Vo z-sm9Y#@jl*Je@)@?bHKcT%G|kK?C#tgId26v_1IvR%^Gr1=uoziII0#Pw{u#Px%JA zDXBbO69=o~2GnmQ+su4xpADc*ZOg5W=P?I=9M(xr^lwk?0==USPyG1!PUEalqWx+v zX+)cYY(HUbfEgz5*>K2=6Be9Yh9(zPTJ9*iGx;v-+!TSfNpjpe)LJuMluw zJeNeNlQOw^$D&}wLa&5o1d^*{^ZJBYXM=^<^@KdkM(Bw17f|SkqEG`Dp6`pe%IlDRkjoN z$=Fj_a__*8uT<3DY$}S5$Yz3}ddKXsxfQ=%Uk#xC3R90||B|(6cLu%GJ5D)(?@TQ^ znUlO33i84y?q!b%T-(k*c&7iS5q;g6*&J3`F@jLd%&p$O(`>OL)X_S)o-M4ml6XoQ zt>x!n`SP`byCV2@t}AlCTzqaWIVch99{8v(k4^7*OBNjVoo$y*R}X!^qqS9M17Hbr zc&2+%|LcstEktiao=1W@`JAl?8i#@Ms6cf(bYkznv$v)k+lwxTbcrh+{W)7Bh>l#E z+8`Om^>&YZYbh{_p~)C`?Va!)v%-1XSE*IbIb(EsP62NEi0? z>U;As-t@Naqv|0cn{yalgqOuQ7wzDE^?%Jxqb1CxLrys@63I$lsj`Bb&>L8QM95v> zEPjwqLJ^cU8CkAc@@~yG*Jw2aNvR!JbLsuAc_XgtqkjUyDL30)Y_qy$2w1H(a`%RJ zyS)_JWP*9I>~T@&X~t#Fp?2 zFP)&J>!&@pSeTl3?Ngbm8AFbp3k!1#2QqRFOi^(Ny)o#cQCwV;F_`F#*5hd;BYch< zyk(|<#M$5L5iEtsC1stOuEjCSGowIy;Kl@rf9ySW(%`*a1u*;lqjiY7;-4kXB~yZN z+yNvP&uk+M<}=-hAV{yHXCG(eG-y6ie<2W8yHU7MFOB|7xcp>+)#^ZbQf!ne-7aPE?Xcf8zNW%k zn1^4Y=1AiYD_i;W*FFAxn!wzoJ+f-;EL$^6rd$>~#J|K6G&UZ`0aW97Q~fU8`op>d z!491U_>G{w<_mPty$o#>nhTl?8A1|!$6^4g41B2vm^?ZMFpVl3%6{xEK$Upq2yyFY zhk_tO9ZOV)-SkRmB8@HIIL!Wb)CI#m#2)9-%G(dcR(m9Y-KM3ANLy{<<&XvUspDdR z$diborJsXaWbzO0ME@W~05@wixAhGUDF1|0c(^>!;OVjD5@oNO5t+Yr!Jhs7xXM2x z!f5c`Ulw(L<&o zPDDKdl^z=K>rD$Nx{-z6wnhsIgF@0nqm8_9$^}sDg}r%mAr6=8i390%p?GIe#^!t5 z=PF9S(x;v$WYiJ}m*sY_u=d4^{qj9P1Ax@2$Ge4AMqL;^{2TS~mz&XyA}AW*;Zl{# zM8KDDpToU{ZLnB_RtA-fv%oIzpZUL?HGOd(J;OqTZN5ZUwA!H4yS#*EhZ2Adp=qIE zW3Jwz2Wg=JANVkEMBaCut1zJGXysokDmnE(yIs;&qWgO*6fO(Eo1qTejVOf!5$Cn- z(5Uwy9a0f4tOwp){eAycGs5Nx0SIYeSi_ve7!vTj?C0YlcOd$;r?}{HyOE0J{ciOb zRuxlBXa^(|Xa4Ja`%V|On7vbi&F8cCd3Q+i-~Ec#2>sSn{E0%4TC~U;9J1dRKYmVH z-oaq5K2vblk9P`HzeqiKMJwh>7g6-@MVPz%!2uYZvXCAdAMy%}=2Eg9Ydijdi@kZZ zXCA9459CG9V=tUqMYnhbcojt}8j3wy5&pFmLb_(xjkvt=cYYh?U9oa59SMoOoPbJp zSa~_CAz(c|$ZS|dtGp2(rsBtYqbzK&QIJ$F2wA{cXqT%tve9-a%MR%mKd_O>-jHSTwXYx`h*oO;NxamT;O_0Ae2$G^Y)&waoz7FNK5c0w459C=M3U zqmuQ&7xJS!-m54$-_p~_69;zH`b#784Si(C*nYbn*!oiZ6fYrrHF!5HoyP&r^lbxM zF*XIe+yEF!LdLrRnP(8(Ep($@3h~B+9%J?W`;PpXzzfsMBY8QnLz$t`VfRfWf;k{nRXnR4 zlPF<$t#}rHejBlQer`Re9XTEZ%E(7YkOj8tBYH8KFk9#$&1uMpA`2jmYisjNd;zy` zgYVTBz|CkyRbb*V-V>MgL}DQk%=51zb-^)lDA{4qw*kQCkTrG`gM_P|HI7LPkBp^% zA-3Gth6bPF00Ly=%p0rG$b|E|Y6`|?3893C>F5gERfoaD>FC^4M9V|G;wfLWjpeM! z1+mT3c-3K)K#-=0VO$mG!gu4dun$lUF444^`lxp!gg;;Xdn&|`PlC74hon#_R(|cD zCt(t~?W;o4`$bg+!Z^1VYq*L{msHX?!hWsRJtA*{!fEr303$mFmH(H1ar8` zu9}n=FnsEKDGwBOX+9iYwr6rp8Kw$Eodn!lsJ&Y_#>2;qzer)+we^iW;e+Z|q2Hqi zf>`|W@TOO^enuikx0y+fZp z53UUOKs4S~x5}eA-3li~4kC#(b|6rw$y$aExL?@u$VOrLk;hZ;O~4UOjS01+%@w)M zFrO$k&^#n?pm}IIU^pr#*?Tb>sWEev99Q~cE{ZKm#)6zxX!(v~hn(y=4?B=Y>_6-i zBC`USzEKc;*?nlA`T(^Gp8JM@`_ww*+Z!DtgylxJ@IBBq&2jSu%@$^&uxqa!3^Z?yt1I3r1RbWQv=c$J_q++#}(L)GoXiTmZD51;uUEjBYK z6>d$@4$}67`g|h>*bIhU%lLxm(uM);SNYC6D3Ae@jteP0(aC4NiHv`BM@}Q<&R90= zGtR4gGK`OJZe0ATcgL+ceuE$lXnS}BRaG&YUl7*tUKTMUQeV^;0VJ+*S{tTZ%78OU zI17Y9p1^+BRJX6Hs4V(}jgY7k9owxVFz==b3177vy5`fVn+19owXO6lYCR8488zh{ zp#=_%@@4l{ML7)fp{aqG`-QtXpk1SHk|3Q-bKGl7L0jI$sC%1 zu=50kH@m6CEZ?fWQ0P)h+?zmX|7Q8(7c>M*(1hQQYARi9CB2 zdvaLEi<1~i_$BjT09;Mwnq+5aL06QbOabKE)4O>~=dTAv4vywFc~j7#fME4D)+>TK zquh@W9e-LP^m1LIK3?T0iO~^!VxwD6xA56k=#J*j+=5~=B4SWA>F@G>{j-d-uk*B> zyf}21_nh|9VE%3n@GI-tH*`zr)HyD!ERGqY{JAm_OR@2JUHEB(*)ichBrf%?Y6kUH znd&Gk=Z3`xwAFowuw3aPsj=#Ship`JncA)hMHRM+3R!=ni2@?#wmIHHaWdHZKk|r* z6M`HJAHHn~LH@2_O-pS49v*uhf*Lr2dUEk_F3a>u|rWY-<^Hlq(RrcV9qta%zm;d6Y zKinQIQ}gx3PKOL^hei$z4Dh6LFXV8-01t=^cA}9c81$R=#5d>6vg6{p>&x%k8+_ao zr#61}guQThZN6CD^WC>=xss!Y{c&1hZlg2i^6HB5@-m$K?ei)9=g)4b8Y5edEv%Ki zI%`Us=ssW9OW5g_$92PAqq@)$VRKe?6m~BdEGEhYJk6|fr=`o&O&0H7D`TUJDJ&iI zym8~4&X@7m6FrP=@ytw_M3Ew{1Y3Mi60{GRrR14rA(Njw)_v~J?Ka3B7gv&I`T4s$ z6jOl3nA$AqzkT$H{AP`|u|YzxL0Knib-d8|7+{~95~FVE&R zL_I5ImXg@BT_!Tq(R_0{scQVlQ6GidEj%A`cy_GOb4X9NJxnNuYYQ}`eP>jpivAiDa=~As z^eib_n=x;md_W~2;P1B7Aa{K_s{||lu;d4om1{Am2#yhK!8v$Z&}97ZtO|U!KPKk3 z`ZXDn&s=a5XzsaanP{L^?cVr#mcU3~x%0Dpz^k*nmr2sH^JuqQW;tjtAZVuvYKOv1!qJT5I&!e{-B^ISHGDWt}tfKkxre zj~YBfF0D&nNRSBIH{EFU;-$x|T(y1M9eENub~;xqM9b@h8Qc=AUM0BhnLGTZJ{x0_ zq1GVt!+mV`7EEU`(5G$yVCqW@8C+-;EF>$Ug~k!6f_(lHrB$t#WqI k?ZqR>g7H5w3^h|x(V+BC4Oi0W~K&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.hdb b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..2921fb2ab7cbe45c25bdb16dd298bce092f0951c GIT binary patch literal 13146 zcmajF1#sO=&@E_YX7)A2P8>5cGsMhn#~i~oGc&VeW{8=YnVFdxubH2}|LxY>+S;lu zmDF8lG(Dp;YPCv7=wM)A6^P(pM~AOX_{*x9*gII*+5nhX=o#sm0K!fde|`g)S(pKg z>Urb#UpO$ZP}=`7V8&?w z+iJ1=Z|e$v?ggJgteScy=O~DkG=c9-4_N!2YkRfxL#? z1dhA_eHt7_!jtpX<8+*J@-)Z)JHjX&x{E3LeFqTVGmoMc-oLz-^7b>kRN3iNE%R1& z<1$*R`Dkgu=``cBDdWo1?4NS+sm)fW*DE^_bl|&P#)`HLVQKk>LTbiIX{5ZIN|Aol zJg(ocN9>*uC(e#5_?`OhTRX!@S$5LEnRCf2q_*A$D)UQ^L-&m_zLOpZ~f)ra`hL@%>49032GYI6~rHyNjIRU`NI)>s`IcwIoWH5 zGO_&E^r)G3BL3J)ME4EN2>N4{I9xlUC`~yMDnP|^H32u_#-f=|9Gsvw@uo|_cNU%v&|;F z0L!=MR_d>R3vc7@^bUN%r?X$oP|p^xtefeJ@L}RvG9A}nWz4!R#VXtBSe4;-7G{-gHEojPxY*?&?_)W)*HcT6z`kizmOk3Zjk& zkrse+-u%6vwlW+{_pTcdPKfh#3QdClFQ=MEYdgBPLIYM|%+Hv*VvW_?#-y)Ai*Q>y zU5m^W&qcFsSLnX%-c*b4|Eu@^Gu|wOT#$GukJ&ddpn zx~MktwWo2NCN#8}o1>z)0)f!Dr4vyyI)OVqfK0E%E?xOK{s1FO63#L)Vm;-oUq{jO z#KoG98F&*c-c-9n<}FJbq1V0G?SQ#9OYIC&y4I3wu&2MY=4(&vO}={B?SS4=xs}>M z9dned{c)Pbp7q|6xyZVqr}vx|9QRt1i74;+*oS3UvuqX)r#;~y$874TFobTBDL__X zxnmQ+f1Z<9V6yga`|QcI0%ni#D69fyxP$G4GN{>Pn1Sp}BBXifOl9w!$@^QsU7y}5 zPFLw{wI_GKR@5)nNs_(avX2EcH4|~GN{Sm`IEzs;!y|<>uI(`HI6?QN4@#Dufui2h zTSf@}E^Zb;NbS|C#0izO=a_U{#Jb0>r*vUILM%Ct7rIHFbI;#Q^&3Ni zCI=jLK>qf(1*6fY0UcQg(Tq#g#Z@wYF{huGy0Y6(x!n&hFOIMgV)BuAdvivoYaC}9 zf*{slV;G+f)*6w2?Jt3P43oq04r1E7eaB@wA6ZT>K(}}86%sYFCvMRBvWIYB8%JVh zTQG=b3EVzfIX3!Rs79YIp`Y%LHa^RXjg2HNaG9a&mnc#HI*eB{>lidun&(TKW*3x- z+(~HBtRhtT|MJB`v2qa9V;ay>kAojemw={~2) zJGJCFW+qGu^cEh~dD1_(xj$>L`}8@vKj+VXhrvJ%sg+427;rB3Tx2kNTSZiNb`42Mz>r~rJYTH!1hx8)Y~DX_6cu@R-C0GUO8Lv4hYpkO}S}ck(2~>cOaKEPwCQ0Dv+0ZKP)zP}5RapJ#(d8~6Ddn@Gt?JbZGQ`k-v6M)* z1j1sfy8Aw+LmX0F-P|Y5Sopu6y6a*p5KJ`k|x*yoE|USV%@bF5XprE zn`AjG#lDk8v{1oet+m_8m`-eFWQ=wJZ4!&tYMni~583S1b= z!|jOJ@@4F!O9ZpVZ+}tn3gjyCRvwvr+m#fb$F3 z{Q`o&0EGX5lsN-Wd@DOO5)zUvB%OJm;`_R`zUz{4Kc7lPdE@#8 z&cx`VR1Rd$WbDxNzB~R19l4o*Z0S_3@kx7W<=qXMeonW?2se(R>STP@jxsM3hHS}n zfBr<=+KAMBbM%xsEvJh*>&J1*F0b>iE3T5OOgf)tTgkPm6FOT|I^)H!7?|n3darZy z_jB1~v2tO&PR*F@=D9Lge&VrJP`;jgoxt)uy)bTgC44Cp`<_Xf!RM9P>tm5z2~suK z-pXXnNUGoy#VV|;T>wt|GcTw|dQioLD(fm9oRVH=%lm#im#*n?qyum$s7+6UnI*OEtw`1|IcYi zin$>=v;bO(`Q8tRVMhIz)&6I}ez{lte)&6l?EmFB|L4TA9+kfr0!B1nDMwUZJsWZA zr5#r$QcJtSsg&OdSTbzV=lo89f2Oe~n`{BWJKV787T%=4%yh@4l>D z?>Xr2LRP;76#oBQfSmR(0drBG>Et<7tp8%I*^HgR7L4q}&Zt0KE+(JCsP~gvH!bYF4j)*weab+rrAip&j+_(vE-GHQXla$HisizZ7|EmEEgcyL`3x_JlP*+l^27 z)gOf4`*t|) zey1{oOf>s2!fl3yp3~zjuZ;N(2&sy!K4nlkqOv-(v(wtz*k1Pcb07%OxyuR_PVO!w zYEHsBUNC3+k$!I5Q1YqW=vc$LL;F;RyTaH&kYue6AF}~C2%$7p6Ru8|(wWx#X#e%` zQcDV5bM9Z!m>ggY{yA?&OUdJJIUt84p$_FKm7RyJH7(PZ@pPC2ePY-+n*#xOR3iB5H;5 zLZvJl5|jM9B~%(>ZVArMPYVY!THp=D6K;j z6CmFmpk9g=fB=;Ve!aojxNAPt^TXFWDI7q0?=uX6t1&^h9_||2i&2UYzQmu?g`1)c zL;_SoCj%#P1Y@3o_u0V8=b$Rte=5)v?>B#|khY&_Q9uZKN#TMq;02Y}F~C)Y4)TNG zlBEpt;fr4Z>HEbwOz4lpbZD{HLC zCDYd)?XMo|=fVTA70M#D$z=qP>C24v+qHx_Fz#DPrs7pH0#SlJFG>^)Zu1OJnvt?z zNZ-cnbJvc*@QC4-yrFf8Zd~)p5%6<;%yy8zomQW-8?>p|>h?~yN1=8C;xfUH-*d`X z4>4OM9z#=ap?yY^BY8j4S(@+ybR*c#8OLS>yOMH{g!36)PkpX!Nf^#aAY@AUwN&}2 z(Wm9#7kQJ0E5HZU2BP{+Lm!b@{Zh{+w!{W^X@j%wH-GrN=j9#N)Sj*vwR3~;9C{T;fbI(yy&ryJ%BbmhdGmx$1h(Iy&P zxfwsgZ~q!ffLnX6`P+j z@X&he(axJH`KmH&8mE9fKBnlSk|N9_m0k|4rzvBUGQDzX{;&hUSC1dxg4-OYW_TwiWrr zCX`Vdjj}u6=M0c}FYiSUo#wBHJ^KSSbRU`>?Lcs`MHch$OqgLos5j>fx5@vs6c!+( zM6~1W&pVhs>{=v;jzzlo(;}u<=ySO5h-ez%`u0c?Nh$!@(P^575`oSI20JvHuX1LF z>bqFj`Ov4+|Go$_SMp4WX@O&Em+FI4zQE~9!NQ36z-knWZ?0PRMoS?z|Li;q@8@9Q zKN4o9$D-$Tou05@@$wP}h|xt$XL;D_6)AU62Ox zTvN`gPD)!wC+|YMzKq%B^qB}No|&NWe`$V z&u3@oedtHDEb%`O2UmXUrpb-|h!O)m>@00{?EI89J&aF*YP{Kl7;3f zw_d8bm94pXdP#lKYPWZNi%Fi6h5j7pW}~?A{R$au^36d(60Deojk(1?T4F2h)kV(D zW=GF^6 zhf#>mzkfA_^NXvUrg7)1cqng+>e6L+q-}O1 zL4=Eam5Fh5uD{)4PAO6HAxrsL0c@a9P+O}m(^Z$sb94`w@y|X!hSgi==voJeiDo zOPtWTs9xk%?`U&fJZ)s5e$xBf)Dc9&P@o}8@l$X%^3JHO}c(l4#d z&m5tr7jQT^$TE;fuR-J0z3)T=Im2err?(tJSGEULN62hWCN`m& zxZJF#j&|}K@7CT=CLYx`l*zS$oQpsUPEw?Oy3W9bi%;dp9a}A^%9>XcAMXe`xPK=) z7E*m{vo7rX?0nWI^2U7p740#av(F1*tZ(dy-ks;hbJ-q!vv+Qjc`;EjbnjVEr0)N= zaCN6P_(-tfdNUT8b-XV}9UAuTeX~k zGYd3TYTPOGh>EE6UyBNZE8pe$Xf1+zauSVVR#SER8YY6V$KZjI!mFM&M&EF_vgq+% zYajEccD=J)LU{-#<)gA)>+aEM-wIcX*h@2p+VRffL9Xz|NizDqoFzT;uX@B~8_5Q> z^3ZFF_=={s6lqxsUzhIN$DOZ=zJ1iUFP=(5w2s{9xz)4EY#k3qOg;}$JRT^|c0nnN zD%r7P-fQj2wq!4ExKmoUo^2WNmUS%)0cFw7TfFL@yQ5_dA3Bb09`7xqdRAFurj`8t zRcmKTuW0HWSC?*`6ErQaxG5F7PW5PRh&wV3r!6cig^SFzP#c#vxa!ZRZYQ^4x&!nL zjUigEg&TgAYUvj=jtwuDl}eqNQu68^%c*ycC%d4pQ@`%}lig8=la~Aw%Y}hLGd>0{ zTIZDkRn#`=tTNAr!>{pwP6g$x&A7MjFQh%b)*@;}423`lDNI4SIO6Mobj~n~CZ1l2 z6M8rppU601a0ZPu;Ed;edMAQm;KWlWqxIHU4b;f&X86SvNcl!AAIwNr zA3~)W8(2-Ng&-g^6g!=tgPuzwicS!m2^FWH`|EE^?}(B;00&kaS!!O5hcX!6kWEFq zBL{P{(k+%Y^p!da&P{~^LrJ(uEuJ_44qL{t=WUTxBovNqq3|GNAMYGaj+xXXu5cOM zp~Q=4+Fs*nH|1N1J8?LkBtRIR?1j2zx^ zxvEdBR`pLfs=NJMOZ@=&28D{6P>=Rbg)#?HMtwLXc2B`D2ZmPHYt2!32?lcJa+8rY z218lL_~Q_Qa_s#?KPkz3YbnK*SB!F(1FvJuInO7)!pos;+*Y{V1ZjAS&?6qp66jk5MgD zjeUqKt#6QgJu0oAi+h+Ut!I-A_%K|dM?%1)qC`rTTo#aom%^ybGDj*_m3g*v>Q!8T zm#QJ(tr8XWw{VA0>g#=n+WHCDVifY3WtNpZGK`-`FEzzkGzxQb*f{lHz@(VFarWJ+b$ zLbRQ@_5T8JKb`t1_5o6~K9mKNtrem}$$0$>gyuyg*%X*{c0N^`+f#)W!ZmkL%A*Sl zRBseGOQOfwE2D-?_tIIKoNknfq*FiA)57_(e8^*MP?hJP$Q2dgUAAq%Gc6-0+Jyur z2Eu+rW2?t?i;PcQWOR`%;U|UHF59p#XnhbM!Wz^=zRi^oC-Dt(M^dZ$@vVIGoJT=4 zUNL;`#2G0@RMR0168Jvkui?cXNsHnBM`i6v8C0wpCZEj$+}hpD6%99%8- z^GfCvt@i&B9@<%H?FM$)A`lslK)BvOPsh2{5wV?g#8I#;+hBps!8-5hXGo+#H`MHy z&%Og4&+V*&kGLJbxE*`g6t2?QWOV(c#nkx4r1-_m_(tAu)F>^suTze?e9G6qrIsG3Qh&sk5E0w0ILRPIv!i)y;G^s}rPs-{@eHIJSw=V^k9VYbpH13bTQEP=>-aI+yuID=TaX zB-`Ga+5W^0MORZg13w3I<`h0Z?!GtPxUn;JT~9}@5xHg*114}C1Wpe_uiuy_Qp^WE z#i&UPhIH22qATz+KYabhEx3%d+M8H9d^7wl=Hp;Asmy-Cgb<*!Y^ycvxO-}Jb-J^& zi8mfmxd<)|G_1*^6?ib&w>RwQVo0uItCc6Mox=i=`$zdsvlCPFy(`if!KB~P{Xu%e z_T8@1!iBuyPaRR5W|Pwm7@J|d`W{$eP+G4F}2``%_Jg#d^)?ZG78gFu#k2@qhr7Ug4cIG|ngZ z?xcAiwGA!F%c+I)@im9`HkJq_(?TF;TYv|WatYKmt+T6Wwt?qssGE3N<}nikBZpRL zWutK3^bq?E98-~6h|rpZY~xcsC4%4Dz8?vQ_PdDnKlnZg$&wmk{^yW0|TZ%YXVAIoy;`?b%f&jAS7)+PiX&W zaT3MO*Ytpqf+Gz%Y)OiX=WdIs4+#Fv&jnU-tJ2e1gdXqKl&5*-EFKP%syP0fKUaJ8jCtcX;}g zH;Ms^9;KhV^e%o~wn^i!xDjF<^S+WGZAIS~FXP9Sg3ZX(o z$K4~Ch;Kd@M^AhOHGwQ-ESBQ8q+&4950U72GKsO+rLkD!-@`S``<(dp?MPhUXm6@p z*6xaI^i7OR8Kyzd7)(E8!|Y9G(S!d^oWO}JSBTpiO8y;Tqa;W5HEg-UCICFWBvkX8OPacIgpG zC`P%}Ozks}+#mt-u218BS(DLEUbVTmVR_s#5TeErLO2mwl;i}yXy>yu%q?oBpj@z7 zgD%5oIKUhIj6E$$;+Gx)+otaHi0pEgZ2cIor?MC`O5pi5mA40dS~QVqbub{${sp-| z%P?y?VOqU5KrswaWlF)k65x(#XMw{CAOWn` za8EO_&eyfJanAEC8{7WzG)f@uKr(monc*|T%{;BHZu#BCl zo`2K9H&+ime;40vu&rG_FINN|B9e11bmC3BriEoQllUF6F%vVhM8kP8t^}k$$jK2I z#x%trB7+)w_D%LRupvss!?ch3Q=lg&a9` z4~?6!ao=S8-DiEg<8-An};wyiT6o8Kf7AS%sx(w9ZcImw~%8l9sP`8 zJ1s?V3S@}D()$i6RPXs?p2x84Lp}2hDz6?m)WwCC{rXSH!z6r053}xeZpcG6e8#E? z-xX>|Q+*vwq@O^hfUP>myKsfGGdQ;-%DEcu4oYAijMp5|>6W5d<6$ z`Ps`tE?70^gU}HiAR3mOi}2$3XY?I1vZKob?bXR84a$AFonwYrL@kL1?9Q+tZkt6+ z9V1g~HYP0^9n_IPGMRoHS<_f7&+lP1e2}W(I*Cj1_`VhM{u%Q=A@hE^Z*#+Y^7uZF z?=_PICo+d61I|0TuTIQby?37HfB`>M-ui@#US)28=b{>6y$yvV!Sr( z=4-P7GI>~fK8I6nOP-dRll`J1BcSR~>OgQ22M!@2L4|evfF#on^91&q5V}|##(Uxn z3KcCH>WL61u9;Sg{m$UOlT?uCx+hGP6Ifvw;?p&2SU~$EF@wBy)=G0~dbLuYX#uEE zpHx+^&c^H8N5)lR=s8~~s4)^z?Wg-|ilObO!s9jLWnc(7nvsk6YjkDLH;HW%Vv!A| zNL<~_T8UDxD2Qy-YK85eaZ{?rs(c!vduplnKH2X=_xFMz%}<3Png)#~%K}`~tI6|v z26rEXV=QXHQj~1*{-~$O&}Jdgb+|x-@QVMTOTxD~;?@`u^K1IexJRtkQLXPS&=_{x zC+eIyd!bDVeN0ypR8H1Sm74;10BImea4~;k^j9!cir!vU_Wsmk&x~vozygmj(l872 zz6E-yXdN0`6b1yC}UaHhM7xS+h^o@b9GY0{P&( zu~=|?`!pncDAC#rG)9|jqGafbWU?n>SfNRL@?BZ<8+OgOCfL|b^fU2)E?~W3SR{K+ zQI19rACWj;VVza>jh5p4w;G5oyg zvkm>-yTc*CymS3Zl4|$Vf!2@1hTt?uO6k`ufWp ziBPQn89Yz(Gg(6T{QPUlD;HwS06o8SlCw$0aNj(RaIiy7V{*JVL3= zRLjfTr8}>MTSQ+UtGb%OuU$e^hnAck9bH?rpN)JMl6-ecv>(l~WZ zxMdx3Z0a6^^!x)5fAm4y-F(>nXxjb8t5Ce!nYGxY&`cVO^-ADEh6*LCWMj^n4hyNP z6T?9gx5As|H(~25HKT6jf4e8B@!(2OLd`PlK1w6g)_+wFM;FeZkGL^Jg2%fq<_?Vp zk?=pu(;^k@ISFy&7={?c01>YQ=qt8~OI^406E#B6k0)ya?{(Uq^JooLPu>e?&zk8Hz4l7bs!(K@idT%$Swj=;T(e|_tacK~vz6W|{ zN^r7=v#R%O?kr@{nzP3E9jWtHk=NVF#C_xCUjudj_FzIeq2O?QLAAu$^o}@>w20lu zAF6q|)Gx6s@WqpAej-&te>iXzq6=pF=E+A*RELJV5*h8G36h@%^z=8h`G=qiUp}Md zodbC9$H!QTZ%)1jVGjf2-&W*@E%MzI@?CyFj?}JSiDI(;NbIW^7iwrItXRJY`K}@Q zmyU1~8tSSd`X(;QOGQqCi{6EeE)PaFfB9A4ibeb9$anEIBK~l{69-c*ge*#89E3YOgWW^<8NDnmKt5_L`e`e+BE{OYX{u!`y1z z(HL-M6?zu-bn9lq-xmwEv{lMetTDS2%yz;hDquH(?cz9D`Es6q4{)G;`KCFZVlr&a zy#JGV-}$Q;;M*4?J*Oc(2O~XG0q%B`fX1FI;Y`XeIE)^Un6eTPe=P>bZFM}?$Y=g4 zdJTQO+pR-~x_hn*=nSI#rKk9b^R6ep?lVg6^IgQ7R^&q__zeqfGW-S@V)TfgxdGYt zb~;|0#ov$w^XkdCb9F-N{8;)37qDcoo9>N7_~xUzJ@tFIgL(gmc^}vJ`q%Hd@w>yD z)QLH4ktvNk^wgz%=6w$4eI~)DnIgX)oA&6Hrgk$iS=7Bx#?v>Pe=q7^V1`$;0?!5 z7CgL7s0+1Ls{Dk<&y@Ya_-)$t-`=(#S(SgbU)h-S# zl4CrgODRv4(d}n91-PP4d^ELaC$eos=9vF-_9&RVDKu1DtUpDxKSiwHntT@py$1SV zH+@BJf)1H3>H^uiaIsKEn(pF)uJEw2(7I5`!{dDA&y0p~Gs!w;j|RfaAFWQI9B=ix z=E~?=!tK~m>lUjqIjcolB=*sA^U0IfraC_oR2g z>`QCNvlR9b`($;Sf>+X^K~^6^4S6q$$pk^dbYxvqH_3wV-0Y$FnY5ba0iI65D0~}1 zSrJcTSxqUrGn<;|GH_Xrrp4$XdVrqdT%$ZZm^cC(-I?&K!CC{|u*py?a3clVkTag` z1#OLx=R8tqhs6lKY;R+5Rr8{6#Plv`I`a{s=`m=_W{ZCe7zAvt9TIaKWD>ewhGvO| z4;fl1jdrM?2BPRk?p^C)_A_OtA9yC6L|z&9bD_?iyg~!tK&!N*dd$;W8QTi{b6=8Q z5+^@s_d#>?Z&iTFY{=ois<}ymgd^IUnP&`n%;LS5Klw=@YsW@M5X)_W6=wQ3es!w+U+Q}Ay&QL5I9F1r@J`6^a29mf!C|Z7xs0=16 z6S;IA6egBrC^#t-fp=_44=fGSO~?*9-_iNx<|SSIw?E8vE@qw2hwXN3i;OB5nC$Hx;D;LUwFWN{R?dg%#8XIi1+KQZ19gM8c=8&5svr6&i zAn?v611Wyg=%|ZX({ z*D(_EA}%95?bxP?XXob(sZw!(nHAA(P5TquwWjf*ymt<&Kkh7XnuiW-`*zm_rD7D7 zBH~h?XiBaZM~QL05y2zhw3e9$_85;tE|dNBy4cO`+a4&uT3;A%#wsyG)`oZ|6``N~ z7q7HmQ+f2H$6U2T#14L~UfHz-&cIGWu<~tJ+%w#R$ZQ49{9Oa$g~H*KaoQXTSA*Ss zLZ4oR$mC$UD1ow0%_&PbLdKNCm`l$a(dS9Fzb!dhQ$`!-k zP#Yb_Wbt`Er2Ul?s4S$Xc&Z7(Yxmo^416!HcY{L-hlvpE=1`OD*m(#G5>nu2AYhyTy9~ltGjJt2e#@l3$;;0g7lp4J_ z5T&1C9dy^DA^s+%(bw0UF4!*Le?m-$I?#on)5`16Is^Tg$2tPvccXr+O^45NI9@VI zprN#pox2?iwCk)z?j{R~ObBm@o{(&uP*ArUPxd9nw2ICgbebh!r-}K|tthrvDeq4BDgjc#SEI4I8 z8n7g=^71VBlm&%+KTq@%H&j-Web{~!5A?8pG^s)ioiUFb73U%er#iCsXG%QYSXPp7 z1U)Z&1mEOfOJHF^qluXr4QdL&j!|7mT*#0&WkU8tCr?G`4eP31EoyB4<2elhB4m;u znqD%FC}nkV=OjE*!S{iM{gD|i#|&W6d^js1q3E3Y39^C<+((TK%KrYGgB~I-B7&$K zopR6lon@FQBK{!8nk|8mw{Sg{i__#B0PI6DmgGyAjz}^)%0&Y8dvIg5gZ&$iMk`6% z@3Sj@LQp(FKP{x^b*w=|f0PJy7aU#=u+L9VTQ4K;&0)nzsI`~+UP?9<3C9A-IBUtR zBPHDJg>HadEtwRLlTn981_wNF{YDJwrt{}g@DLQ3UvTobUhlOO(>c`Mq}i3ot#xia z(tG#{bzyUE&fOJKsOF^(>TdLgls{OVQo?mBtd^6Ux)yR;y)OGOk5(jCu?WsaJY2kC zd;X#8#G5dzd2l=ndgXN^bw#J7?L2ANpWi2Hjl_jenI@5zz93@ z&YIqjz=a0TEeliruE51VZfl&(x!TuiLoU^aOOwS z-2xC)wkCvM%7>s8Q+OX{ucd|-`db;t`viB>^CHFsr@qS-~TVIsL5l^wz zP!sk5J4bi)T$*_PGCkkixg>N&I53fIwBV@8A}U`hmJH}#v4qv?PL%VB1sI}gb|9Bn zsc~n&jS!cjgm!PhYc-suCL2W-XQ{V@%RO+vzIwN3h#nlMd3+x`c5J+=NQ<7<_@zoW zx7UhKVv^zP{wN}3*y~-7s6*x71ZCB=D0zLDUL)^#+-QdB`(5$H^kCUG#t zRZWZuW#YSx&@AKTU)jxx%r7nYIauG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Clo00000004g(00000 z003M8000000031Q00000004La>|G12WoK3X&$(whcV^noyE8*uX8M3u0lDYC2dh2v zw&_cmRzY$ztow-A2a(8mi*=OzbuYav?J^r=-GqX>>-|ssd*W&L*oG<+~ z!+_X@N2`TTF967Syf2*V+&a9^+;!{Q-f`=p!*96l&|QZQ9631OeYox1`M7e|tp^Vr z%r11tjvTz>?n8&)GTxn=pPuSn<=o~PmQ8=u?{6#!?~Wh2dA>Vw6V%v%i?>idh04_3 z?vVrYW4u;5cXxblesboK|LylzVEFYn-tgl$-f&>=^uzc7W8C~TKe>DV_U=1he`wd9 z>*pUj%enQSCia;EoTQ+Dng|QWsmCk8Qi{O@7`79FP1PLF|6#vh0`D#JV}!S4AZEJb z&@J<`G;aiQ58nRvZ7b`*n3w`zV=`l5^Yn;HP*YzpMg@dbd$q(Ys0AL>GV@(%zO z@g`-evOH{uuLl4-RuAmGA>Q(0M=sCRUCZeg!;tAG&7&OH7O9=`MT zdk&Sl=+*%)8fWCyy9g}x>4Mmt>OPN37?hEH%!Yc;2?#YT16?pBL+`*giO#?f@dz1f~A7WtBB%QTiQh~6kyiEBW8U*7FX1_izI?n%Z#DY%H* zdH}cAdLAv)_)I;9ll5yLIx5SqL%a50Kkw?A;c+Cu%mBc(FjoVJV4WHO;B=Zpdzka3 z_@R+ua8}&}4N5KGH&Y8Ry8j6QxF)s6>k*zw3+hfrLruPiHM6p+O7~P9#og9#0T$kb zF8wWG@N$X)gr##>&9Y-P-s3*Wy`|qj%3cb42;=1JWgjLuq}Raukpl~4f*1yMkQRFscFKlYp!VQCssui&8o=m0e z5kkPSXDVgco3iYkPFeP)Ec<4J!YJ9l>zX&p2LidDxaOY@-j2>(J`sN0d&&9PdT#e( zLStmab+_w744Y@}bs5BVqavf^u1@yuc>5zHqGLU5!h;&GGW{@i!bL8{IpR`WBa-46 zkvwjy!uVVwoNJ$uyqXg!4_i;+VYulwl6+i)*BGK%_eDgr7d1BLegrUil}-&RUV$=u zeYhzmW%ep=iM{zp9|+jvaDM@lS-|2}Q4sQq0EugWghZOf$Bb&iRLBAZTsV5?gcRJ& zl@Znd!PstLtaPdASQ6E`U~C3cFfZa_QUfC)Y9s_>Gx!2F#LMLNbY2jrG$DeqlLeh+ zGa?;JqGm-fcB(K|Zp_lLBo?rmE{v6iG#yJ~0jrt9SlJv-$C6mUYPK*|w%F3KAWj#s z+Flqdn-u9-5)~^Sd|7Dt;A551;EQRgvAA(c*)Z+2PV1vZzT+s& zTL?(q7fuBtN~cqhvEP-lA@-)zfaK2EObRmY{nN3sVNga)0}{7IDVy9Vl@S#y@1V?F z?+h3=uIU%k)L1_-v(+=fs&O?nqD`o!eqd&(XM&v*TGwJ)8cUg#o(V=yXh6iY)W$65 zJd2aw)uhbeM&MAw8<2lEYYfn_912yy;c?1_`1L6xctA3OU$HZSw>%?g2ynsD?J|e&2xXCqzqb>UgiCMx@mX5y z@1X?_{~W$XlXji&_kju5`)svBCOa2qT$3*Wj$vM&v)wWVd(Rmubu*;mZ7?IgTKIl5 z)>@8x6)|j}p2U!r4`c+7NW?DKa9El|yhosm3#p$mut7F&r0+5%b)@TT0AnR(3_qLY z_TB@(8)^{mPKm3{G%#R{v5_-snQ1JfE|Lnsx(DI%1wjazoeN}S2xFpF-&4n2=?p>^ z>RZZa8SzM6{E~@>!L$YE2F+r=%NKF{$1YTzrj-3LDvbUgnH8r@LH4{vhp}Kvr0;=( zJ+R5Q1dEAUD4UzbLn{a)M8WegCKPfq&mC7+3%V33eooP<%rXngPh~zI$O!3T-atl3 zZpK)dSxiBbR>=gTiHJ-&6w@h-Zte}F=@O>3~{(S%8 z%yGms3`ePDwC>tE23*h0FO?87a5|ep#D}=>=G-nedFR?K!YDocGZboPE?k75+^DrU zZ=y~Wuc%3c=(Q>}fAl0xR;9$tnJ(P}1A^&BfXaYMfwRvx#y$^vx42;JRDmK3wq*$g z{(4q4*m+b9O6jFm{P{4|=gKVY8mVY@m8K$-8Pa-qlW0tJwa=1Glwi_md~}f?{wp)0 zaw_<*hk^A|aWtLIDfm}8C9dTcE2p&412HR`vQp}oGfQiw5?o5au1*hc@{c+Vvy=TU zkcR2OiTOtapUkw~fb&I}IK<8-<-`|dmXj7vEaCKBj9SPvyEVu1vGFdEYoiA9 z8s~5l)QXhXw6NL8)y#?|x%5Lni;|O%uX#C)KBJ|x8Qr|e&*tIbc$d6cjhUf49FoQz z`pwXFdDUn-n@N4?I+ROjLTzHjV(~W7x16_1Go#0Tvn;aAB3H9IXQI$=nJ0%F|~}hnBCl=7#80%nV0t)2nY%_X{xTb*|70&y7AImOW92nBu?RG9=76~ZrM%UGZSzY<{Pi*F__AX(Zg`yrRu0s!8>lky4%kdV#WIxh5l|7W=5vZ4ZxjHtRq* zf=7&l(oO_llMu@FB`Tzz80w4Vc|JC^JfbjTmh_hMOT7AT)m{!bG>?Z9iPXy)M9C7b zM#<@h1LLE-rWPw#b@UahMiXAufv-Hm>Zz0>mC{pcQQszeK}~o$vvo$XzNVL7TdH|^ zMUTN2SIIScD4~c0xrVLwO?at&ISy(UUt`UTZfgraH<4iDW4TSJhS)G3YQkHtLzM)h z$LJUmh7P$-t=~+qVbD1Cjm?bZvzUB8VzAg{u zEqb#Wb;L*pkD=3q_r!g{r=yj7v#41UUczdAk0VL|mzNMp%M~AIO(iUb-a z0U8g^vd%pgaktzW_hxYacFuj4+l2oSYI^X0`K!G5CVFfZJwB3;TP}0>ah&shNRIVy zy9?Z5P(PfHW6u%l&()avzdM<4o4Xg(2l8?3Ji~Fsf%6@S#IJo2J;n+Rk__Lg&CGz;2MU=fD)AIamc_7@2py|Pp zX1Qvz%56bp_WNtxQ+O=b0U?Y|DWdeEE5g=Jd8Kab5E|J z`Zkb&QR$n0I^ z6cf(4Es24VOrFOttspHt#qmbS`(4PBspO@Tjnl}c(IL;|sI|ZDe z>dneyIq+<(9zW~RL5%QW9qSV6X7>!JsHZ*Sq=ZS#(Lai(5k=J3x+g%zu0uq<+&vBI znuNN+eG^pN>Gqg`$NIWbg<5Wg?){@1l5rQf2~hqXS3-T(Bxo(qaHiyQCEC1XW*24r zjmTgn`+$2EHPxZoJ%vZVutKy1xlIXj?F_ltLaug2G`LckgS|%91D7E}riDLD{T8UyWk6jGq z{VitIkuAYXBTb*lsFAiR!HZeTIpdgOb{4H=mKBj zD#xpx;Ki;G&l?u|;&J9xwR&}c*Uf^LM$EDxVm2oTu&y$z;wT;g0>xZoeJbKI0tAY- z(wjwGMu0#O!x5lmmZ*ohn-x}sk<7z?2TeT!j5PC}=EH?qR;_`a+p-F?4whUhR zUY&V^=Nlw?8IM4?L<(&0eK(hKekO&wT$0z!C(y64W>U%%s0&4lMj_?fxs;8WlnqjC zACr+AfcXn0^P|r7p36tB3PyIMPVwiRo-9R?8PE7u6we7pF3U&4UnO(0dNVFGjz`_I zz&~6`CLL->?_Y8b?Ikq7m**aO0zZyORKm(2yNE|Y?TOLy!Wu_E(O)5Tk~`b{nU}0? zQC28z1nUa*u!qa)*bzj~t3mx|j8zI>nH84RAyxI^93geGL?7nL^N@)&mHg&4Ddv2saX zSPjc`-r&9izSs+_r_8x_G;<4bioRi2OD!3j;cSZhS40ZCl#M|Jd`D0LN3#k@T(%~- zu&UvXCDD%lBbAlG&p3ipLrW`_-uHT3h#dXST=3&M@Fl$#>rd>9bI?ykv^t2gS3%~Z zkP^yOFZ?H{8V&1%Xc!Hmp`BPw^l3?KAN_}CeB(YN)Sf}IlxfS(nSFuo zceJIZ`@4A7()+-11RP-$tZ{Dw^>^}dBaV@w`x7m}$FB>#PxwV}zdPq{Wzo-C;1X_j zI`@P7-kjTdaB^!8?q#zxd;r|<0=H(C?xlDR_S(M$j(c*B3mkRjnr!HJZuXQHf%{)` z?sMhd&U3Jv*$R$$bz!#fp71V=6XEjGK}YyQpnfT#Ucq0>?0qAlcH9qw`ejgczl7i3 zg*yz)GFoyt=SX*_SgCloOf*!+`Hr@}fNth^|IHHp8X=v$*JL$DtOxgutb(?8qL{8^ zrGux4SSR6T4@x}Z6^8c?uLJdqk&Rp*_}di!lTbIy8pY~Ji}hgSy#~Dh1gdGV1zPBR z#+$?ztEH&ok^W=u2Qcz0B9A%ne!0(3?yY%WcdXxbZ;VFbN91I8FV>n=yI0gKS?_*d z@ZdfCh{*66gCCKTJs0i`XQs&8ZrRcMRDp^gx{>{vnD-`7A1d%31odMD>RUnm)dKZ4 zP#-K%ZwK|G1*+|h$2wdF-meyTEk@gJHR|7pLk;NbF5)XBGI%e;T{VwtrcmUJ2@F3e=sTe!4*YF;G8Qpk58?9~Y?Cf%@?R)%FR! zw)FT7;N{L=O#LZPxqqjT*K(hY`ep7KUjU9c+Soz79@H;H(mLSmg8C1jY6hR}nAz;% z8H3zA()`rB?yz31L58~CKSuJn*T6dWZwd7Zhlkbo9?NIZT}j_Tbnqlt_LE=FIo3I^ z$7rC(a>+BV2k(xl2klZkbdS|@aPOBf5?jtL!Ymgf<%N3*mXnIdXrPDg?|R9tOvdMO ztMRTxZ$GUJNSND*;AcI@nje6x6Tf_O^r``f;1XcdW9C zDSm65_oMFnhI4C;XWyvW={Gf=gKHDDi}heyLA`{!nXwlyw}=-2}}ZityryvW~;KvW~-*d{aURzT;pgwoLBEG>(@! z;^X6(+&iH~x@tRDwrab)JLEWj6uVAd8;4w0f6vD=tKc!!>Tpc8Y8g|D_xikU)kV8S z+>he0IFJwdzednc_R8<-(!SBTJ3;+OLHD~s{ZN5w-}akCeSZ&lKTzPE2Q~iwp4>kV z>d^x4`$3KG0m*v>sA)guynhAi{{sL3|NnRaVrO7tNMK-K2p1Mv;0vTB zfjG$7Ek4LUG{iOD+21cXB*@XzFC^a2H3TTdzz_w*EDRbzax;@^)_f)g1}PvLtCrvp z*8rd#1H)mUDmJj5VE?IdY>W(GHdZ|@uE8OmevToY{(kY!9{!%ru5c4r86<%0>q{)2 zzX#GF7hpBP$uZc|8RmYl35@Iv0zlQJE4#da6oU*doe=vN85uZ$?qymP^%Ce%1|F#D r@F2&40M{TO4=4x3%wX4eDtEGjL^y#M>>)bz_`+lD5JkNa&8yy{8>E&~0yZ2cAUPRXFu#eTm)|RUM}8w)dslv0eiJ=@3r9PCEr(Y= zXUgxJ|4Bzjx6b~*K$mv@|IqIG|Dpf6O>3qS5iL$6I7I3Wzf9VXU2^W?r`xVcldriS zacHrb8ab)+U%YfPx2g}_uE7``d`&Ro{@38ki)Gvj-1cMKvYw9Ysra(s;LZL4#P)jG zvCTd=-ImE@B%cWGzCm{FE+^EkLc(7Y{k-F z^HSrBJCQ{sF|p-aUJL!yD~XN<=^c~BeDuqcsy$6iNqv3c<}bg{gfIgWGj@1fuTlKs zScu%8NP)&Y4r1f6&D*V30_-iZCI9B6p`M!ftr&s4)qyU7gf&LWyAysW&uy;XcrwBu zMyN-2{-?hXSD31RMVw?WCwV2rDro_aQ}W_%xD)lj>**T1*M)`BE(#~G~MGFyfUqRcvaxqOb z^HkAlg>R^@{Kq!z}fuywbsa@r?$yeghl^_N_wq$ zD`UEB`ag0wS;ZtM?M1`GYyTdo-_R`$AszOpyI(ny{C8NxyK2@cnN`0CP|E%=@?dN( z;Br>hM5=f?v)d?Z#dJpR6#mbxwb}SiRj6jOoLjxXOq9gS^?<;j>+Il|imw~;2g)jH zk-;KEu|+e(`zyGBC$LJYY;j)f{D6NWc&nUaZTf28hPWu>m##=jtS|lF;YYZ~*Yw_4 zWflVW&q={{D%!>#JXNKeU69-~2!Y zMJ=?yc*+Pv1mn5%jrd=|e_L7nsKp5NG{lq0)nXD6bf}-t$?>Mi2PnMs(I{~v6k6%a zNBwDlxmGQ7st^b(kTs~^=JxRLb3%AboHegyZdU<3E8~}pk4)|1j!A@eZQc*OqRuahel1j zAt2=xpSjbDIj0hp1xxdQ99+4hS&wJXy5pw2))3cL<*#S(^yoajA=K9FV|~M|mi5c4 zmzDz`T0ViT_UTgyZ#wt-KrOEuP4?khZ@w_jSH2PQFJ;{` zAJ6Nwt&YjZVwqW#Q3UB^0y20KU2m_GUy%KIx7ul-4PL$(ioP#%6=)nZy!;~>#-K&< z{7?beBR_gVoVLiV3CzaK7>+I?7elAC8vtp@y7tO%F!GCNuF7yhq7O*FawKg=g3Tx0 z?sw`t3^i5tC{&h5XXDT0*1$|Kuj>%}^P$SZ*~DrcpEEsEdzQm}(v)R%J#hxs^q-5z z%=FugPP!vnN`tu)tAXDPlq&(JCCQ=fl7z(~^EN<-d?d?8DCT5OmO*V*iK+3I*OOim zvp?&!@hR=0nq~4cs5wJgJ_YgA^co%J?@e{SD?#&DjH_+a0~qF&>USB;UQ{Q;grrqm z>uwNU3`8F`FVL6z2XPl61_s<~%3ZVmQHc_QOUZ%L4AO6F7;h(aw`Vs^FeVS+@&$22}CcgFCI9HL$ zAICo+;aFCzSfb85rL@EF2J}6ErOxkfD?1k)UiN zJOtKlH56V2DPM0TIj9+v*fsZf5^={`d+efEz$aV}Bth&W&QcU>qPh1~6g&D?2meKs zkRN#nNEcr9b9>#OyLTl%Lr%Ah6$|(xtR|R{pC-Ke*HK8=P=0-r8LWenI?lgrbpRn{ z{w8JqSwm1;WMyK3d|9s|WReDW#2NdhIyzQb~2Eky|^)bPp?6KzV$SO>uGcH8`nTD>x1B41n-y17vSg6JBW>keHO ze75cDEg+8SDpBzB-*=!zN}mfmU8lG#*>;w39KXsCM%mOFF0G`T=tR#;+9bf;^mO4j zztD2DeQiCf#Kg7I1WOgU63Rc;f2S>l@ZZdrr#F8W^~%)kMY@PAjJV{ZYJ6cz zXhi+=?LaQK5^7bqNE$0t+EAziYs&t)-ql3AQ#sloF|U0p*={gmLu!aaB#hBG2lZ{{ zx{}Z@$znFq&E9Of4-71S-hGZSB_6Xta`<2&3oUbPEfeD>~ znGk$~Q>JseZJ&e&*);R|y{bo|W|P{K1FujDB>#os1$s5D*TNpD zH+`pPE){p$)$Ks~gE}eID>i#*CNr+<7GHc--4Z(4!%g8$vF3U61jXjqguW6^@{^OzN z(l~D=^gBmuht_ra;mGEzhyu_uBgOb=Rtc!tm-I87Q;=*Zq=Ix`OvJV&H3Mwg-Y}zI zU?eM-#KHiQk6K%;j%FL@)Dh3vZeCEWw^3l_GY`>zH&i-$wKJSE`{|-L!JA>%cGa2V zj5e!|@Bp%@)&mt-7t*!g50!RY?X0Io>>y{NLCi8;sB%8G*6o|STMB}R1@Q9QxwDgn z2#*D}rQ;m71=q*#w|le^=|BBX+PqGYgTcb>6~Tk;%GSZ+lHnwpYF18@lHcV}sl~>x&mVT2^bT zH1HLq$9o$F>nZ8hcS!52YO_z9?|=q<@wT@dpSiS|>uYWO5ZYV)o6_$aHfx{acMqy& zeJcHcoEiV=#$&Hw#;D2Hh5n5ld8?#PqRpR6dU|cS2yBxRfzM79O6E{XO>K0?9n;7U zm8~?FDIz%9k2YU5=X_)9wA8T)c6bG1{@oNqaqai3R~RROq-Qc^rcb>4{opdwTXk;m z5f`|7zaQGZ=It2+es{Vh&#`If-t-^O0_iM7)^8%(3b`wM`JJki+O|W@Ta*5v`6(At z_vbCu1sBqX&s(i+E+ons47*IIq)Xv}?gzzOXO$~gDdXtQ@7?WcHEtx4Zp!KWRC2&` z$=#;N-^A^xL*y&S0)rel886dL^?3?0$ok?}L1vmQpsuA%ka{$TjY-y2 zLl0CW0&y2&?c!7eM6C+$;KUI`thaMcqTy2FHslGV2D-lAAKrzsv)8s_&ai2Ib*vi` zWNBF1*FGM&%ePT-WK6=w9j>PB)QUVtnDGUdyB2OThEkuabU;MjaHLW8{(Vq)O^+Mdxb$^-u@O-nV{7-&UZ$g&-~oy_lSv@65n6D`T+^z ztSWzb4>AOSTXY*ELq}l zbV!OX0JoH4ii&?*A+Ee)+!P`*4IKtrhw@Bw{kz+BpleCqqIY=17p`mujRx=@+f#6R z^h2?40QrXo*mk}(5X7r3{9p26hxo~Jrw$)-zWOR$TY~WJt&z2}{#2O3fm(EIDvv|O zk~K%Cm{cA$1Rt?y^VnYHEX!dq5F*>;Rn|rkrsnRlB{J023WmbPS+|G3{A4`UKnjF% zjEZ$RB6GOpbUJ!90P-i@7tj949jb+56}GIrSeIoMf2?ElEIqPx=fR+Pg4o{<>7<?y4SlV2cKOC;+wOle51ZsAwwdBciPhQ z%}vMYLs2nawkn;!2Q8iZDImx63D@^yVi$DBz|Pm{1D&33Hs@{9gCxeJ;%qG|6|q0t z4wCFCvy#O(2Aw!bk-#u@uD)}>mhY5F#pQu715SHJF03#G&wjI6JtxI2PQ`1O0lN7F8AIaf6}pUsrAV zgG*tT-}&sU$4g2<3PGsKk6x@I-=*GM zPs_2seJgb5A}^_@h|{#x;ax9Ss8rW!JK7ALjgh@>9o!~O5@&vWyXEGOM&kfqF4P1) zPId>}7}L%Wf+-_bjCoRcQ Ldtmaye~kA(rVe-A literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.dpi b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..1db31f925bd995c24ae5f1e3df7874d971f9ce57 GIT binary patch literal 1038 zcmV+p1o8W<4*>uG0001Zob6g$bDKC6e($ef{Ja|>zy@~+9bZT`9k=#4xZ6B2LWo!s z3|P5~=v;JkzVlg94%R<>U-`m4iDDWC=N)(^b_5dO(51oc zd1ql={H}FA81M8oiWp_wCN|y){O>`KjZ@jJA3Df?5TwGtD7EwXjW5}!0Od3B3<|@9 z`mP|!k|6c~lz=2cS-2+AJ;g+rA$%u5;rgTSCvgPRO@rxRce-*Q8hBx$8qcl-o{SDk z(y~KZKvwGPs!veH8<>TitE&1f?HY+haIAeWTQwlElyP4SPHMw1pfQ<<+BZ=g*denG;q|BY~iKgN^82nTye5xZT|chtTrVX2R? z#u=!23Py^Aw4)@POR$Z@Bw#N@wo1GsCt78_uS_x(LIOF{pr~SzbYV|akR$gU8S(8+ zh2l|?EZ%|`uood%Db|sbtF+cvCRW!$vM9UI(R$sk>q15GdTNijJtTP!_FjZonQX^c z2aoT;`jQf!H0B?VCM`5IfRt{HYMh-N?`aS-yWt9%dU8X`HieT8azWMdz~bmj*U#&+ zeX8oy>=?l;iHN~@u{*3bnsM>U`>T9K`yv|0Ert)Dq&v2~iyRDI*{}kPwC28)bylG9qJy))L~>36WpivJFB! zkPo@j8)bw1hS?y{*e;s1=N%R$r1Jzrnp%^oaZZA2FXKPr$8dacO!N?6k{*SwUX`lT zKJ|2A%@&qDsB2r7tX&!zVZzo4|N3s98!U;35}(=k$WMszm7A9Y`yEUFKMkjg&*Q=T z#-2^*)_!nPN3cKBgFwLk-9h=&;MwWJUm*ST4u87oW1pbtlmW>1fZ<8+0XYbx76i*4 zw;))y*@9r%7cB^uec6J*Z-}r(fuE65ivm9+6ecGe?((kzo+rAgtR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+-45k>OnIN<>FqzCR-C>6Z_n=s z6=fBrR&js7&$EA$^4Ifz{mEa?rXT;~{AlspcfTra_J2*1iMxB}?%kN!`}gj@t3UYI zqE_mAjs5=Y`gfL1J>KlHf8@WEZP@PdIj;Da;kkQ7`8uc6)+DfM|Jt1pxZm=1#PMBs zWV<(UYDXOG`llChCI6h&wT*h^#~0-s;tJcaXg{~u*V&uf@aXscyw4l#K5y*Fo>vFVdjJ1dFt!BR`X4qB*lWhfC8`kN zz@wvJcwu4F+Eo*r9h)+BSWLjhKp8p+&?H(CQ!~67}|Nn z^Zfgoy1H*SOCy@p7-D9floNa-aL(y!7HgrF(dBKG;lJA1do!z!cu5yO5c*!`K5IFz z({cM_=Q@2RL}X0n3*_nX*t*={gVM^j87$u$xD%QKb;&EQO4!WZ~TVcFLC1q)ZJI1s}=(+ZCOYZ9&I$wNb&U*aZ{HnnB@u%QA_b<<1vUC1Si#7fF IhYb{Z0F@Mn{r~^~ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hb_info b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000000000000000000000000000000000000..8210c55998f9226aeba57897397a9e541102c45e GIT binary patch literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hdb b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f3a328729a608f79a8409571f0213c180926e99f GIT binary patch literal 12662 zcmaiZb8sd>_imhQ?BtDY+qRu-Y}+>8*mkn9Hs)qy+xEt`bMyUh|GHJTX1brJo}NBu zy1KgO^cfTo5Re);(C^yi`x5y^4KrsKD+hZbW>y9!24*4=H!E9HA{JH_A|?(-W)?;k zCT3P5RWoOID-$y!B_k6XB4#3086r(HVgC zt{fbm2JzGZ-@@I451^JJDhxnzFmu<$_ZT*DgvL)4jXRWxyZrcKC1trYGapEQsjRH9 zXYo(PNJRO%0y1_3M`B_oT3wE&a(Nxmkr8{G6s9fLmE1OmPD|TsPX{JU-5xPU{0M;6 z(A)%3#h}A31%Kgh8uQ2~W{y28QORk$c zc>3VnkCGC|B0{hTV5a@NcdjdUvAr8uF-_%uBeH1VVdi0OG@Hj`eUun7H}@=4p2hxb zS)A!Y7f7gvli0L_(qsC~)7-&CN#+euc%tsws9{HU22XJjx1nW9#*|MhltmID>BZ^D zm<%#DL&=rh^sPFU6IqU*REUdHoR-TO*2M|AC^{dcqZy#XpP67T`PrTUvBsPV(F8Q9 zWht$)OQV^exS?T9hdmooALC^d7C=gCjEQ2EC$1(lH#o%D(tkyJ-)`{w2nQsofb{J% zLCSh<48UU$h<+AF`|^Q(?vm0m=KRgDqGzQMJz7R{koNb}a&vS^dUv^J)|GxzHgKxkk&|7kzGAu{=g2-8EREzn`YfB<>pv56l47=8 z{Mp|X3BpC}f4uk3f%YeO_k8aCVO#8a9o^@8Fx8Jl+=0K-+8?k90@G@nU*@5&M>Rv@ z)9ELs++mhC$*0%n2JdPlpFViZM=aGXqQfvESK{(m#EZB+iP~2W zoJayBwTHWkLv}u8`et{Gn+yYO=qY+0Xu(_X@%gIZjcw9h8Km=@x4+U|K>Bl?DixpM zsZJ{=n;~-I+a?OT^;MR?1->i}>=no9#i@0WK|0wTlH=&V>U{anOMStm*z7tt zdMhM|h%YTnEpjR1_1>EzDvP(Y@&R)`Pq?G6H#JS$J4~Zx1XLVp$yI6!1Qee^8p5!K zlBy8x!q&v&UX$LiVSsbRxA&@&Pv4*Ooli1L@thr6Rjg|c0V#y?H&8F2%S4dBi>Wi< z9wk0O)f2`}T;BeD?mx73eI4u%qv8vu&vJ$+^Ga3R_(U)qK}+{Zsb9`*g^uCdQd^)L zG0jCRw^Jl+|Moiy2@B44<}MGIVwdvdfNSvTrsJxS=Jx0Sv%YnlL$1uxIXpI7@5IO( z&&1`h%d?^6>f3aZzE%w3PDazUmNT{!O9z%d;smYCT21QEvSdl1D7>hdHibq&u-8bm zOr4?MFKv-+KiIrUD?V<=DE!g)AgM zbLFjIL?}*WLXa}ctGE$_rG&^41jq{JlER6|4ApUbrFtrt*dT~OtLAq^!8BUQQP9qVN34zP+ z0Lpz?z)h@1*QFw!;O31ibpfd)rR#*wQ0AQ^8n2adkSjUbM5jk#uTPs3{O=$yEZW=! z+mt%?U&Ef08urqQ_Qb*FRYquJGpq+`h|*F=IK;uO-6$l#c_q6LOE3UuO4iddGij*> z?6jadJhHqg4K1$JHnQpe19Q!;Ofe-k#~}H&x!}GX=7`rP+T1;5HV5BA?6*MiAA##z z*!dRxyZ|wE3X`^3a;IS8DJ;kS`Aq(Vg=NFb;8-!+();ImO#B^(J%a1iWz1{VIWogZ z6WI`Wif{w58n+qZwMMg%^x0$`S!w%f+B+RhJsW%-!g#qe@=}Vp>sh`o*nIV_>ATeB3`ty={YJ2g+)Kb{BHhs)n0?me&yUE8DZ{qlMO#Ip*M);a%lCJ(vH zKSTr;x!QMdXz)|1`z$&t{zG9$Up3SEBchYO%+^IZX4{yEUdZ|&h ze4^ukI9dEN)qpPoh6V)7yBGJTM=nlH^<% zUyv#)u|dsbOTtX{2O3hNE3ahV#g6EI$i;sMk=4}7E3Hsc{eyH;<-?0ASf!@%h`qw% zJ4%+?1!0l!lY_!PkZ(?aT+|CPlQRZM!dBr+Bo^|yku&zg+Dco+OBe_hA|y-037j!~ z0EY*s|M?Nf_VWYePX~DwVFE=JVSz#Gcf;Iwxj#><_6NyYs`d};-ppD=l1iRM8pB4? zW_=Bk{r^x(QP|L(*Ol_5YUifc`K4UZ7w&@ji58K*aM@Gt)+hu6o3pUnFx z!lmZeKDj+~H;g~VD>W}wzcN=a=cS@79`0uEidSs3#}{`5$(pJ*p1PAI27lHcRKVhB z{p=(zhkYhN_BvBzq+2&C$36!SMviL2iYndjg|i@bme#PQ8!ta)0F_4?t|ogcr^nta zBTOX*{$YV~>#kj-oo{%BPWlV{I{_r;zvK2Orv=1&*iQ=78+gWEWb+kgA2Ea7MUk#^beFl~@Pksrb9Ll}BO=f%b zWry>MNo)HoE8(1sG;M;*Ei)XR5rujTVYZ0F+K(7gbWP_u2L4tEt|7?S_A`D9v<814C z1pm)LCxrX@P-67gGEMa*IGp`5*7~te2mj)(Koefo#|0wPY&R$jTv1Km`ogCvMY?6=}1Nv zTSHaxI9gUCD$tUYy7@bn+WTnUVjf0!0=sopDqDSyRmv7m#t6K&`?dv~N1af;?YMXt zjQ5eNqK48QG49!USST~oAKWgLJ8jq7#lWy-lMdt!|YtQ$Ay-r_u+xh!IK?gkn0q#Larj+Mx-|w(UD2NrbM9p zgVJvrl(LR^1nsig_^D?!xv^NUt4#GPAHM)xi>iZm#PE06z|wph8ivOc-{-jeIxpn1 zarRhr7o+r#Xrn?;m57-#+6}Ah&!8R0n4PN74Tu1eI0FzL7UQ(W>AM}Q795l>Y-Wck z|ET9?AbWgcq5u>$;k#|G6Xckei^N(T=^yP92Z>h%nn}j|`^x(VDWvjyHz}lw`=dnt zs<~w%zc`rys1werd&)!t^^>o-`!<;`{yFv3Pp-K$^;Z+A#zv*qs>DNZ`O-R(SFqGi zkhvA8{li8Etjc=>(hr5yL-QNBp? zQi4)&@yn;@c!}W0LjDzVQm$I@SGob|rhE~=#hoDXJO^Ld1u|aTRW4VXc8=Oo8fYA7-QtNFFkLJocc6B1M9IZ)%%Zg1xD{@q|+7{S7yx zCz<$6GAGtVN9*&Vd>p3aWSxF4Rj(BjI-#!}%d*YDVrEJIxQ(uOe;j5D@VHvMUP4JZ z2S0RXp8@xQ0hgB)O^)|ZR83_rn`@e&?VJOuNqv#+Vzi6+XQKh&0)%(KP9_M?qtdP3bRk#@KDW{kj4IT{c5Q!TnzU$^bWr2j}NBgbR%Bxxort;J?H! zMU2pOnB;OK2nzpY_3-*!wgXp*1dlkoJnZc1qRbk#j;xrEPVN}lb=!vpF}&mjdi)7< zb_7lHlkUp_?MAtAwCkJTT|`umurZqMxGj8(_wL&uLW3vF+r75(HDVDL+d|N_IS;R6 zC9$F!waPS^8k3TtmdGJ%*^1_RFWWAwLC^V>gJ(6|zgU{M$9KLX=nnK-q=aX|72rtt zQBrC;8chmnl+jC9#u(T-)bpq z;?}jcpg*)Us-oInvaqx!z`nZ0GP|~>Z*OO#*i^nJxEo6(BiNhJVYYHFxz}@*M1CI? zc@v#x(By>UUJ~Ql`^WsVnO6!=8rpSONgRi!cqh2{c9YG8ucO4ky-erte!8$(#8?$_ z<#z5g>GUk59AoqAN2gANsgBQsEJI_nGQTSuiC5P1RBM-h=d`9hj`tSl-F58+Ec>U3 zcS~YL?%Z|js(M4TG-Kq{FUFHode#>xuQlH(jTY<&))VgS78y~-m#7+DYus#ndAqEk zfTQAM=~^``+}w(f!~XdL3#&D?)4!$enT%I2bMyKfI!ij{Hk}4IL&`eq^r7)fI_cgg zwjAZ@77CJ#)Lidn!4KZ@r-XMm0jh7MiB?6;51`q#cd1r5Z&Zz24J8Lvr8nshjj5}r zhBl(#F6695E4uWze^zs}yu^+|Erd-|Cf7C|sS0Lyg;@?CIexu&c_3ZHNeX(LU8m({ z|L(;(!@CT(SEE-OTI*^Xw*~WTAQC!tJak$*l;QepZH63H; zAZypB+$+HSJf|m*l^H5Hsh~(o1`)eyZ%7%+05Kk#WLuS2w}NVL5Of+_{iRKjTBa zi>wa+5_}3LKe>w$CPX7t{mf++xL0wY>qzVL!J{eY>sn&5^|z;Odl1>^SpI0+sp6)#^clJkLuU(Qu-$8LnG}0wRK0xOu|Emwr?Vs?rxV&mf zV;*ld-!fu_{S)N;w^efr-uh~SO%K5i8`PsWEXmDPZHj==aZ5amfg_wPPj96n#??bq zomJy!!#~Sj96UOicD7Baqi$dRpaiGKP$G0Ki4!i&zTNDzlm{K#9R&|MF-}`Ujaw|! z3}uvS=V4ZV2d^XbhUYoDSH@251i#0(<=@h=tYhAoX$OC^_INtLJg{`>wi zZ?lWuYX^%uk0Ps(f5=-(JF3nMlPz@eVb)^=&l{xuz(ckXO?SZR`$KujOvh&ocv2r;UoIhNM>&Wb@aQML)}h?Z^w-tY3XosJh9flkc{GXarM4&Ed%vW8T2y|`fTm9IP}=~$ekuTIvMBbELzI#zlXP#;~zNps;_ z&rHzaY%UgafSp(&8+>?|`S}X)RmX9t3xLubqH;x#=*v!cT9w+uO?+yX+TtGRq)77M zxc#I~^1!^UjXkIl-zp>Za*)`f{H}$!5E8ot4*Y16JQ!{Z{u;DKtC_vWWb_&Xpeyn6 z$qrBJryR}I#w3dX!xF4}#^M8lKp^(~0sO&h>f7SoR;%a-{D-uxAZaGF>nMu8!74jc zlpg05PJL&6%1TU=Z6S}+%F9(Oi(U#My3NN5JU`x|38#JgrsRXTQ^jW4YeOmXaH_O1 zmP|up-IibOcFhJrDv&al>hz?Wd13+pK`XaYCOvNk^ zfB)1e5UHDvO`_3`U4&ScoH_JsArVDK6ga8OT*^Fn5Q7~bHj<#pizyN{4$gl6v2Q;u z9|DhcQ$J(&g&zs@>S$?aP>Vw~nKYyjj+8Z)7|0l6F(v54+}$Ek+_#sF)=TO1X&h`? z*@RZE>;GNa(c;&pUUv5aaDG6dARq>pwtXR`Am^|hglbtKyX+3aT-t|X`Js6^e~J5^ z$rekUmV=V!EWQvLFm)P^qG-O{??=w4%k4#xLO9*dfk68x^#~Va>J!QyOKgmQ;Sv!d zG;Qc!k-uQ=Cmi#wlE)lg|RZWKqo*iaNlBl`fQk+6(0Hj2T-` zHR|V|RhNQ5vJ&*F`3oF^`i^s)`e+g}#mey_Y)j>gA&CquFVsN!ALyVG-6@^< z(Hql)m$1gMevn>7&wS4&f4{c@x%iCvoAi(Jh_`*)FRWJHm&A3Vr=SgJXTlM`{~^FP z5XN-NbbfC0&D;O`6MzvBuFGR}p`lN<{XNV8k8r&UQP8wBokJI#D-ZB#3~lmJaQ9_M zGU4s%+eOH5vZ~avs|qZH>vpXob+6fYG2K8ZBh0buIncYo@`dQ?Kw;ukL#0 zfuNt&Y3Xa~^{ysiZzSMt1TjAt!h%|ftJ0{woPi1u(W5%O3kfvJ=4?Ha%;PVXexykY`_3cN{(Ea1 zi+=XVj|vl=hY)$K)Wo#SAX$7L&XnT{5+VRoZ;O(Z8?yuUlz>uHpI$UBotP5Dxb8cV zuNP=sGs&SERIG|BmQI?NMvQAVVh-q2p42Dqjv}>uV@MqJF{Mb4mTL=Tu)M8?UnTrl z)ZYhJD{FOKNd|Qqj!PskQRK(Ku08SSHZk@#S8ZO|j7=uWAs~~53fg|W)c#=$8cN75 zSZ2{=SKeW7;x@0V>1J%+z=Qi+cL?%@NEi*w2kY%VRa9TY7F1R3{|nZWc6>&7esN6g{`iFG`s51lkv+VAQGopZ$PBne zCvs;MM1WA|R6szW!a(O%5%zwap2LVAk_080M34mUf7xJFNeK~P9D8-F<56dzcUVxH zebxdv;}Jd6VteHjcxrXLLk3=x)OY^nnqlrTFJGBmRzJVEJiEj{p+`h=^=eoC*R(tl z%+vnBX53iamjl0QoKnp0!;7qPr&rFDiywj^j}^-Z7QRmI6*&XI6C24HQ|~K@5M>veB~KGaSSWehA`|95|>BtA*HJ`75_y6 z_bd~5V?iK$DO|ynwm~a;nN0jNo*+1q0Bt%F!gAmwbnf4wYA%8p{7)Yd#-VYwc2Iq@ z-yc^3H2@ax&Dq2Wt?mJ}zq@B->JfHu)V=p>3_U{=lvK@L5YX@!o?9s#4-rPPyjMn2 z@hQP9lt<*y?H4?^OgJ9BXcWrq$yaA(@NwOz)% zK|6c`=zJ(PfQjN%QauuoOq`!eoDUufF6K~D>GD(imK5}DZXE38AK#DVj6IKdCs&&nNL#Ls7pLXUl_GSrig(O zD+J?ig^{UC&ie8@m@UgeO8^n(uWS=+G<5N%Uv5b1mdv)J6UnNZI(4|Q-*@8@C&{-3sO9lZf<_Zgz*isg_fEPK)ZHr{jW^GbSzDFwyIH`yHs zIG#Yq;ZvdE8KtK+8K-iV6}wAYU*lwgKd6>ZpG7WO=9`(3Zkm`&sdCktW+HV{MI%{q z)t#(`+X+AXBq&^wW`YSHz#16eVQ6vsu~Wm}B6z?9YUCeAH(t#~3g7`r#QlMewCp_1 zPf86d>??oLHeDYKzohc(q2orXm%)q)!KT4T=F6SkHG*9lP`j9Ed$Yd*00HfVd3D*Z zy0dp}_(Y9;9ZoA@lAo;+t`F}c>kcTkGP5-Bx6uf$KbMKMNM!~MKA)fRR{F8|X2){C zCv^TPKu^LkX3P;Owhhz1RLfZS23ygi2bxR zZpww727ydxVq_P91No%m5sWY{%+15fJO=?wZwga~VJ24}QZUqVF~*kTvQCCtPC#vi z=K?Y`0m!?_$jj2fA_UhzcJrx>4t-|-m{R!QW*#$tnhDKpwU7_{sTk_#fi*h7PSAd{ zOt8C3^o$P`!$)X}SS0)eR1ZU$4Pk00Xz&QZY|0P7*h!1I;+`fS1VzM1f~eVu5zB$2 z5En9Fxh@VQaFJP&M-to#75S!WHm-Y5YI{bgq|ry+qoj&!;m;b2Bc_>IymCi|yid`@ zfhph?i{${9<$wclD-Uq)gnz*#a*uy&nKc+40@pE_hk9(3pW&VYT*^ldloD1d!$KI2XAIFUr1#j*|bcg7aYrD1>D3pU{ z;J=$|U2xZKKWQp0HLh>n&oMTcw~78Y)KIV3fb)Ywx1!ycmnx}T#Wn|)UQHf{2=P{3 zD?US`R^Rn=;(X)SwB|*mivuKuOyS0;=?An|O+I$+DvQS_rhT6byiUS+x z11SZE(GCAk*X{IThy+no?47pSw_ zGZ?D-0G9ZG8_Axm5KL*CUbwGj_&XS~kDEU=YrAatyF~aqL4p~VwU1@_*LH}=>6*Rn zpTCO4GR=G=>S1pv$&wI-(I{V_(DDZM)n=%{d=*4#_{55%34&t@7N#S2fh)KPZ;#0+ zuV7hqO+U7V_>bHv5QGw>M{QfptPOrn{MJrPmkLa$A^wrJ%-0TYxa-*5 zG9=$(&lnUA#?{Xco;+d6{tH32+O3^HO2T8xObcpMqJuM8vrw^;GO1|UDW*q5Vw?)p zLI_-(p* zrI6oQA|Sk~-~r&F#U!HaOeBfPH5Cl$<$7ZDQy)gZEiig!Ht2qW-eySWu`5l zT&<0U;28vQ#W(9JhEuXZz3U#qr1^{_3`#)r4^;?dGq^_BS@iYX+QMw{fgCEcXpyEt z3Satv7bJTkM4lh!YWF0AMGKXg68j!hn3J<$exC}1eO(aF^+3;bV4IkjEe48a6c7mr zMEb5liRBSBOL}~@Qe4@Q`xf3%5=SM?C)epjFa_S-%f z$)UJ7dKYgg3@yXBNMp7HGQEdJhUc#WV4+>ZcQ@z_c}!z-3^$UbBH8AY$bHxKSQJP; zsrpc%J*Gn8In<)H>BO8P33XuK0n{W*vX1GSN3?0WpgB^b0>B4+HPjHAv0RHFV+Fh_ZLV{0<;H~u?ELcLmH|H_ui zk$GNn=}wi%Pu=`HcX{q@$p#=t8AYayfIc^`_xCg5v1P7u)4RNcgUL%87Mya^)x7Oc z1lptBh@sWeU_SSV_}!)wRxo>vWg>d{ux_F>3$YbSoFyWDIu^`(Jh>dz&PC zG+|7C@vl8d;uV3wGmO4>h&`xp*4EUT2Y{P}IMD-zxqQm!R z6um_Gw?RvCiH7nN4g5ZRLW>QAkn9no-24WvFpv*abEBY}^?JUYJC1;;ugoxl6ogUPS%wFHA28Wy- zyo%u4<)rsyC9+)Dy7925EFSCFbQ578IE7XtY8eYwn0&&~-)NLXR5##(dJFWhPUrQ+ zQ|zO4qsH9~qV}o8+X=+2qX`b*_jtn9NW#@R{>1}uYa`^T z9uv=tPHW+z0TuqTn3=licd3DMe%A{b;9*fex8E&Ut{+mdd5_l6`;`p&83F%4`yM9p zR-|oS_9hE+t62-qTqDIx!NeIc;PyBCDk6;F8XJ>V?^%XlRfp#CF$#~DnE7KlyqBDC z7U|oONU%WI)X=1ABP***@lpKblwHO;Q$M|_J(5dMVcwHf0rwc<_X*2gL+jP^AlrT7 z4PNPeGAbx9PGQ+b;--6#NE}moG*_Ct?46V`yY@4Q?9x(==Zmqhe8&RLnTkFYxi_?tIt?y2#w zQ;z~b*H?zlh~2Z zFu?zaV@#_&#BDC(uwpvdICd0O*4MRtfHh{`3P0Pj#2GD@I{&@TY|aZin}XlK?tRqUtRJ zGXG`fsOe$dztGM@X2-?$Nj2Ql+I%sQyxrfSq%$VrpJTFQ>bpjh2~ zFIL&>V}naQWYN*q*EH=Z{g=6)HlUR&8kr&p zG;}E-C?PY>Cy{aplA7@zVYuY|TlePbnSM|j)9A#;)caxf)yJgQxd2BXbIVC9y+PlA zb)kidv;kTv<3!GGS);Cv3c|Vv|K&ej?P`06^)7q)^~$Azjuy(S8|>yR?2?z?OUbCU zzXn+JFc1)=UN!EmP400VWTu;)<7nQS@-Dz<+nI}^eMl>{!|gKr?!7HM^kba+<*8kk z7un~J(I($a*v{;wlB=xpd9@L#U-h>zTjx%|{fK}Z&S9q~X{((JKU##0Y(KayaG8dO z!tn%tS*X%@sC4(r*ZzQh(h@xWR}EfTwLSF)P^hP#x#3Vqe`z^7qj=8zv9y4|3)d;^ zlRzIq_<3k`&kZZVXXXsx z<{fuM4u`7I_#^PI>qD+W#){|huXG^Cp6bSa+qi_#)i5d(LEbztPJ-66r(xFmlcjKv zrYck*mMgQl@(jXSSH+z*Z66Xx%&L>NGf($dgP$*TQctH8abk;8sy4V;v@mq7+iuwc zo@e3ZKWS1>c!{=lL6fp2Ma+?Y(_<;rMj6HN`NAs@&OH3EZHMzpfslv<08h%ST}dz< z^If`w!oJ4OHjD#|l0@R#O>LUvthUr2K^Wn$0yZtvS-{Kjhphb6zws3xztvYUS?fs!z7Lf4^ebw zp2^>{z7S)zCNM53tR-@eKWko5yMpf=+74Z|CqD8)Qels~0>vI}T$rrAuXjx1*`Fzn zT*vRv;zo>YQi&&#(NCQT^SE2}W?Fhy8j6vSh!Pxh|*j@KIbbE~puDu|57^3X<{F zwKRyI#h@;Pxv;RkG(#4$Ba&`A?2{xUx?=glm_mk$oF+yP)OhrVV~7op!zsmMJabYq zPmsVMA17Qtqb&51=_Yn@99t3I3&$TyxQ7>ERLRSU<{JS%xl(z8s$^miE3ruK{u)5V#ZX<-yECz?}Ff*}JMHdrZbKjl>T8s@6q~vX&}i>6-wFS;#_EOhR__ zfY5?e`z0j}w?`-T3&!chl07U49xIzSp`CA+D!A|0#h9j^qi70kfB*i-oZca3ljyF0 z`D0$*Qa=_5kyjrVit zI@m+rb~zSi_YlI{I0eu9ki~!WT=$x48$1Aoz+R<$8F-&)p(5SEsDAtgZ(OD2YFFZy zWNtNlcvy7z<~H2fm` I&o}J<0P5O_#sB~S literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.sig b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hdb b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..92689bfad2b85098191bdf77a26f68f2cc26b907 GIT binary patch literal 13098 zcmZ|01yCJL)UJyL_u#s5cXubjo!|lXhTy^7-CctOcXxv8#@*fB-4EaSCt>fV~_ zSx>#Qrn{?Wx>wIk4+aDTLn-pI}1B28wZ&h$llr91VpB6WMWCiMy4i9rUf!4lLDGMex4cQ{$F+w5J1NNZ6LVN z|HsmE{Eu~oJoQ3IrIJcIufWlUT>>3Ug9|0pn(m#y*0q+l(iM;C5TMtTSGJ-swX5he zH9K+ea*(n|`xjqCwOx7C2_B3U^RhD2h8H*c+Rox{sNso0;&R7HaW6f;`2ZzNcQM`A zPc)shcz8O73FGYE>-xg5;&g%Yjn*rT?SU16j%1AvF9RzwrMTr~mDxv?iZ-dGiWd>f zxPG*)D%)F&27YI-mxg|?ZiWe36G?+7-o=B^G6q7Z_5><9yNa|1}_MKUu^c*ote`L4aO zOT=WBG1bYYGmTvg<@qG{zW0$OwPVnfMkIgYVuSL+aTEII|NRk*U{4p@zcrndcaK_j zye`f(RiSmTIaTL|l~J2d($s9`;r>0}wYdZhTrKLeD5L>Q?4tN|p(3m*2H{f+<%pSz zD82K#PB(I{5BfGVaYizY42+wlIV)FLD|v2AZab$wyPj`1t>Cf@f<{d@4iyrtXJ|bt zAN1L0tkM)#oWG)-`P6ruv67~GH@$3jO;a4kaj@RRl~S`2O?!v5hScCkxA8q~|B+K9 zN-6C9N!y!vAW%d+YqX*!M>xHUzoc!=ma1bv6WvU*LQTk#a@Vk!nd0IxAhjBD_x3w- zu>-u~;-t3*?SwWfr2HWI{{lv2w6@kQj)G~Mv8v|MEd*(&ODIJv_Ypi zcubj=qeE3GO+wsLJKLzo!mI&PzRH1Pt_nuZ83~N1KV{}x!#ip%^PJoC*U40jK;Thq zRWN5s&uZWgtKRBZAA17BL&-b2Z0`$lIhBZ8wHv<{(xyCqtG|2W`0NZd1xGs86-4=} zrH`RiqQ(7fyi?4}1GLwfoQm76uEbH(U*)XtDjVrK3=fWJc8Rq_%DNXS|5!3RIEM`M zERM@pP14SSzs{xHW6H~#etnKATw6rKiOH9V+DA}MjM=?9I71n}i0-24sqbe|%e$vz zkd2O2tv`$zT%@nq}D2jg)hX`Ua|4vs4_egWz7ii=+?S#RAM zGx5#E%kS4*iv09>4p#+n0CL8XtuCP97%g^PAEPXiVs@$&*UMBETLz+xCsH^L!e+ z+R5AmJJzQseGyWqJg6U(B4p?FR8bezjn?0KmU^@0e$*zP<>`#spcIVsaNvWme2kr? zjNLa$C#iSdikC6zLw9X?~YD2yo1#^ zzHt(> zK?T~S=c|08cv;PkwZ1n0BllN>pff?M0!$K&kRg*e?yY67&R1 z#@4tUxt%Oo5}&9(oyVmPYDA>`65@&3YOfBn$VS@Cvyt43!~3aYrCxZgmmbX^s%lp& zD$Abb>Oc#$6=pHBk7u)&^ZOb!Yo*0Av)9Y;ZYdwcws$0Bo2T%#YtDP2`8bMQM7iSo zYC3`#z$fI|&l`8NrQp3oxYmpc3k&iY_ckAHy$k2DwIfj>^GWUSg7L=RBY7*K+MnbJL6iqqq}RYbx0O zZeq?9i*K_-9I(*)IhpYcQIc}GZhtkM)qnG0w3rs@#fZowY$b83dB&v3cGePo%m0#UmW0}z5rnO>j(0aaj!8L zH5y1rFNf5aGp&-C(@ra)tMcXEG0waA>)Da)R95BxF}o*6zX?VbrU1f*A|a2SYed^O zclMzZ3qzj*>8GIopYZ!r=>8Nwt`{R@x(Vl%3KX0{`Z+Vi_Qf_O?IyjV68S8D@;n#Gd_x|ylt z(2ep2n&=xzgEn&BE@GZaVz1Z!kJxUPL=%N_cE+?WiT%~cMR!4Xt?5YYj!j>IhvrP+ z>b459-tc?QV0M!w*~r)9=b=kP1ZcKo{}FDri7*Req^hK7b`9!>&+C!cX@RtQl*M9o zxSKqi;0?{jSDa{AZS6)&QHee70TJ3IvQ9n{BN z9%{U7C1S|Te3sNaexc_j zfH=--uZUA(v@2N4-wWnzS)9M|`zNAZy-7u5!3^1Q; z{K>91L)b7XS@U`-Kd*jDHtiz@;3-Yjl3QFxj?6fxgB8n~g zMf4NcgcAjQS&tnB9eLK6YbToJZ#NnE^ta+C5$*p!_T3!&f4biPk8k^bzPWb3HERZ( zsNkJQ=nf-OMV%fsgg{+^o-W}q4YHWdHm9ol%ibfDCc^<1Z4Uj+@=E=aR#h(7eP$8| ze|Iw0A)n5lltjV)9pksI3zk|R2mBA+D?Smp_taO>c}~+8_&(J!(mYnX%tlo_cLnhe z1c@%14_%ITAJp>7sixh8x^8nN34=sU4|9LwTNRm_F7PdmEiClbr>CZa+^FSsvIYqT zgbnKpk)lYLB`OsaB5if*Y;Kmr(zpocv4(i4Te$mS)=OwF%Cpv%P7R{E%#_kdjD1+W zpuFru4R@<}qOvn9tergOa{9_%j23R82bne!V`A1iyBGJ23}|9@T+PD#kce-l1}CX~ z8va-$4Vp-`DKPuI@?4VSXZp#vH{%~9-ESOKpX9}k!EN%p3BJVx$FSGt)?<1L-=d^` zv5?M>CXF|sis->+zKZ%O20b4TTwc$2Z0%8;wbU+vwJ)k)dMC$(XNCm6!1mtQ59!-E za(V7_@)ow`z02rS2rq?tcj4#YX4kzFw7Lf6C%8}{HXN7UT%-@SyLZmNV9x|-aiSKo zJzOF_7`$GFjYTaon?TZF@m|ngmE?IVK~vGP#yBZ{fqn-pHR@L5qn{_0Eh7g?dtckD(MJlTfLB1hQgH#-*}0;bL3O z3%tgrhT|+gK$zgJd`SMBbgfgIT9hDaNa}XP<4!L5!Nez3Df6{+x#06oVh321l$5IP zl$3|Rqm2+bCcI;+X_SZ({eJj)DC`>r8p#JC7ThJ>p>iZM>EWKlswU|)+mKRkyW4Lk zAM{mJ+cjH~P$u&sr7|n4LEozp8bh{7s#76=3vya~^)S459Jh#v%Uxgx>k}O$h1VKG?f1$cPwg z>ivM#Q`jIW&HaM|&AUCWTS(%8?waN5)o_m8ev5*4erzqd z!vvS}>Y=|*x;NnY9ec^q&*Iqz}DYvq-xE!~?u$Oa$Piq|~R?Uozjg8V7@SeK-e;ne6tGumMyW8qO6wxbX&Bt8H+E z0@Wl&tS^u9bfb73YtaB0tAFBMWts+&Y{`A z%ri?h1vGgS8gImTmXMEYmlwC%&%fy!d+FWsK}|ITNJ6f@-u~e!#Klqw;`2=w0f~s15(08E+%na z18Xd5Of5-+*t()ZO)V)odCvOGdak4~tZ=T3S_>|YoN&1?AQ_XyFPLcNtD1cdJl-)bLHv<<}M}onbq*r9-Ia=WnL~< zr2`x=$+$W%-j&O-=a!vbXj7gsBK+Fct|(}mS%7N!@4}=k$O)XCwrC2MOi-zuS{n

bALiUIwx&x-sy~o@^&1r!4tyvcWNY0+TKa8+qDe4d9W&fzB?@GB8_1aCLRqE z$$i(Y{bm0$4SGIP3qg*Nb*deZj<1^U817~1m&M7%F}u@ECe`<>=aVavOtG>*st96X z7&k4Oz&3MxRwwAJ^e)kGu6R8SU^E>6Y(F>`{{5+P*H~la+zBm#y4Qrg0LBjfsCJF( z$2_(DjLf;F=|}K((l751Rf*YoLH;^piirKeFSZ!KBl+dNM&QuhK?fB=%dW#%1ZG7e zujYuh5JA4$IG*ytah8#|P3Fb)cJaoKo%BE7M=T_gMd}{)IO%LC`Oh*d6h6bfHoLy? zPr~!m{wuNLGDfeuJMHAr>=N$R139%HgZoNzuDpqcvRY2%2huuLuO-id zoQ9-Sl%VlsoIiEspY>i{w+2tAfDWuyQm7mtXYdH+=U=Z;guyrJ6(1&3{y*4(aX6M9 zfh-X?C!O#cR_5BzuU9GS9gH2H1x@^^1)d{vZm^(Hoo7$K2rCGw-^mwIXxZ&IWuooH zU#0D(EDgxv7$$ctO`De4HhOA1+gvPW#&Cn#AKVbw4%q%W)^0~C)$7-9-$*7i2?RUq zTD*Ab@~aN+N7rzyEg%ggm)j+R%y2e5C--}k8PlAWR|n2L`}#b=w=cwok@n-+dXbzi z4kw=V-6<`!z2mnpzv>#OEX#q!5q1Aj1dH5;crK{HNcb00$=N@Drkg358vw`1g0=~N z1UISkJhd8=^&Kz%F))*+h;+wdrpaL>@#TIBQ|A!hjJ)cFV<&B3gfF>v`Fui%sxnKV zPj$X+cjR@~QQnMdpnD_B{HaYuLk+KeJKUTry+%fVR^YgWbVMm??6&5t|js zG0hkKT65bf87X~)>BIlFxBijiGxMg#pnq-4D=d;3il(z0Gj@YzlEUeu$AS3ymcmi> zCPzuGkuK4VdMj&RWiK{auMYcIuG27)i}cz0n?cj$b@f}eY<=AA>$}`?Qix%^A%j=P zPLbw|_y>&%2=G`ne?P|aF|y{P`f}pv@SY^x;q3G=%l~eXDdOVvuJiV^T^-oBcFzSoTV6-I?F1>0a?3_bBl)OXDKwT~C_*v@&e+D77ydU9x1N ztuO_4gQGPIYGp_m^1py9`3kR~UQ7|gMB%6WkYFX*GacbYm2`3hM7~eSyF-*Llbw7lxdowq%q>Y89|aP z?|=zli>%?8Bv4X!7jgLJ(L~ih2xdZgE_x<9&?qdtA0rl>k>Qm(V{v z!Amq$c|uLTU|a*Invy7ym;8^?KUhXnb=BCS{G)7$IP_sOR1VlsNi&)sqdr`SKhixh za*(~*W9dXw=f7YNKKY{C$+nT_IOAXskoxIRNZ=Q7m6k)0+i@ldna)zz!(fLclr@FF z|K?^!PgnNHpjEHQu(?}Zo3LJSCSTY4fE|w%yR8qLHlBTB*K{E|J`bBqQgN{@KmRei zhFd1^#i=uVjyS6IpNZ38-uXdIE0*$uWVwyV>>75N2h;ISpUrGJClmkhz+OyjxTADm zDvaZ>?#tw69Pfk-y4ae<4K#*$3#q=1@X)}?+i;)yjQe+2%Ngy{#!*;f<}d0!(Seha zpUn@aQH-!p9IA2nuvl>*RHgYCgk5VCHb6CgNkfZIH%X49Ko&h?0fo<%F$2Snse_6A zc?>=5Bou~R1%RYbJ(^2ymzB!PP8~>%oWOv|zMm^DoR^8jB>TnN|Mwy;=~{GO$y2_K zZz@LvS9EYn3vnHu1B*ip)quA#a3UyxWb;rAabTnk`LE;!O(mwZ7l*jOey*Jp{#1v; zqltkyeGZ7G@*7O$YI0wH`YG_eim>n}`mhcTqBs}o08HV|wY8b`$o*9b5$ciiqT&FT z&27C*&0=VKee7SuTkl1cx{B%s`K{;9pPMAxAu<>Om=Efhg*4GgVH`(&#k)RzMu#Odb(E)@od-sUfx{_+*LB=;I4jkJJ6fJqIU!bkb1Z$&dnWwHP`#Liwu6W( z(?#_K!WN#X3Mn@;b%Ou8}?C&8C$ld&$@^8dR{ao`WN>+p*?`-t?pU9QLgzt}6<= z{mPAz4L98z;Ya1Hzdz!;+_%ENJ%JL+Mx*fbvv2{{0?~sW1|46me^i?=ayio~1eZD^ z=k}N0mD~Tqixs=}$3*lqatgGUgY*HvsXmyiWRC|89>3L6vzhAWG1t)_H5N*-;~eEV;JHMYmp6C z%hNt`=slJxslh56kK-ZW@VnAKHISbYNDdTu%BCG087o9hng?XE*9B$|+tD}eA(u6o zt~gwzLgUwp0JFzo#qSsOewBx^3PK?x!0@1llzs`K!K zuIc~<-luDs>(!qiW_mtm9ul1QGOWvNok(X*{*pl7;S~>x3Vk_dtDZFJ;x{kDJ^6pW zkfb`GS!p}&=T6(SE$}rQ*M}+KmfO-UHBpVWN4**3nl=!?K7I7VI>kkKwrzE3HGLVB z4jGUh6lftW(+w_l)z^~Mcahasl3lw_Y{f2&=JBOj(4;9;fW3%QtZWCbS5#(4o{y*M z@5=+zwVSRK_iG%}8hn_BZ?BXux*pT^+hylwM>dFh$cw{Ev|KDkQSAwxq$QJK!*lJ2 zbh6~;uk~u(*+ExchVHqYbXcZD^C>0M@Fx)n|ETn6q+)`qi#b^w2{uAOr!0nzxihoE z{VOKP#Q}ashE0x(5yi+ldm#`#FRN#9I+h1OWjd4JEr`kym|s^Qw{A-Ism6*b86PT$ zh|iFI)$|aEmN5U)j5K(rFZL{C=S43{eS?gJ4d8|n-*AkMLM3Q)^{!#upMdN(3}*5H zAZa7(!aBsH0IHGzG~ie)$nWN{xX=5Uv`~qM*7s?O84lBAnyVE~qLJ{sCqOVYeh)r# zfmktD*hPAgw&fvsBZNs8yjL5G7B1f0rCPn~hHnosU>ROR!t2DZjP@J}>48XQagKKq z5v^W^#(>I}H5I_u3t5x@ng(tBy=4^=;Vw}a;+t$9lhDG5xJ?4!BdcT2#d@aZI(9YRQu7U_WG0j9C5pUE=(Wt= zH5@usK_Qy+v95xkY^riBbm3s4Vxhcl=2`)A=PX`hb6kVdu=` ztwphidqI;7;du7afT{MN$>v6eNiH*N@drpINof(XDsjUH)Z6Ij2h>Q^Xh-chbUIY< z^cN7g8W}$hkmq};kAkfBVbQQEwE8a|+P434bJ5p9K58ge3o2eHDFa0^$89?!7x@4O zf_fQb5{ZPixNfH91}C5IYno&sSa$_Lc$1{vA8s1ZbU;jJ7r_2!H0rW`CtDM6A9=u7~_fMaPO zhoWb!Ytd!V1)F%t1mO-A=~*s_8(vB1&Y_70?M>W-E=KbUwIC_rRyuT4=g(T+?0*`d zL{2upfxwXLyJYzGlw=t>!5;iC?lSDA#d$z&nUPo%Ah?D-pHU(@0T2NKU$O5tuqDgUrJS8RdsLEP&f<4uPJ@tY;WrJTck)P_3pJ+sRYwjN$ zAEKAahAH&l6B!T9MK4n@5GTOmS+Id2$a<6y$Y{+MS+5wpI{M~}57|Z?<%Bh<0uzuy z6lpJ)AA%5%Bh^o^u|bm_DqpWL(FMDhWWv3e5`jj76q0xZE{x-dlQDa)Az zhH+}sHeFjRSAikYC%3W{jLw})v2hp7NK3tReR_eX2!W1v)mo^d>wK(L!+uLVaHgF^ zsagkJr`gaB5Ug&-hg})X0ruOd<6#0Yyssds>72hDR%>y6Xp9Krs0o0Okyr{KIL`Ms zx&8WI5kv^?L9cuvoX`ZnZ|jjKM6@v|k;r$Bcgz=ON6ay|mEcs~k=mL;bKTWSq3<~M z;mB^8$Zj#*1#?Hu59X3}SXbT{S7J-Ce}Lcx5O|DzmymrI2me|@v{q)Y2?*Fo1~d)F zUVvjSpyI919)N%*$exk>&1kyek|SsB`4}LW*!MTnSYdPn zFypF8?Le=cvy@H&M((fYya&U(v1BAgWeg-1U~DzaR&jjm^-6wkjqD zWl%~Opusbd2)PtDjd-oQmi`*YjQVBa)bPxSF$EvEnZm6C)~JmwJ$_C*Ki&;4Ij)Z@ zvS(S{0miPSeY54Zld z_w3zIi~7^@O2?%isUtS;0l6i?C|qqg#nX(8q#*4sEpxT{n^J?4UL+7jNKa>;Z+fTa z6$$<{G;Z9x!TzsLIT}yz_?f+uQZ^+2KMG+6a$y?sN-T_QIPuFRCiY!Te0w;uC6o>| z9QzpL7Oh}GczA^p-iZ+sObj$jE`=yXfRg36ft@%rAea;cc3|I?60L2Y=-)Ylci0=Y zB|yyS*_&PxC-D6n8@^&20nyEw+D66}+$IinT9}mYx(;51Mvp%3TXRKA;=lScXpYiY zSmi~GJ^}8chZS`dIE;c$eXb}W(MTqHEdn$+`cUEQ-=b9F$>>R?{0V}Rdqk785Z7~) zcG++R9Zj1?;Lvp-bj?cZ6wmm5x6Fri;~=AJKMq1?A;y4`^-LjCh}!c9`A#m+OS@P( znzJdKmsOrS>M~k-Ok*TBj7aq%lBw!jauxIFhl7UFKI370oiF<9ddXbY@e%%S z(eiy{ezN350RA-&K0ETaQd=ekimv>={2;s}>t1V*TFZ)rP4cTwX!Z%hLIZE#`RC@k} z(uqi$-KT^tjKiJ zNdbsJP_Ed9_+>=#>PZp-$hjc_uJ`sTU^pN^3mj_+1aFMQolG8)=e#rF9wW6V8;%C?ZSq!onlpFE$inM>H7^ALu9gk+jt=?|4I>3vbr` z+tMQ6ULfD*5$)P8AH3*H0z5>xlb~aug@=Yn_Vto)yO3{VU|e}%Tos49%1a6|lV_o0 z_&|ssuF*&Vl)^%br205&0TbckSy&ho5uvz}eG#JY!UDuDuyrB(+IXr&yGi?0QG0PY zhZN**A5>z@R~;-HH0AZ3IVDdhV`F>vJ_jv(1N$O$JY3v-6HHIy&1(F=sI8^_c+%en zuXf57$}4QxIg>oL)@@aG+psk(^wn0;$O+fB^k%R5Vq+k3Nf`*|qsz5jEDn~_j&CKO zc9Z6gxGZ_}ek1-WIr8|q`)}+yM+bjmMAfeNmHF@CU)6#(w&kx8Tku|f_2k?wwQl^x zB>;wht@0XzB8cb~1b9S;VKbxeY|(OT5;ETL$SvZQ+s_0M9ICHmtb!9m?>c=+Ey_Ny zUsqG@d_Ne$*V=O_zL?U(DUd^&PI=Od21Mpn4L8`@V_G1v0{iX>`>yF{l*GSg!oRl0 zzb3}N_QJRSgWN)l?li#8%O4HPx2Cxv8U7K6^`NG`Hvt4^vhN z9pe-1;aVr(p%y!+G79uaysuZ8+xF7Z*baG6xIxfrRluYrZ~5gpz*o3oY*_YFU1Io0Fz$*}eotX?`nU=iqCMdIb<6&(WI z6^h0mG}PG`XHSgidbvESc?6~I^;Nirxpq9)4nO(e8BHYC9*Lw#qEDvBs>|QoLYoaN zxw5bDNsoz0&J;YWhvT1YH_`RpU_}@CrZ@!T25>?6pMADqf(c-VgTWIXS``{v6(0IW zvX4iy52rq&EcB1A4x#QJeu5PSYq=D49RYqF2BtH)75b#;XmH-XVH+Ov-v+&HMqzLc z*kB~>t1wM+HNWtRHqxAk;C3KVEABao;;@{O?MmYv`Yxl1^OY+XXjm`Icvuja#?Epn zpN6Z#XhLhXx4`;iAipCCj!A8OgWiFi`wmVAB)q|TcZ)ZY_~gE;_0wZJ$XZwBU~F&T zK79<#^YU^3nU-YjczdF-c4%=vt|e5q0<{`q?oQ$2A?dT2z)17Ov)s9p z1Fk|WFO{;+yBgs5o^d%WTW*Y83IA;kT~hKKw?PhY3T3P@V4=0pU^rgjiGM8=@z z@ks5}F!8UA`&%h}E!S}lUBg$O&lSHPAFh!3T9#H{HNJNA))ll3zkryElf>ivWsd>| zPft(J6Eo95rZ{vWL_yb_bm&&9m|qdZa@jDxlK1^$otlPGhzyUX?S_HHH13m$3?`+t zxb%~ie>HNIoNzHcw=zSj5Bz?fnd#B#ao%!pmH98rRTLRr-0I!>1osxRVU~R;FngZK zu<5!md${z7dTjKmvdG;n1leQ<5uZq_7}>V z?$ps%!eRA>#47$HLCR+XImON-1=8aH(MRwc*F<&2x{^NKK7+Uw?|~J~Uf-f}uS}$s zs#<2gZE14)Jn?9l_pEdns=NN1^Z7)*tb9)Jn)>?agj2I7gY0RO`h-eCm7Tw#|0@z}4A?X1G%f8L|1dYvf2%wTV;0g>6WQctjY) z4Bl~|aEw=W;j!*-=;Aww=%4*y+Z8lRniY?#t~_`& z$o<_zz~*Q%cE*&mw{C*co}c6$ZyGbvfEPsF)=xhma`3~ z@f$>5mh(AF-w{fJ(;;gbfuz5NyL%R{mrOIlHr|`JiOZNaqd$8at;ud8;(gz(VFzD8 zN$qd?P3!^{^?11GQ7aB}LH^$pQHj)X6r#tSuMrSE?~7!!B9@dybLLkLr7EEnu#xVL z{f$l4#;(ocBg@N}hIL5mM2zE8s{{IfgbTEQX*PmEM%>n6zE=ik880Vf?js2>{1Ckd z2HHM4oe%HPU-Qc3cx`d18hdV3=1VHbYwHPoM0rrEA0?(|<+`Jr+f&68} zd6azfTO7bQq1Drqpq)lFz*>Gy{!kIak$2>^$YB$}yMseFM!=Xm`}Y@bhYN8#V$M(9 z^gNGm2j|DASX3|scFt=?zMz4AV_d9J#u>bqCrdTwuJCD|jE7D5fgD2etep3N2$Txt zCVv7&oM-A>kLDSr#UY$@w5+MzcyIY~5qHFse5qNYG9qAnnLx2sypP99)SW1j%jqt9WO0MtjZYh$;9K0 zGO%d&PpSlH05$ZFX=weCu(L=WE}hbtA1eEfPynKVuezxue6!ZDM6-iuQ1J`-*JkzM ztqA?lW*+fPavGDE;RK_CJ%bqvQqK* zSwW$c6wJhBTb!{+@oL1z%t|g_GiUP8hPCwTCHj)Kwy#c)m@OGzl^3&1+s*PLFTX%F zJV(eLCz*(NN>+V~x$MBI;f=-Whde&%Q>x1uQMMTU+CBE|yB!m6%Z=@#Vd9|K+BbA+ znk($Q-OIX^E2^aybd?U7t6Yy%V24uOVcvxK0W0|#F%f4UJ-)E5<SnhVD=}cOvAK19^O~E#nXRfC(4MD@yn_)xuQ2~j8kSB<-B7+Ykn=+0fUhen<6IPMdDok4fpC6!AhP)~INoB`;5|EwFk z(l#EddO{iTcytPOc{lj)=M|wj2jbJM-AavuhQf{t+I1U?XIDEwnH}2q(GO`ojtz(6 z=ltOpFcyV>3Pt9>ix|KC)!E)OhUH2@3U~NPqnhQw-{@~6lQo{ABOmc^v6{uJ_GC=w z*&ogK{&vsc>Pz^bsgS_IPe~kKoRXZ?u|)RDJC^&ec<8hgiaFozKR*9w`S|!>#&6s_ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.kpt b/Exp28_Decoder/incremental_db/compiled_partitions/YL_7SegmentDecoder.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..ad6c27cf902528cdab2cdcec855364231b2ae034 GIT binary patch literal 1007 zcmVuG0001ZoYh=SZ`wcg6L06Gs?!KNN0Kwef==HzS9pgU6{9eGS76? znWg1uJdVhE-J`A+`MelJv_m8EYnfJNxoEC_+Fl^Hw?v6IH@P97x3>u8ouos_%`*R) z5ylxwR7_dS63WS)t{(G=CLhzulu&Z_o_x_`@-EBG>d9z$MM!_DpGW09FU(@J(1w`j zausW(?{%e%iH^vRTvw|*{pL(l?=nqgr}2pfV{z&2vY*GSOU5Of!T?m^H! z1e!_+nztVIIn+6^WZ{rHv0UL$-=vuIIo3C^Ifz^7liEz-M=v*KVOJ??r|K-M zN(FVIT-Y`8+NF^Tn@Z7jgSPAAwTl-MkTgM0Eg)%vq(IWde4elxuia7*5+>NfB_>Ra zaB0`WYp1bE+BNY#IJTYA?ntV3u}c!tCg$=a);2+KOq(DpkT|iCOk#Z#WCfEa7P+#K zXV-}o1$9jj8`37$?Umhpz28hQa7de&>rjw3G4&{`17kO6Oqd`ikTU;$$avC<99&1b z_AgsMa>9K4x$F?av^@DW%>Mpvg-MMjd6DU-L6jWb+}wU~_*Pc)r{`$6inTmKiT5yv zcaFDjeL9k3D+R~Wq>584{rD7D|D8bQ5rI5J0;N9$HUm&V1hRIrIV*vUn+}LTj}lOX zSOR@W(^sk9uT;Rfs-> zc|@RS7t4hRY`5#@&A=e%XrG*Lhy;3c6eEH>%ks#F$Pf>sJrb}8aftEAg@_Pc2=}N3 z^AH70Jt9!HvqTq~VSH*KA(lYu(NUHP@i3Knbdn%KlrZ;*KpCPD_NWAkAQkM<7|26R dK;}~qIe!U!d2RQz*7bwyzcLwK{RWNM|3#c9 ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4597 MB ; 00:00:02 ; +; Fitter ; 00:00:07 ; 1.0 ; 4840 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 4552 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4545 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4518 MB ; 00:00:01 ; +; Total ; 00:00:13 ; -- ; -- ; 00:00:12 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder +quartus_fit --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder +quartus_asm --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder +quartus_sta YL_7SegmentDecoder -c YL_7SegmentDecoder +quartus_eda --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder + + + diff --git a/Exp28_Decoder/output_files/YL_7SegmentDecoder.jdi b/Exp28_Decoder/output_files/YL_7SegmentDecoder.jdi new file mode 100644 index 0000000..e92dabd --- /dev/null +++ b/Exp28_Decoder/output_files/YL_7SegmentDecoder.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.rpt b/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.rpt new file mode 100644 index 0000000..c792603 --- /dev/null +++ b/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.rpt @@ -0,0 +1,276 @@ +Analysis & Synthesis report for YL_7SegmentDecoder +Sun May 03 22:06:37 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Elapsed Time Per Partition + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun May 03 22:06:37 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_7SegmentDecoder ; +; Top-level Entity Name ; YL_7SegmentDecoder ; +; Family ; Cyclone II ; +; Total logic elements ; 71 ; +; Total combinational functions ; 71 ; +; Dedicated logic registers ; 34 ; +; Total registers ; 34 ; +; Total pins ; 17 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_7SegmentDecoder ; YL_7SegmentDecoder ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+---------+ +; YL_dec_counter.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_dec_counter.tdf ; ; +; YL_7SegmentDecoder.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf ; ; +; YL_7SegmentDecoder.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.tdf ; ; +; YL_sec_cnt.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_sec_cnt.tdf ; ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 71 ; +; ; ; +; Total combinational functions ; 71 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 38 ; +; -- 3 input functions ; 4 ; +; -- <=2 input functions ; 29 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 47 ; +; -- arithmetic mode ; 24 ; +; ; ; +; Total registers ; 34 ; +; -- Dedicated logic registers ; 34 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 17 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; clk ; +; Maximum fan-out ; 34 ; +; Total fan-out ; 327 ; +; Average fan-out ; 2.68 ; ++---------------------------------------------+-------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------+--------------+ +; |YL_7SegmentDecoder ; 71 (0) ; 34 (0) ; 0 ; 0 ; 0 ; 0 ; 17 ; 0 ; |YL_7SegmentDecoder ; work ; +; |7segment:inst_12| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_7SegmentDecoder|7segment:inst_12 ; work ; +; |7segment:inst_| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_7SegmentDecoder|7segment:inst_ ; work ; +; |dec_count:inst11| ; 11 (11) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_7SegmentDecoder|dec_count:inst11 ; work ; +; |dec_count:inst8| ; 9 (9) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_7SegmentDecoder|dec_count:inst8 ; work ; +; |sec_cnt:inst10| ; 35 (35) ; 26 (26) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_7SegmentDecoder|sec_cnt:inst10 ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 34 ; +; Number of registers using Synchronous Clear ; 25 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 22:06:35 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_dec_counter.tdf + Info (12023): Found entity 1: dec_count +Info (12021): Found 1 design units, including 1 entities, in source file yl_7segmentdecoder.bdf + Info (12023): Found entity 1: YL_7SegmentDecoder +Info (12021): Found 1 design units, including 1 entities, in source file yl_7segmentdecoder.tdf + Info (12023): Found entity 1: 7segment +Info (12021): Found 1 design units, including 1 entities, in source file yl_7segmentdecoder2.bdf + Info (12023): Found entity 1: YL_7SegmentDecoder2 +Info (12021): Found 1 design units, including 1 entities, in source file yl_sec_cnt.tdf + Info (12023): Found entity 1: sec_cnt +Info (12021): Found 1 design units, including 1 entities, in source file yl_cascade.bdf + Info (12023): Found entity 1: YL_Cascade +Info (12127): Elaborating entity "YL_7SegmentDecoder" for the top level hierarchy +Info (12128): Elaborating entity "7segment" for hierarchy "7segment:inst_" +Info (12128): Elaborating entity "dec_count" for hierarchy "dec_count:inst8" +Info (12128): Elaborating entity "sec_cnt" for hierarchy "sec_cnt:inst10" +Info (12128): Elaborating entity "dec_count" for hierarchy "dec_count:inst11" +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 88 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 3 input pins + Info (21059): Implemented 14 output pins + Info (21061): Implemented 71 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4608 megabytes + Info: Processing ended: Sun May 03 22:06:37 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.summary b/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.summary new file mode 100644 index 0000000..91b3ec4 --- /dev/null +++ b/Exp28_Decoder/output_files/YL_7SegmentDecoder.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Sun May 03 22:06:37 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_7SegmentDecoder +Top-level Entity Name : YL_7SegmentDecoder +Family : Cyclone II +Total logic elements : 71 + Total combinational functions : 71 + Dedicated logic registers : 34 +Total registers : 34 +Total pins : 17 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/Exp28_Decoder/output_files/YL_7SegmentDecoder.pin b/Exp28_Decoder/output_files/YL_7SegmentDecoder.pin new file mode 100644 index 0000000..2c916f9 --- /dev/null +++ b/Exp28_Decoder/output_files/YL_7SegmentDecoder.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_7SegmentDecoder" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +GND* : AA11 : : : : 8 : +GND* : AA12 : : : : 7 : +GND* : AA13 : : : : 7 : +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 7 : +GND* : AB13 : : : : 7 : +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +GND* : B14 : : : : 4 : +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 2 : +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +GND* : C14 : : : : 4 : +GND : C15 : gnd : : : : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +GND* : D1 : : : : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 2 : +GND* : D4 : : : : 2 : +GND* : D5 : : : : 2 : +GND* : D6 : : : : 2 : +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +GND* : D14 : : : : 4 : +GND* : D15 : : : : 4 : +GND* : D16 : : : : 4 : +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +GND* : D20 : : : : 5 : +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +OUTPUT_C3 : E1 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_G : E2 : output : 3.3-V LVTTL : : 2 : Y +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +GND* : E14 : : : : 4 : +GND* : E15 : : : : 4 : +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +OUTPUT_F : F1 : output : 3.3-V LVTTL : : 2 : Y +OUTPUT_E : F2 : output : 3.3-V LVTTL : : 2 : Y +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +GND* : F12 : : : : 4 : +GND* : F13 : : : : 4 : +GND* : F14 : : : : 4 : +GND* : F15 : : : : 4 : +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +OUTPUT_A1 : G3 : output : 3.3-V LVTTL : : 2 : N +GND : G4 : gnd : : : : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +GND* : G15 : : : : 4 : +GND* : G16 : : : : 4 : +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +OUTPUT_D : H1 : output : 3.3-V LVTTL : : 2 : Y +OUTPUT_C : H2 : output : 3.3-V LVTTL : : 2 : Y +OUTPUT_F6 : H3 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_B2 : H4 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_G7 : H5 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_E5 : H6 : output : 3.3-V LVTTL : : 2 : N +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +GND* : H12 : : : : 4 : +GND* : H13 : : : : 4 : +GND* : H14 : : : : 4 : +GND* : H15 : : : : 4 : +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +OUTPUT_B : J1 : output : 3.3-V LVTTL : : 2 : Y +OUTPUT_A : J2 : output : 3.3-V LVTTL : : 2 : Y +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +GND* : J14 : : : : 4 : +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +clk : L1 : input : 3.3-V LVTTL : : 2 : Y +GND+ : L2 : : : : 2 : +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +OUTPUT_D4 : L8 : output : 3.3-V LVTTL : : 2 : N +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +ent : L21 : input : 3.3-V LVTTL : : 5 : Y +GND+ : L22 : : : : 5 : +GND+ : M1 : : : : 1 : +GND+ : M2 : : : : 1 : +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +clear : M22 : input : 3.3-V LVTTL : : 6 : Y +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +GND* : P5 : : : : 1 : +GND* : P6 : : : : 1 : +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +GND* : P18 : : : : 6 : +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +GND* : R1 : : : : 1 : +GND* : R2 : : : : 1 : +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +GND* : R9 : : : : 8 : +GND* : R10 : : : : 8 : +GND* : R11 : : : : 8 : +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : T1 : : : : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +GND* : T12 : : : : 7 : +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +GND* : V9 : : : : 8 : +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +GND* : Y13 : : : : 7 : +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/Exp28_Decoder/output_files/YL_7SegmentDecoder.pof b/Exp28_Decoder/output_files/YL_7SegmentDecoder.pof new file mode 100644 index 0000000000000000000000000000000000000000..8d5d4682c3934c8d78e473dd3474f5dd82269502 GIT binary patch literal 2097373 zcmeI*50oTzeJJ{#ajthrjG1YMWOkJ>-CMg1f0mVHB^nT>dwZb=cC!m?61~@V;{qPx zp@D}a#&>dVW@ZO6iwbVD`oP5SM^2(I_nrhK=f=c$9am27Ga=7)<@w|#xkkx}dG}yk zR*pf%@P1X@Gt<-4|9i|Z^6A~4u0Ox}_o=F1{pwr4s@k{rvQ#S7m-@HK-(L=Yulm&k zx8C-v?;e|+9J_GG*8GjPjqSVj=6BqB;9c)}`>kWY`1V`hedEo)GIst2=e_Q{^T+bP zdgD8%{0qjedHb!ucH?z#AKQ1}x|_z%AG_v?v0r-owPU-k zzwx#(WU4=z)UJIyuQ~t1)GJb_gem^QuiSRyZSQ>h^{Gv%fzWzp_ztIDl^P4bl}}$^ z>cyJR|NP|_e>1iFvUF-?!-}*ugD$ofexb1c7j|89!LR<(&;IH!o%!}(+Wz`$vYW5Y zZoXzy`2VI4yl3NSR}H^}{tx#pc)SFEM>jq=yfG8c;X+gLyU?*R#|!6~&;{RvG+fDhCq`u+1AO7&oXFZ!vZwhnp%y)j}SH897 zdk;Od>Br{o{KxUzN{2h0^BS}}={?5?n<{K9N zT(>mb6E8gR#1~$8;R|2*=Zjx|>MK&IC!T-*`@isy&);>|H7Q6!%4BYIpQd5I4DFaPvU-#YJPHoK`m z7$?hH)#aJE`JWd4JpJmL<*iED_OSoy`+xeUe|Y5&o_um=&|5LVm0jx1!bqHP#CvQq z{0sl`t#7?}$;nNdE?8KE>Mlw1zr;!6Ki^Ikp=S6v&${&67hG^byqP7NT~(JC7IUpcRVY<)6dCSc|`0|&p`-v3`wA%T{4`KGr^D5`@y{w~3DeiAx{J{^ty7zkz zKfLqD>Kv5Hdr6Y!jBlTRekdO$&0mcNivN76<}j6PpxeH^efy?YjD!Q{?&k`Hy@h|i z?Y1j__UAUeBDFg-?Y(^A&(8RCrTj@Yj3-`v;f24vG^Fcf$b~VyG=D$+;`hJ*nKQos z$Rnqg4+Y)K`(=TK;D`WX&=<8S;KO{J##Qb8;J z#6R;FygT1No*FGiQ~AEBf%s3Mf1Qxd8ohp@X~4G z^6|88>mZ}3-Z(2GzVw-;6SmgVTAreVDK8%|ZcEnpL=}0GBql1XOkCS#e)FkIXY+B- z!h&RN%5r-=+Nu=C9&5EjE*niU^kSEDFGw#omm4Z8v8HRKb*K{LfslxmDuE{nzlIu(%sUVTsG@yxgg>nuIUA@Qq6s2Jig0$HQUW2`+Nf_8l51RBg$-FZ{*Y1WEhSLf7 zA~k92v=*wi)px$3SSLlJP74#B7$_u3{^%V`JJ{kXZZvRh_xE2M*5&d~yu=eBg4p7d z47c~H#e!`$)V^%}ffr^yWDiN>{3pM(H1Cx`7Pr3oqe!}z=lR5ie-Ea`lBGwpNf*t!sv6kkTZwx!YA1Qh6ZwB_l>(2 zP9ObJ`}18MNtm-j$#^l8_QXOdaimmSK7b()DX<@FJMQ&DJ(f0NIO z87hnnOpGl23F{$u+jFnpT-g>fL;27&5x!v~&+N;F?wQO)|K{*-VSHhYq~h=5C%0=L zHxV|~@}JPTaPH{R31JvnoH7$f{1--%3|cc^%Ql$3-ycZQbn_<^L*n_42MxdB@=-e9 zAMb<7E_Zb{3JVm<>iPbtoF*j8g{9e7wM6?0xv+q{_J^%zVq|20(&q~sej?gDlkE>9 zO3mjt4P18h_ThbDOdp(?8Qt`j?54iVL_DeE+3eN%o%yS`q*7DSKz?L66q4s=MnAm& z`s+f9FskuvVQ0LqD}BR+j?C_yJ1}|uJ94=LAwh1}k*J(x&l}QFD2d0*vH5s*f3hgA z2%2-pvR7rJ)aG=U-qqO;W;5~JhXpRCCi=p72S;SoH;Xd)^I+bOe9Pv+A@rd?(85j$F?ON4Jer<8na-U^=d#;|qtJBrSJDrcUiB;bYJleLQ@LVa(z=j>sw&BO3ucRM~KA+p0 zoqRSbT^7Fiz1cVB_B5S|M@xmrO3|aG9nm>q7WV$buCHY?f1f@7zyPA)hMzkg z&1FB8+kX5tVWu}cde4!}whaT7`Tkxhcjdt_^4I?QWkN&@4`18?S zZ~o*@rpkLnvQ?*2b5niECQ-Gix6$#IpIO)p%iB}3p_KEc^51gVvAhXYC0Qs^{>?>K zjg<>iL;XqipJd^2h8s4*XmNmvfrTwF{w=qK9HrcKH5w$g+RfIPZ&qEnCn)iTuBrL z44*L4a_2v~WAVrq1}cBzJuGBCi~H3=_d37hSC>16If@S-<-IYCD9&rkyLg>}sylt5 z@Ndek@va=E8^)0gn29GJ#?kWg#HH6Sq$wwl7bASbsNy0|%g=8z2w&yW;2=fFw^m3Bxy2>qUAwqTFV`8zF~1L;}Mk8ge9qrEhJjL{>npz zzqzDo{w+`URXRoSHW@x);CL*H^F7pGSV&R%UH0>b2VYs4YUmucTZ( z7rnkR!Z1)WczGe>Q7r8h%ci-k=Ozxv40pv zyn}|8wnlMAR1;NP1S~Jd;^^x(%>Vhp^OnxAmJd;0mb!ygI!-)$WK+2@UgEIu$xrfU zVOwZ6cxwLtn5p#7MDb9`tjBv%6jnslp|QzG{vfXCv+xPKe!SO|-P#&{_s{*WAuCFT zF9!2ahUhQn&O`lE@rF0iKUK-iTIJa%zWMxAC3}t6V_1Lj9vqiRLpf(6UZ#b)Z1_9$ z+4sMDDUg+{jIad`#YLu2ei`Zyh0)FNxLbTqeDuAQ6!D;$OehB>4N1{4*lZ4?N}O7Z zC-vI%-&08v_RTn7h-WETyYbp;y*#N8{^#=Wp_CSH(3N6sTm}p!^@;c=3QHCjYU4$0 zy<~6wxzD8@$WFxxH$PN3mK}(zTAdoo$=k-?oZXgrOZKe3Fs6yP_!laAWZt!9-?gcD z+z%9{22Se>2cFF>?;dp?xEU!RmJgXgU?q0||lVT7}eP)@%!dv$hKzEbGSjD=IAFwD$YU$O$T z$r(bOj`QC=9FC;P_(S>i)_9(;$-X}RxjMUbX7kCJ^yX_bL!mVp@$oQ?Gq2rz^_I>3 z0dr~~KhYPaGWWpf+mdW^s((vzqFEdp7zt(fslhw@ekxg8<8RA{8MyM)crlVsyzI%o z7Vjlt4$CWbp>O@)`9l|MTgoIB_OEs}fOvcp&wljC$a(4fdFfLhUs1v z_)-C{e!+Ch%7W?kP}KX^F58~LU5p+}VE{O6F&d zoOk%LuVvr3^WKZ1d(SBRMVQ~G$Dhq@`EXn=KYdGFCjZFeTefZZ^XPYXZTW`{x5Y*J zD`(>Jc=#TVe?y7-p14%KSSVjMj%B6tKaaM(<@$VWvHY(>@ivs~x5uUX#d7(>;RIpF zUFkEfzxJ$Uf{&KMNG7k(pEJ4h(NL^^{;b~*r%Pdb34a$i>ZGkd7yq<*+CQ=5BXR2R zNpg(J1{dei$qrKP)%fp;|Le9&zPc>UQVDih=M^o(Ph9w4D+}?uWiuQu%IynBnXoS` zEnM?GHg)M^mC{~$DwP6DyhpY3dFz|vim^4H)}_3JIs{>M{cQ!XdJN@bT%(*g$$COO zE*@h3g{Q;y^_ouzti>~5K3>-Ji@PU7mb-5oeN{Q@UzV)pvgd|Il99ajjg{*SF>#~m zEgN;*Qkj85>gL_m`A_K6Xp!rUV&dmMmdt0(WSfs7VK2m^J8}14ERA((pk!NF>QUP? zF_3f_8hGo)pGYR$P61$XB*}!6SvYY?)zstuEv6e%R3;rK{`95K$3F@AV!=Lc4aJCX z^h$cw`!g|+8!C(>tM0^Ye_Ag^d)>;{9hPk+aZ6B)$_Jr_qX~i27~$^k++MTh8xB$F z5r#_O;?~nYbTGLj8HZgu{KT8y{lB+xK{KquxWuvmmPyVQn~X7u|Aw9J&ak$YO*&~= z98Z%mgkHBi|B8^sWRtCDDUXWRWo9hoz+ooZ$bu&dQ8)>o|BGu*nF+HJ#+8h2ai-!Z z>_qt2Ximb05ImEec;uD~UzLjUyf}3xS&%RX;S&m`p<`U8YxW6|)-bBnuYW0Do>-hZ zo}XltNj?^z^EVntAzmN3eT9jEI7T=z@Grj^)<)%j@L2pG#+mGB<)y4UKOv?T|4;fA z=C8T#r{e-vCJLqFxD;A0i2i;_Elyi^{HYLG92p4TLLmuR zhNxx8f(wORx4pQlKeIJ^X7+XQ&~fB7vo*wI^P5k7#c(M7p8M-F$Fm2{iqcWsYv_3P z)@=UQwp^WkdkAC3>5KWX!f+_{O^wfGCbuU6_?ob6-^7!JU!Q$^B*bmskt<|!@s#%$ z_C%NGcSZR)B)sS7w=eI{oE3_#A+)?Nn>lx2DF2F(7aYqDp4%7JXeJseg^B%QHd)@d zoLP?K)H(OrR|xT)U4?vDtI2epELKH9fwb1pu8 zxuZ0*X?i$`Vh8avv*T~SIv+cPUP%l#6~eRQae^S59ZzzAvDty4TwiKp$L!?zXGad~ z%2!wmm*+!*iNfQrzu;93)yT+)&vS!q3v~9(P~)Nw%P4=l|U2 zPS2i`J2w>FLOk^PPzsE{4~NL=c_FrVUTO2!vhU4@GGK_!UhsJ7q7a+iSreO$%Yoth z&Jb9<{$D9J8wW{`Myg~? z>?67CpX6>WJ(|0A>uaLl&2Kn)IKScRZyfmDo!Q^rx$}Q4#Z|8?joeha?2#>JJ+|eI z2W}2g)l!(y@oZIGy2GgIsci;$w}klg?}hIrv)hKB%N_}9;lm*~9v7oSaQdt;k}E@$ z_==KrvX+BF@=vHLqL5mk+CVZv3N+Hb5>z3FKE zER22rPoAz>Q44+A_+1U^HYT$&NpF>nqORA|#Iswzx^iyX?efE@?9wBQyDBJ4R>jwTnb}G)u9w>AZ=4>+9 ziP85}LZGQ-v6B!`NlHKMc070c?=8eS8$>9>MZ?ZQns*<4Wm~lM5`_(Qre629x{cg? za!>h!b*^%;I{aKa{+g)tnrPE~A(R;+nzNxSccRqOMe?f4%=H7JRYAe7uhcJ#W?ujF zhw4W&Lj{As3MJXgz80d0OEnA{1rbk{4uon3yW&FFvN{GYfq3SdzaP#ok|X}uVmvcB z1UC%Qhx98`J~O;Ls$k^;8;k>ur;s?Yfwk`i)D@XfR7{bY9a{oK0r1-Q$0~K;`_; zoZt0nZ|cN9J=lg&gCxDJ?(R!@y`FqcmFsa<*U&6QoBF~LY$}`I5ycVGHe!Tv#m?n} z&5wR^a5Z8GC$hg6N9|(uO3*Gf6KYdt;*;@ZH7!GHgD79^0Po-a&IT*7jn0?v>iSTd zI(A_DS5>zRMYx7_9NUe?#Wh9OcXi7)Hno~0VNdTmZ(Gxl-r{ofdPcf9qB;#ts=p*%cs0`P}=IWZujW3!=3Ws)3|D4lbBtz!R~%! za5VxT^V#cuEH$1)QaTOWHH!0{81JE=xBIl-LhZfRPF#&(++y{-n(Moo zb7P1s7PdTAxpV05KMn``RXGuDD>tc|=<;1XUaLs+Z=TulwcEvo zS<|D-;#_ptux>N<4wrK=xdmRNXx-4`Cf#*mB{lzzZ}+vHy#^`PbQLdL&l?)7ckjY< z{LGx%+q+jL{hRF{>-wzsjjjN8o~EDWF0pmJZ~4aJeeY5ms8<`p3$fo~ z-9hF*eO>n_MYrtlA?$womT&)U?qs^S`(%2uuM` zh5Ml=!~M|TE#D7)FpTb=a7*>%(Jifej)sx|t>dE+);*+H?8>KKC_l3K% zdzpo(%Uz*Dgb-H}}_}-dxQ>{9rQrgUOFZmmZ3jWN|6JSDFm>Xde#uXqRd< z!_0gt%uEeur!#Xf%*I%5%KgOQ^rGF3x^B|1ZeMwmM1%Z#MdxtX z={ozX1pOxBiwiw2!u*S0>b^9%rU#)FqHT6q!;ny$b66*tpkE!7MxEAh4Zl2teua2V z6zdcP+RvR%Z2ee|r^b!8hL+ve>%LWWPx1$f`woZF*~*)eL*(z++`wt4G`g9&;eeMu z5nhJTpNsMGE6eVod_T};F@OHTP#i4ZR~)v6%LZ?+e<$+WX7`QOxfQwLASXWk<(4b9 zVS=6`fR(b++w){{>WwD zjqdra_;%xklJmo%^c-$CzCYY&SW{RN{U%YbW{&$4} z&_b2IHaF_J+yDI53%V~3hN4v0k%UT3zvTAUw)e}ri;z0;;x`-aH7$Fr;c>U+yfsX) zUUUD33)HHI1#Tr&)xWn*y0@T1FX4{(%&@ypkKxdet@N)#siBwPVEOF0yQL2|VXxC? z&{sWlvAY0Zcv@q_0p~yWxmPVdYO$w~$%zZki);N=?$zxu1{gN3+4_S#aZwM&!Cq_r zEtHiC&!t0TucoNTPseHkurZ`F>L>2=hyrql~ht?jAQ5H7Uzcy`JC@cVsZ<-NYO^a z%kX5O>k>_JyI~uq4U()W^=e&c>G}|zoE!~_eciU8Ta93TIwmPoY+`p}btCz?E*HdZGt;bMN*Cm=b z=+INRqrvjD(Ye>6WRtrHR2^*M-{YoM{W_C|H2|9h0OPxc8xE-IV1qRmKc{oyHVah; zRUKSEjT!UETKsoQm(hGA75T=7n{HtS}qI#_o< zXw)&zOqN~@(y#_#(*R(z$f2r(jpXBp2C6!^CU*p{QjAg6!TyG~{;4|HXuq%5T~V^0 zN`r1M!>FXHgKa!`q;AMi)xidPQT@*GzNPA5eVdkDRUKUMJRDUA;@iq-)YTJT@{i zUHCV}7k_zAdSGLAe|~!C!AySh$lz=8GrP{dD*ZtC8P7eEA3T(~I+MF1`Pn&`B%B#e ze*P#Ey?Hi0k~us1IcN5RquJBa4<|p{XCH{iH9opD%JJOrLp7uPz)1ENvXxPW^jrV& zlfRUodN3dD8XOrtyKwdFeCCSckCgtlQkbYTN0k(E@kE)!R9W_^tSD z+gn?2o0|A-+t9TM#c#!L-TGZOTJ6^F+RUO!yOLECoE5!#J>0DrVVfO#jg+lQu&d{1 zDokaMM#BGa}?KU$rrSzuZbeLv4177;S#P1l(CgUHAMn*@&=))+|<*|=tlaYrh zPfy3=4inBKqi!+C{JRhK^kCs`xz_EoFz9eoR?%EN(F8W|}cK*r2Lxs!s&mG)9e9u66fWII8_32LxfB35Grc=(DzVqJn#>wN^ z2eVtQF6`{z*+2PEwy-C<;`+kvZz!I2{^SQIuh>8Rf#O}$KYj1U0~^|%$m|D-ciu3! zG4qz}rq6%nf-C0!ex&f`xslU{(?3<3$&Ak3H8Z*~cRZWDHlNFlPt3+sEaWd6ee>vs zkzAbiD|0uDo-vv^kU48~X8Iq;=N=r6wq~|_axyC2U)WyyXmrkGco62H$HTYqT&b8{eEZ(fn--pz9$x42 z$e!a-7_53w@0OVwSovbr@W`|Fx51i};V9erBVa1y@NOCJmZ{mCnzXLh!(Ed_YTj!N z7r5&O3V657$EG@dBG$rYF#N(r2Ns$;|6Q;3{~pL5EA$t_@-}%zjCae_+a7kG*4twO z7RpNAE#uuX-Yv7ZhppjpcX1v%Zdk9mf5!{DFn|SKkZ9v~tv2anSH1D^HfFCuk~Lk$ ztM%gP2Fup6^F-hO)C1jGt?*uh?qY*2H;e`e#0JF%_mu7~98KR{`1-wX`iJl^hly}= zb=2#l3IFuc1{+)(okK3vbtJ)~3B%g!^3!WB+~s853)~UhI=!0TTg;&&p=F8Jv`CZW zrFGvI)4{V}y;^433T@RAuW+xHX{FSle>enmedvvY_(g&Z5()TI;uz>@{%sqyF0I#@kY>K4CZg8}$YYkACFI z$&>dKL%qZMLY>3O?6&)MJiP6`$96oQp1i5Fb#iZZ^1D&#vS*`GC^eT>>3z|m662>r zCB~ZikDXRxJoufc^p{bc*x)Lh&$ill8ustysFUP=t8zv@|KgWk-EbCL^;oCzo9@Gk z7P!?WQPsbf4Sc=cjnPxY;U}u_I%`~0>Q#A4^@**j4R)~3;}x#jU(_KNfwZ^jH)R`d5>CAG-0#-tF&I zZBVtr#a(MnuTL$`MQ05|&;G)Z&W5N;J@Lid8~0bWch=a`q&;-H6Kv)74zzkMOs@G& z#Xap=>v^Xh&xSW|AedV#J>}z|2o=Jdqw;QlhGecel)uD(381vEqvjU`1eYaR~`&S$1P8l zLR9d`-t3Lxo|`uo!*_K;d#e;@ydlIHcZWD*G2AR&jP82sx>u)G>$J9mt*|C(ujMHL z!Wz)_T6<-0Zz?NO^?cl2Im-6BSm5@Yl2knwCA$w0p7_QY9n5A;(luShtCA1(Qgtx( z%q0)jfOOEhUaK^$kNDuoZ(Q(S!?AYDKq$7Rif^0UHyW;LPYvGL_f!4hqIPQhZTX>a zy=H3QvccQ?!W#hR9vFRFW~e`OX+Frl;V-)X&%BX52Ek)HNcHx`Q!4ortFIW;!; z5{M8c8Q`j%miPSj)ebV=AmmbYT zVH0aH$o!|T`@q_5FsDCUzMlG{86LcH=H|N=@0SrCtoMk)x_8NiS}#?3V~35sp3|?& zX}S7?ovgJUgGZfAYI$1ao8=x&+j`xxnvT3l&t8h`6VHC=@g}2g(Q8eYcvbSDUY_7O zynpAbS^zcfy>55uAM%aGV}q*_Z~PZ))mi|4ZWyqD7y0 zM-?3u9bEnBV2cf}aqlGmTa9z_)QK0r*?2Oo`mE_esMX9h>aSqcNTyErb-RXFW#@S3 z1?SZRHI^y%d!{N;DoqDo2K zU)@zHY2V?AzSIMA4;((0?TfGA^cs@5^oducRwwFk;nV#;jG)7(zq}&9X}d!&H!Y@q z^IvicwYNRaEUtmR@LsKl!@IS1+?Brn`fJasd9l`g;nv5e!mW?@y%cVJJQQZ;^I>KV zg_)UtEPDQ_@O0Z1Tl(hs@@7@nuuE0fJ_Prb!3q3Qcfod%X;c&OOwWJAKQyyMKnono?Kgnqd=|8S9Jd9(S5Mh4-L# zeNCbKCcxUwuif@_d(z^D#dcS5ZMw^Mb${k@?7;S~{%GH?c;(EtPlrd5l^@`%Lejrl zg`}=4N_*MCKl2ir&wl3AS9W^#9fF-J7eS4rrbUC= zo??TwB2C(RJ=`@Jb@N_pcYGHc6dP>5ZC3T|H8T+#titT5QEagG6NH~5%hSxtXU8qJ ziiW+{ZD!JI<-pVfg=2FAr=1cWCUNKB##6SY;}us4IiC336CGdeuODxSZ}MpIXtKsP zB{qHl+81u>zG6klAi6&EdJ*dHJQp1g-;Z4O-RPd*`cbVp*kx^(hM7#u9=%i?9Dd=V z1J%_W!^FC*u(MttX4ZY_Xx--iEw`u!2`q2}n0lRiEJ}7A?@z@QlIqP+d)?M99vXJU z)u_Qdzx9G2>-rF#)SPJ#s!pn2gLHL9*;c0>H?^MMcDmjlW!8Oh@Rt3(Me+aEt5CfP zb#@{=d2iXtZ!Ztv37J8U6{lC_8A6_A)>hVCNu*@efmW}FyACF`Jn0%9-@7i+#6gGd zk8f*A-Dw=K(NiJ2zpXAgJ6^sGbkMe@Md=RavpQ+K!c`rt7U`t9m)nX}9rP~Lu&vdp zcNd@0cCr;NOB+fSU564>9n3AW?5JhE=KdWmWOZT-T&>ZfxwoQZZ$XFdi-T)=n&P(N z7TZ*V-o0&V11VJp8^E^gtm>eugD<_;pmrTfa9eTr*NWFPkkT@vYLH+}5BCk`sb%M0 z4|gpm&>+DYuHo*h4u)r$g=-I8e%5e_2Rg1Kxcb4tIX0#M->I*gG+Zk{jyactEPG!)t|~H3C6=ME@S1^Y$|R^x=swFa^YVgH8e0ZkhH{||m*Wha(nk^jaJ8dTUIXyo!yD@)Nz8L>}F+Z3j zoEu4g<}+6mkBsiijK@F6GEwp4nUT%2$I5V95{81))^K5z~b9VA`&g=(Av!|sW zPJXt}J`j&>;uS7}yMm>?4kEav5QZ%s*_*vF zzIVGAfA^H$7oGdvVs!4a#ptf1pZs#=ex@6DpG@!B@?3gy=gIWsl`~r>uZ#zE@3#o< z%3W-L~vWbYO7&Q`K~Xry7&8FVfd8c)YSM~W^#La zCqS;<25okTGotbF-T6XTqN&-(i?c^Y z!s8C-=I$LmHIGO89P0h_6`fNIiLbo;soImy5KN&`nj6aNH zb~YY;7{zol_ArKI5~)5 z0PmYUWAxq)8{d-ITAEMadBga|%v-YOWX`@izbBfHCLYdacRdz8T-f!MxxyC@4;;DT z`jOlJr1<>w^nDK=Zg(R2FvQ2_4n24{+FCmCm5&X5ym0FNx#`m$8J@l>dq;oz&Ns|# z%v_Z{Cp*5YFpwQerdXKWKR0{Yfsu0B_syL?{hHy?&DV~PJvg`NG9 zl`K)Aczf~9!n+Y_y89?$ zbl|3h(E(P7-E@+AdD@p@-fJITz6msGlW8@37ws|KWLt4ymY&+?u!t>qp~+hkfzd6xH-X)X6?-X_y(?z60~OluiO^A?#_bDw2> zWm?NPTC~WtS^!n|mT6UMv}l%TwE(K_Ez_#jXw@v!Y9&(Uzf7wN zqh+H^t0mD=0-4s5Maw3cR!gF#1Tw89i&{87CBcshAuYNp;@#dYtG3!7KHM@U}y`%SmI zrae7EvMEo~o@;vaYdU95dOAsIf>YaTovvXwAiDv%c2jQD-s{;?J5Pf22+4Z-UOrvA zzp%aZ(deAX)1z&N9uMEbbERT-+o2uNw&};B=a1eLo>OyvcuvjvH}1_ovgdg8>|}UQ z&17`P$;l`l^tc<4>)fd4<1Qr^upOoPY1Zpmns-mvU!SeJdiV6_!waM*zZ;b(NIYIi|~DV{MpGZ9}b1aO;1feT6!{fvQ*rCvJ{?iSPIWL zEWIS2ad<unA9_S%YGUYODSj@a{Q*{VE^CcLU^Y_Nm%RGoC9@5R@= zvbsY@%{?!FM^mdx+}oz+-P2W+9X9s*6w!sUQXxFVIa^y)sxDQAae;w@!bH8i}elQvR!Q@AyOAp26y~RcNUTN~mgQ3*8<*8E5qp(AW z@lzqhShEnv*CxbxLztP}VP+~1=6>qBSFhR&Q#;%atCEMzpzBD2cTcb3(XVP9cGTEw z?%&bGsuHi;!(G)fbkw+p3+&Y;Q-h-u;cWs@*$OQNL&Jr*UqzubB3!1f;AWVfaP;MKa& z()A(Qt4qAPWN8;}-K6GVxT>q>VEMxMy>I%5ReWxL>y58|ig4WN@#4s;)Y$3y4GZ;` zu65TQkGriW&?tqXWFwh6-4!M4pfu{#YtW(lYsG82GfnjuVv*v+V0fQLYHq48id#F( zolb21Sm&=-kEauVhF`eoK)I=#ziV2Q?q-I=F!2gkb+Ajkl&XWO4yrmhHZUFDhm~4( z=iiAJzuE0hl?uC6_t$I{G&*o!)5CoS^SLbP%Ww^=I+&Un>&wKOL5CqjRR@>tJFQyc zeXG}Z7dN}-c>Z&rdsU|;`cOfj&dru5E<7)64CA@@lwoXkq&OPC>ThN)J(`Kn8pdYQ z@!JPe`Qr5Ka5$S7n;V}Vj^91lYLF)``f^CrfC;l&4;}w1?v0dOff1daYUD4(Q^Oh3V?_6CKT%4HekCRL#{pJcuzvSAn_&HtF5t_7F~;#`<&Vq5g34luu=cb7KRk;jzp}|4?7t zaX6RCOebBZv!{*@ggabM9UL1;4-Ut}We3wk>GA$BNc_ya&~GM{&rFYvMsdIJck3nh z#Kr3FJ}<*l`R88rAwYlt0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!Cu%p1{V`mej)MSZL@=y=&ircf9?VUpMx; Y$|p3OzkSDrJ9b_)nCcHHe&($Fg61bhO9YN|DQUGdAO%A%_n$3zxmYJ&2aeT} zNUdn^N|ZzeG7m4 z?Q8ZIUw!>evNY%BqvYZjU-|4WeD?a6KL5h!f8poNMeFTmtbFaCf3`+p1MSN`sQ{Z|dyLjEs$ef#@wzVZDxzw!+l*7rX1m%sd#pZ|^5 ze(QH$`_`K;zxMTSzxvvDKmFHUecgQVd%ykaSKj#UxBuE}Uw`u}uYc9G>+_rCp&$M*Z*d+oaj z{!5RqfAC8?5uaT*U;KU=*;f|Dc?Im-@Cfe5tU5x9Pq zb)qoJnJhl`gmr6927WZhc#iF_%rUOEH3KyRH3M@qV9(|absU))z$3dwgdRkemWM>>Kzc#1NAwExG7so=O)uYjWzTSvK_C!f z)LjUIX#+u3X(IwWj!`Fwh7mzj?g`5N1Wh0tV-m)I%VKvAuFI0vgZGi*Q6+|P`hr^L*w#bYEq0bWd zSwt|}Dm4S;G7z;yDXplGRA*dwD{ua4ZmP0o;50H25N1^D$$X#=WRd2NNMwd`*yBkk zU}tz35d`oef^ZfQ_3CJmNUGD*%|KG@0b_{@NgW*5U2XnqdaAN!-~=)d5MxyA$$X%W zqBeguEvr*5^)OE*tSu=1#83>3qD)wYPP)Bobnm>L? z+R)2mnD{-*Vgo=H?M8k+6DfJl5Q)9pdu^ts! zRQwA>_hUL$EdJ5acj)yFUDhj(&=?cHDNvDqR-1SfnR43Fa#XB3q}YnqEO`R zZA5^-8^x#+#KVXn!adyhg5VA|JSG?P$s?UJbRpPIdTWFbS_iBq0ZIft`H^?)0|ZrS z23DQ{hw;;VzyCEUnGb+SWYUjM#1g=ixNy)WQ9zl9Odr>DD4s16f__LsTNO0}E6hMf zC2Cobx_gj8zz`5g^=8zn<~TqJ!ix-oeh65q)C{aV0}kWwuafraUQ1DJ{#sV5u4dq* zGQf>ly17I6(R`qdN8q{F@wg0!6l?x*j2Xj-AlqQ-d(FV;4EWI&g$$ZPJRKT`?WowJ zDmmKxT?T@>6aR-5@0B^Y{Zq6GO6 z2Ttk2GF&A=^M=O1Nb^TDZA5JzxROOPWvI=yVC*F*+hks(0-lJ220_c! zw`imxXI{^j$Y$%DqPcaM+X?*ii1F7>ONd{>Y3j2{Rkx$D<=tddV&W8c{`~xDzub#x z`X>~!b71R7L?nPlg0kk)9{3v_2cuyhKunc(-kEAV$1cnX>wEvsi!46l6 z>&r;MffH~3ePgTont_#MU`q2xYNShN5D0|q9E>xj96(fAzWGOCwKHI(xuu=n1l^MV zlXv4!egty{V7JB@m8f|MP}b(JrKaj?22Ldd(wL>2C-Z?i6oF?D2!xm%*b*0l5L!S~ zDcJlMdw#19?3R^3UBq7~!ZLxxO|YpZNd_`1F|i>|Rci#{K4`ZBDCA%KXdgM3~3FX~G0r>Qx zBXpLB9;YPnUD&>bQy9Acq*YEBZZZf2LJa*2ucT4z9qzVhl@nQ!#yn{7UsyQ0MvV?h zsK+RpHvMBP3SlkI_M#FktD>)plKOkEvldJSfk2Fn*N-`}HcRUGmClMZSb*jTK|h44 z8&HY3uOv})9PT!~-LFXVDNo8_0^1AO^3l*e zz4p(r)vmO~9=tp31D-)35VnbWtF%VxryK>~i3mL45Z?!j3kNL|1nlUL?evY~RcZ!S zn}Li-Rk<+`?q&R4) z68&4puO6flcQ&~*2H=VC^brCMMYBc5gdz}QEbOb)46Hc=wfV31+izAoavJ!m2QOc| zG-d}CUsnh0aAU=GA`TkBGYAC2HW^b6AgXZlHvxw^MseYwRfiEn0IO0nFd+kWf=v;V zO%axeB%=}|JnwMbJ<1>u2pLQD%2jxOt+KD^li?3D2>KxrCi zahMyc6wAOJd5%_WJfn!VgAcIjuae&0CS5v%Kp^Bws@Ktu%pn0gNfb~fBGZS%A)bT+ zb`k;ytqQ?`Dm4RZ&%l)CkDPH)-DdNLUzt9}6uTHXP$2YD(lVDK8^aUEfGbwXfK_zs zQTcYL0q?_Iv&9|0)#iVgk-A?qaB>+~bMs&7`R$!JNy-dGzXxu+6DK%lkx zpUT%&-Vj9K7B<5K0wH8WuhfNwHX(sB zk}HBBA`9(?ULG5wNeKEOI}XKZY6BmUki!NFWGan1CE&Q&rXSICA=r+E99n2V=&>M$8TTEd3j9k%Ec82B+7h(sp+_(UuLOvBgO0s%A;>DJeD$fFKL zGdv6jDuy6+RcZ#-o`H-?)KVmMms`pxR>+uI-CD%&0lNrVDmh>$V;B(xHdSf{R-OTe z@pDTI*omqH#i|N7f9G&`m_Q(e4D?D}IA{|RC==o7<9cTE$GuCHnt^p^ASrf-d_felt5&KAJ ztD0I0BYYi5i^)7hK7r8FMoLmMYUS zKu^h+k)?iZiANja-P_x90?Z>ixH1B8PgcW%1{3>sjAq! zodLb=a+QR>;H69V^zDd%eSj<}#MT8Pgw_G8Nq`dY^j2Zad;o$f(=yOzf12q$E1XnS zY6fZs7R*4ily6(!aYn~bok|nSAP@*KIk2g%F>ZXl6CL--{na;XQUbX^PaufG;%%;+ zY$x!ON4}YCIn!{lCr)wPH}L!^cF?zX1NU4Rm3SCUAO&8dFG1NxrURKn)F=Rt#L*sJ z?esCG*u_ZZ1F%dLkSrodSCwKJxEs&WiVbHR(RT0|m8jB6;bssBgqR%I)M8J|8PG(y zkI!%Z33XM}46G~z8I`DIBtY3-_ht|+(|5yd)xZWs6;hBDclSKfe@1en_BE?tpb_|mv(LbNouO1W?*Cn zGAdDH3{akU^KUSQwMtWF;EO$sXwSVeV^}eZG-m1M$$X$@N8lL*0wE>`w#0=XgccB0 zYV%jqQk69W6Ecudi5hEw^2D2e`y&eO{~8PidmDOrdKvt%W3iz=8vY?2V0ima<{Cg* zqCG^^-n8m2B7%O%j>9zIAGQG^779Uz(csW9fj|i9>D7~* zgapt?Jnc!QEDmup8tfzl7Frd80}xrQrGMp>EwKAetDFctgFqm}F|hE;gXUoUm#)k0 z_B)*wX&kb6VLO_HpdXS_kI}q523!*RxFlK2^#Q#fS$QS(`J|CWRAjjq(e$spf)jMi z+AOK#C-y7S$Z{{~>M$8Tm^xZk<;oh6LAjF`%5Ja@Z%-$hy zU7dT>IN&adQ77n!w1k+!GM*K)^XPNZB`7gm)5~K*imr0?C?bcv!`(WEpx?)K`ex4h zSu?Q83}jTInimHxDlvmVAZ()-ds3@_CnD2Fz#*Q5#D#;FXNZ6u9fBiOY6e!Gfs9I2 zGsH#pD1$&C#3a?56nlUlrftg^ibS}yA7&8rLx`3xqN>yktU3c3T~p1EgSIw*H8)jx z0vVvkMpf2(=U>13nt^E`uDrjH5|4l~Jm=~`e2^ViZ_ZQu#4)B0 zo<4F2k_jb%5~0r#KgW2EZ8OKX+SUvt8JOGr>tp{UrT1OY|4jCVM;>mNztYzX)C?TU zz~&g>rjECN=U0}z|MF0-QdNhOE%oC^CkB?>(Ov*a_dC5e(0keQ({Z*pgYhzxJxgmo zRn5PBXwjy8)4s3ttzrN2pnwo+93G(wLZ zJQcCnzz;jPH)`mW%CjAK4gu~lx!LM27~$beAlT`OCz1vVz~dNof@l~Kg#W4Ek)gMa z;H)bR?MRFn1Og#DORwC>0gc4c9*<)G^{~bRD{=J?9S{~-t>vLN#=-i+;_1?v>8{Pf z=-ubbv`PcRGYAAi=DG_(2rZ&WuqB_?E?NTN?`2bF0#f<%SkLp8eeE}%A3dJ(mr|}?wl*%_(#N zZ-8H95D;V|^Hk)6W)2YDf5Amsm3;>Mesh&ubjQ#Uhwb4)>Y(?VQdADQVFG~=GSI6w ze+fmrtag%z% zuV;5aa0V_SZPP33IckYqT5&laIz;1Nr1=Mm1h0jgzeNa3$Q?QTml%5Wc_SHcU>)w= zs$XvYCWAmA#1!aNr1|fEz|-ff;b{#~RGF3mH_dFm72iJ2XQ~XV%fS0jJ#GHcuhC!Y z{AT>D}V z`xhw%@3rIp%UhpN6W+?&tV4HqK=t8iah=T@%N9L^5#~+i;fA-7g zCOnPiU;Gcp5!aoTzj}3Mo;rW>PRDqI!G%u{`S0$V#tpiqzTQ3OhZMBtK_Yu zRl>Cz0B8$*i;Ul8Zpa`I2vIoDBrXIYw1B8GwfVpD#$WKp{Mk>MbNDDGy$LGjp@*n9 zK|Of$OTT(){NHYj`C5s4o<1*kSO4_6dE)&i?6tYrj^E$iP;VkK`WH$MuKsXC|3;?U z_%bR{3noC>p86RC3}G9+*pnM~z!P!MfN*s4|G$m-=DR;@{r~WX0UT=+_wKp5{7a2_ z&OBb*(A)8bOJmLN(dLh4-ua(s_8eXCwogAkcGmu6)D9z~64l{Km(Cy%2r*F*%QS!b z?u~!$Z#;Q!{@KTOcmCVQe+Xy|@{LbY0)FlC-2O-B)cyQV7a4OX!ZLxxjkb9M7FV0I zGrIj-JoVhU zdGBfa1irnMNrMMpziXcR-1Ryyei|BnLtp%Z-}SV$6KTxS&6D|nq0y(nGYAAi3=S-b z3qc4iAgb^TwSR)cnt0Aid|2G^{0-`WKe^g=eG7h%H|$$%(3A1@#;Cy#dc+1Bhtd#} zg%WU&jbQ?T0IIqWgzZAG9f>7Bh{!|R;EiMS*vX8-0?Q-Iuxa7lH-x~$80S>Ut_QOo z1&%~QdG}BNK6>a+lg)cDMCdFJJx)pDyRdx=r!aK?i66~(aFanG5MtBAS#ShLBCeBc<)>(zNNHZa>-5;%qM}vRcbKG+pQmr=|HGFS=W!HbU{g!|5 ztTADO6jBP-CGOlBLx1;h_5*XZu90s?``^&VpIkn7)%?xQ=rby@gWhj)-Ho~gC3C6X z=qgu_V(+c9#WU2AIV+t|encVv@fYb&eh~T!<$t6xzx~TA9Qba?|BycanZP}89v`Ugn6l{0HVTUTwzjgfTL453Na%T*{6XEG21RUZ?NL)B*d4>qsVTgIr z82e8@?62PcJ-fQjheS}zv;X4C1Y@^Jfo?@&@%OHuxV$o(AFi?ahfmTq-{Z|I#=PA5 z(vxCO3#o(NZ%R>R5D0|Kxq5SY_ugA)i%UC)AiBW#5pEUH0UppPutEtmX8+MM${W7B z^~nn~{5D0{A5*LDS zT?n=lk?AAg5XYz!L=ZH8^OKKkjHAyr%9Z(~`N3Oj9CC(FSZG?{0#AwA?4v9guB@d5#_U!wE>7v9M=(Q!BfmyrIN$cg4B z8*A)K^drZgbkf*TMpcqjV#4i6gV(J$e@q=ReFQ`h%@#+7f#&~DKVtsC{j`Vabh#6! z|M*UTu>Zl84Pl)RzwFfGSdak z|NSfT$p7nhI?3r;{=`!Ae}^GIRq@itd_ z6Ev6jn0;@8bVF~NK|g#<+;fHHj4B`DcR^+qoAC?cn{gJ`6*;}~^<$j38u$>%_c(C3JoV?4(ebK_+3 z&ljrx$q_7U_@5v8?>qoHJe0jZuOhSEq`=X^zZcE@yMO8zKpa&`Qb?(5(nyyMI6O=s z5JF1zI@*yrBw!~Yfie-9J{%75Bowfd5IATV5;uQ!Dg^xA%Afi~$4d|I|;7HOas? z8JHV^*wL)d;J=96k?{;25-w;%2Paj*Yn-?r>_97(YUsH6Fy#T~w#V)OUg=Knum z?wUZ<3l0aExj*w4q)aabVE#{W)&CG|h5o4@y1*i$Udawd^33jNgFpNzdIdP>_O2Y1 z=5H^r0teM@M8%%W2MmoqPq_KN@#6NnCmPTF-+5GKRi~3p)HSWnP+WX*#!SK{6Ss#w zGQYCl;jN_D1CB)V0YjtDQ*8bRcmC}oTZ>WQDgb*8z3`9V+p8px9rY@4LlA*mz=jC~ zLdb?*sS68jLIPzZv_5kik%bm>n8yZUwAe@p`XPX~l3~M8`6P!8c95yDPGQp@Rs%+c zU^^B%Vxd9M9vfqZj)e{6TZ1v=!X90DrNwpMnoASF^q?Va1_49B0h?gOBt02$*t8bI(-jNA?S3rh>Jae{FDC# zmmM@^{0DF2*y`kq{Er-d-+Z*#;B7Ickwlx3c;kNtSD7SX)7HUQ`%}mNznA~Ub_joF z*Y_|RI;e)bCJ>2m^LGx1h6w~h$Uv{$83WKnc>35JDfVPqd;jm5w-9La-+zK`Th=Gx z6K733>i4fkm(JbGmLDAwUF|*D8a?yWOQVhNfx%~fxE)0El;4jgsS<}{Q919y`z>ky zR~ZBhfjL)i?1B+O>-MhVt$t9mr4yb58*qKEi(xo3{5HJJ`nYHR7Z=IchZ4h2WF|rGZ%K;Zb{;O^C zH-E6wJAeFBzgB+VoQ?m35jLjJdBxKq8oPNB`hm=8=pmNY$w{u?zx%5R(I2)Rg-B z7NChRe1G-L8fnN5M*Tki*+&q(F}8i5`F5h6V9uyFbByQM@~!I}jsmvb=T8Ob@+ofM zo~yq~q`(j33#7nn^d%_U$aEks)F=Rt#L*sJZE5EgyY2%lQ)Sjuqp40|x1F`-Fo-P@ zzIK^+4Y1AL4OC>_*D^Wy?d0`eZvU%(8I`DpBSn=#AP{15U{i}dEoVRz;Z{Gn`CEkU z7yH_h0WG1JeC{j}Y9i3>ppEg-7Y=C7uuDi_IsUBoLn-IQ^h zQHh$P0Og4{|8`us|7);?CxOVRrx*QcoG}&~YK#0K9bh zDntZ$9HUMU4I_ep0Mg(sa+=rx5etPN!)S15m_Q(e^z_P&9MDKS?a3%C4skLX>|{pK zEu3gsm4j7L>~>WYFvmjwR$LZp$siC2vFI)YA+!&D>~g#JPG_AKhb&&$j^;swf6O&; z0U6C*pYG+p12?UIT-?D2#CT-omDJ~xMwW7swcIB0o>2-wjfI8tSP z25>35h+Hn>^}adHs6=(hTvU%T2n0e*QoTvB2l!#yww$3zgiHHj27wOw^b_#1Uispg zaqAtu@xQSB_wH*=f$L118C_FNQ+xiP65r0ejz=XzxOxM2qGC^K6^KM+`uHOp8YT!T zy)*J=u)k>g6evr4R;-?zU zwQJ@QZ0+qI%;P}=TSU-B3&^i^IHWQ67g7hk-2{~3x7z%1-@x|{lbipK=A8OSfXCYs z(k$Wh-udjd(0ezy;{%E=SLQbV(|hcH=8mW69wVCBW9z>yn@v4T5QY!eqcsCH12>+5 zsD0eHw~m7{ce@?JTmH)v@|&1StBeM7`I>oj+dfsUK+L2!TKdnHHiHdlChh zBLP(44u>*}U5wZVY$ppy77>iLN~sJqrHD?EXE*qaXT|F1xb8+heUg`~#Ebyu6enx3kx890Fq1jHB>domxW zqmVA0K_C!fx=YP}qmFDP%0mBDKXid5q&<(OJ8q_%-Yd(b*aL8#$nnSlZ)J?7tq? zcwi;2{-FcHlCdfZL-(IA({JV87zbw=1Og$3*5<}^0ey9t3?CqCXNuv*7h0V1 z<}taTPaf%2~Z;F$&b8SAAars)DIb~vi1x(B!F*Y19p=60Lnz< z3Aw|eVFG~=GSDk^;h;@OpiD%jk83&<&lU+mKO~{8ikg8HW*{kcha^OWq-90w?m-3t zLqH_e8@XVF&^pa2bOCRGUt|#UL%>p{W?C(B^@wg0!6l?xR<}3$i(J-Qs;%Jqcfe9J#6Ksl@G(}iilIM0*4xjy1aZ83WKnc>1{RzpW22ii(|$WTXjgRb*$tW+Mv&H--5o()HHFM80e@oYIA5 zxJrWN4UK=1=8tIFh}t|1&smPJQtV z=dY$^4NAAvATf7WsTrslSTY0j2Pex2{4r#C^j&(&fdOPxqUI$)S)0F>nyRZAIF$_OZ8y5BR)->8I)gwU#N@!1G3E63wt~%nvFEqQ z!Tpf1)G*vqj_;S>j7ro79H6YtUrSBZ)eM|U2Ba}dH&5mRbtnSQAP@*KIj|)z1R=D5 zs8X)^Tkw0l;VQsl1HWfsDT#(&`}IVy)0KuG77D;UHiiiV0;uXj5Vi}!b|jYkY)Ipw zZSaO4E=7|>0mKnWY#JCuvz}T3B&ixy|89r=@v5XUw9>rB5_QsocJ?JK7uw6nxjk1Bm`v?O`HBQ zT%%YlPRpWVKSp%lq1QV!-{=dcr2gLPz%vL0LUV@hLJ(0DspD6Sk#$-&A2LSPkI5xC zq-;>e!>ghkn$Z4>X48;!L*K@ij}wRwvTSWK*Jeo_duy&NeNFKBDNo0F{TOi}alywi zAr?=s{SVk`S5jFVw18(22!w5-8|&*{62KD?c)%fUh2p|N%frBSm70N?fxZl6RHACi zbvO1uRU*|JUFGUgsE(zyrxK{FRarBz!VKtLGawUE;96Q7lvIiSt>ae@;$vr%J7WNz z2u~j&;1I_sE*!KnLtv*$%|OjSPX_e18#PwdlIt$Fl*5jNtM|zLMqAFRV=3(@MtvA6 zK~>fa)C_Dhpm)uHgHqsIWc=>1i%QHO5D44owVISO;EBle5palO6c-L!nIW)KrDmXL z25eEb5oDVY*i2|fC8|tZcaJg%1VT(wy-BeL_+i?%oS{gBOZ(wef`qXu#$^CMTrDC? z{n~OcSe$`NNPkUCjz;gA0eoDE3AZB+Ubo)-F?GoF5fA~#s1E~(8<4f1{x^`)O1Vr1 zq%rpwk{TGp>z%)vp8KrCDYJ;+a<59wK+V9C8Nd%cONcM;G=P#j*@!F1F_)m?gIwt@ z1R=D&6P1l>3E$RZ&Jnrb7Pfa8Mq_Q(Ta^{0#Sg?a3!j& z(xo#91VT(wy^eNd4hh&vqJT0HnLZp2@gx+mlScq#m70N?0m^_h=Kexb^ThBR0`Wmi zQoTv7I{0DQfE`U_`bdl20e_T0Bb}~m!EQQY*O0TfcD5?10^z*Mx7F{54Pz?G+hX`V%(7#4a zN)Txp+H=rwCkxn#8XtP2D)RW+;qWklKnNM=mAY`yCL~ZMBHj9$4tdm}Xz~aW#;T|p zSZfB7Vt2?yR7hHCr0#M{8N~|euhq1iw-)hxz%GK0W7LNMSe2TAngJyPbj4yE^ii=V zg#<(*-29!xp^M8;*zz`7i*J^q#uQ?7-g76}WkzGh!4!9~ekpbK?E+R|)+7b^o z#Jjh*=gPZkDTfOwaf-&jNb|?kA=5`XTO`9sA}^y@`RQLKiIwFpWI*qlQ6XtzN|VjK zjz_UVqUhVXe7Q5Vy^Lzm44G8=!B1l)2 zVi~v_&(VqvX9`h9C91SixETZjAtnblwb;{g1~d^V?WEW<2@=Mts2Nym1~Mv9OHF{X zz3$B*Uk&DVo%E%&_rtUPf}79H3JhfkWq;mbAa;1n}35bRGF25#U4hq=iaQ) zt8wSQ6C~X{nGe)730yk6Xc7W}5R(I2KO!OlG?Ci;lay3N&A`MAWK^QY9H2b$=HLE^ zg8RP)gTda0UY=eCKkQg+sE>w!NCz0cU+#r99(ECd_@D#23qeFAAd2KA+CxO`O{?x= zm>^=qPJ_3|CZPo)779Uz(csW9fj|i9>D7~*gapt?Jnc!QEDmup8tfb=04fq$t>v1m z^@UR+e7i%doCrLFKpiu7J*BW+P{#Ey?jk-?f=GiIEO}P! z@tu~v1Qj2|d`+*^g&_FKwuKNh74Ft?j3WqA%BrauSZ4+@Dp5_0gBF#TK_C#e(Q7rD zasW|9rjLNb(QJ`Npt4qF&A`es;4$8eN>tOrb@wQPKp?~<)tl66fFGtk-uxdFF!qNFD$Ro0yWY0OdEjT)<(Acp5$J%|rtD%Sk#oxl3MROO9l zfF8XqBX}lPR^+bSftMeu1?)(HW9LvD6a@EgCC>?^*qK0l>}+yp48RlN=_3RjN?bT- zc^KHPQaS^^G+{1ZlMB3A9L~T+1cg;t`fJs}6ACF2)Ew7cZYhTy3s>)?-i>Iaw4)0Y zeHc5Rg+F{@zHGWC8(D!h134Lx#_WLR(hAs-0>{pwI4A(mAP@-K=(U=ZGvJBH^bv4~ zV-yz-S{??rtCY?_o9oh~IeZ}pR53LJH3K)8fz1ta4^p>(=QoHLeK2V`0TfYFQ8}f- zWDp31m@*q*P@R%e+FTr?xZt>Y3Hpeq>DSl^7JFR2*b}Gt+$jJ2Ddz9n^L+=V>jO-q zVowSwA;;zCm`l(A2K*QBNThll_M%!%q5vWiOdT?PI2;;D0F(KGKo=2=wo17SH07vH zlqo6pfPqAnsE&;5F6RN3_#h^!-pGX@m^P?RNh#Au9AiE~AMyJ1c6~#RM!eW89!0U( zjwjCn-iIp@h(ty=|6Q)7dL1aEVo#z-oI)Yfhr`|k0+^K(gtLfXzN=C*FfRjo*NiGr zb-;C3o4=Z#s;n6}feZx17!`XmAE=|K&0kH+>XhgLOUPmmT$dQ^&G}4T?2n0ez7GzO&^~eE9#FB>L`>%&J z9(ajMB>*ClXjK#k>kEsgOJ_z_UWxGS&danAgg2&zXAlU4jCB`+5L!f$%3~_k20yvc zkfRuL2~x@_ny0216|nKe|L7rJRz+PnC5_vk?-y#xAP|W0CTDFHjv{s3ob6Ynk>y_c z*QiMe5>2$MioS44Vq-4PWG$HM3<8FLNO!>qq1`m8SJJ#~tfvpa-$e=NtHZDlmEu4- z-1x!)T6R4q7xc*^oilVH*iL$Dgb-Q>tR?|U1byU@w*zEY{P5v>e{``&_ID(+R6lDM zhXn9#Y`{)3A3&MNm>-`Utl@(s;4N|CpiQEHG7*_RuIW%bTjUWWj8#!Hu+|J@RHBv| zsk;Xm1PlR@RBuwNX*p|-1C$`Vm`DKoDm4SU=LhRegrlM3mA2ie5`jqMJ~aR0_t8m~ zs-kA#PG^7{vvhNZaHGbm#V_#O>v&8kkYde0j!_@R)@6OK87P8MydQ?T7VWl8G`FPKl=Z_6 zX)G(wz(oX=Sy1T5gCmvrzUB>$f05=NC>p%lpwnVc=L2Ae#19?pJ!X54-0*?Ir~eH{ zTj}q91{^|6x@J^J>L9rJn+yVh5L2Mnkts(YfF{DtfB$c7MUxC8F|LXN8E^$SCQ(f7 z){~r7qnd%50VM;tW?V#W;79tTV?>S5^$j!NKzk{kLBJ5UNnJ2PXnQ9*2NJ;L>RU9@ zkP+7rAB4c449yldn_(hBZ-OTBjWC;d$2`J=4)wbFwzbV|J7j;BH_Ck;sgkz9553`H zQ!Y3&2n0fQ4rFSvr{xT2B#4Gfd;c%KS(6g<&j%6h$eq^DNSh+?P=uwD>@u*anaHU` zElUB)c5cWlWmF<$M6GTO4&EL1%QXK3=DNG446OYR9#BF@FOk~<*UpMXnm+>J>0@s5 zx7w)2>v|J3o@$)M_UjvGQ_-Ca_|EofH#2&-mYV=&d+KKpFobR7UO?s$MhGn+syJu? zA9JNIMshO%mMS#^E6+eiC8`;c!p$HM2r)UZsl}d_GoXo-YW_sL*z?lg!-~eT9{#t6Pu4dp=G9Zmvx_L4ms6!EW27y3`$$>3#Aqb%bM3sWgAN(F~ zxC*e?!0%ajBGk~U{ZVj(jYDY&Vxa`wV`G>=Ab_ea1Yx@nY)4|r4q35Pt4+@af@b&_3=19}uYll=!7d+Y%|edq|C<)OzZNqiT!Z{ZY%?muaj z6Nc}Xdtr^N4wJ6DLJS#evj}AGaJNOPocJ?JK7uw6n(cBgqB$~*Xrw#BX&+6S{%N+e ztSrv%GQq3NlGXAlU)*saY6MA^SKi$sw+e#Mv{)5+TsW)Sp4 zn7Tn3^QtJ`PSPmKOfy;{@V^7<3nc5L!f$inr#c=NylIo4Fa`h-w$2>zFnX~i>C4ds4SE(7O8OZ6~YL*#8CY!wP`0=eHzr_1uM!lJDh$sd z5Ff+gp8$n`x~=B*jMz)&HrHr zK|ds-tUIGJK)*dLBPD-rX{HaM_kwsjWtL^zBeRehAk<`5?@qWJY4E!B=8t(n=7xX> zcoNdD9tI%viwW!}$-OxJCyl%s-LnixW9}~`b;Jy>cm8U6?z3{+Hx?KnuH~xK4AcxP znStq-P4lAm^UO8t03~-a{R&bun|29GOuCBzA4wEYCL-P1;Sk>k2kayS4q6q010HX4 z<#ap2|Xk^_i|LZgYqpf;C;Ajwz$K$+WZeQQuk{HPA&s$ZvIO>zr7PDNtwYf_Ap|x2d;PG z%PSQ`08wrJrP5h>Y6eaz18Z*n_?|4@&}ai_9c%LhcQuZoiTe9jn#@0WXFjemg*WG$9x0U4SzbQgLQ zspF^jE7J71$cO?EmS|ZO>q(s~oWjukXS3O_1@rO7n2cprS_m=dF7zn&4tdL7?N_Av zur;Q^JTSaQjSgW#swNUV2$o-yk_%xV5)rt=p(YTZ{NTU>8BhF=h-Sf`Fh(&A`et z;4uEE*rO^zv8uw&-#Hu}CJ+cA1HE!*3_ug%>En84^Kb866ZWd88CX*Wl45rleN@g` zI%@ORvQl*?lL30}RK+;tAS(7`K2Wp7%|C}gd=OIrTjIh&n?wO+BHaA<|J+722|@q$ zK|)&AZC0-`assyPl&g79KQv5S$+2Vki(Ed%G% z%;sAy^=nHUd5Cv!Z_kx?)lv=@QsNYif05>osY9j@sbtX%4#wV`_D45x)oQBIr2AjA29&5LB6#fj0ZoOy^nQtgKQq zP&2S#2AZXO+wzVxt{_#X(!??d1VT&>Y*BBGnv&4=PIL_4UwyMi8ghmYL{UUewiEct z!{1D{oN2h&6Q?-t8+iT{JLucHfqSluN<54vkOHsKm!NDT(?L}1ax=~440t4t_V8+_ zk1@qAM&bi3Qw1c82+~!hW}rj{whs|ADp7SNg_}Vj5Mpv*%b0QiQAOqksbtoSnGaOz zs;n7USq3sHQOihx5_Okb%G@zW)GF8$anK;>F~u%MGG&0Jgt!tUQ#dfCR+*E5J8~y6 zX9zQFZEGuyS-N>LAE-VAo zs6@?wfbztffBPc}?*AGL274QNd3qWAuw${I{)y!e=>Wsqe=^qq${LTIh(LVM0o{cl zA`%cq@)GSKqV}d$cM%cvLv|dd0spWK5V24QGK>a?h6w~hNKdbxS+spBV1 z^9*SL*ImQ}Y7{MmsV}LFt*5Rn`m~%>X@mTSo9quB_ihO6gv}$kDX2Ki~)EeJbi?KLx~FqZE~g0M*ArPuqu->;3ruXFlq{L6rjM#w1}Xv z3QK>jI(R}MC4!pcy2~x)uwzLJvA>MF-igkE1x1xXAP~06m~wh2I+;EK*fNVK-+}4+0Mp?@O2{!U$lMTcc$h#Sgp}%aw3FVE z3N2=(L@;&8^x<%rC!v6y%qZYvmcY*T5&hMSm=H88H#DI2#Uvcvvk*o@>8Mu!b z2pH6G*HnisT{?q6AjCAQ`6H4$(EJew3&L4M)T^UKA~{v3cjQiDq4aHUd~5SxDE-xK z&A{Rr2#8>%&406a_7@DG(BPMP7_ry`*9E__8*p8l{|zLyQq~NdLMsKJo#y$Xm46G z2>KyAj-1H55wzP4y-SRlL-(y^{?HM5B9=6q?lij|c!{fj=zy?9%c?k7Usya{Iy18J z3PbmwFVjL0-k27iK_C!f&|L^ZXc0v!kEv7}{NzSSh+@`?R7Y z;|oMKyz)xw&7T`t$|ZMM75FfI)Y>em4p57#I?FFa6k@4;0{NI z2?RpOK(8a$+5!PI5t$pV>5#*d2n43Q3Dr>h3`X0Yg9} z)f>5BgwQ(8DRcpEfL~+~^h3Z>rDkB|8E_bXf0dwERjJKiO-ohQ44hI1^tKz#2ikC? zOXpt4<4PS;toa|AvmBg7!-z(Tqg84KCS<@*uqk5F6k&--9QOLFXNDj+@jAeqg%;(pwWZ9UJ;rVToEImsUI<9C}3KU!?g5iUhBA z`iNu97)AsE%T%#b4d>e3k<)+fFsl8X$$Gn&82AP@+-3iK+{{P+LXR-dz?VoxB5 zqRO-kxM^ndt@!qFK2v3=8K@bUmI3;MlVybd$fdLlH$KJ>p zU^@{94T6@dZ_&u3_zWLlDUr?AImm8XW_N<=e>{i3c3MLG5>8W}O{%&bjV9JURO2~zVUBUNJCzbl0wE>`wu~vKx3?8+{);`oMGlshGJ_9G4Z|(v_>cj5Xq6LxM#)Fe=0USv?nN{yK|f?iIPIfp(?892mX*cX zUQ}eYmUn2r(HBlh{k><5z%vL0Vr-8KK?v;!saMjx(pixvUAg5ugop_GA;s-bAP}~RZmh3+NdQko-~orY6^aW7tvZYtvYoyGu2M6w+6-h=qM947yHP(r z5tCGJbd{?|p*rT$J~C&;#m+_nl%3YN;1FOowkt#v4s^XxfqVjJYzj_cKJDc1Y z1Moz6`UnAscoGs94%(#H*=Rpy7-NB~QZumT4Crk)>db0-xbAXGIqX={LhP?q)iJ~O zmCTyf1;=${K2WKvay=Pn)-%+Bkshg%qs{+x@B9anQV-P(^k=~KAG+BioAUH?oByK> z0)bHY#hU-a=mJGt)aGCQ964ab4_AxGQoptw3>FugOGw+P%Q~LY{2hgWS9^z@K_C#e z$*fhtBf-=m(?`Ie%wiWKnKEEJRn~s`Pt9AkyK@=Hs6;hpuDg2YucqfdD{;y!BAC0Y z)C|-NESUlP(6fa2@=gONxs%C7s)LpvCu2Jixta_(G)y26Lh3FAA+)^{opftQY!ahR z&<}yF$J<;v-A*w1kLQ}XIh$&4ry7>E+mxltXS;!WF1Z)vVh;!>D(56VKp8&j$0xFr z>Wy6JQ5-tP)FIPH9HUOq@8ghq%)T1~E{Vn5SfyA7N<2rqfr`u<-`wE0_5sfNtE9KL zNtezb5D2-F>UFdub4b8W5(Sir$n@cGh$o?dorJ(at3q&~O3lF9Gmz0W)f912-DdMg zAToW7DRwb(pg`!Qq-8EeHijpR0pA?9xjE`#W;=X1b3@3LM;@ijq-iNzpi#vR) z&HpeXb-!ldUI$w& z1HKs3NTOyWz6ZYI=HEWDwa5z^A@&-2VIhKe;S?w%*$_nF7Kerj1VYG$Ua1QUZ9)QN zBv%B%^I8k-hF%^UqDct)Av+GmX=(!>k&wd%3S=scIwjz^*rp%RbRpP|g&bOFK;2-G4Tl{X#82(-G%#0N20Pj8uPvk>w??A3lnnvYmx8q5Q{ za!YO$2(*=k-W|5^AXt7)N-l(fNJQWchlU9RLdZa`BiGsj0W=Zm*4K2%qYg!r8O8Rd zsY#ftqGn)i8AyuVAp=n%X(^Gq%PnOTD`ZTqZY|>XfL#O~$CxpU2m*pC^D|)QQ%qyv z7B<*)k%2`W?H2&We03`@7xROOP=4JpaRikXvIzcpy2m*pC(=yOzf12q$D}0?*Y6fZs7R*4ily6(!amE#->QtIo z27y3`$$_oEDG6=wM8`dBfA!58X~-Eq5QW9tTshfJ;3toKGud*cVfTsCOsYBN-RDnp zct+daz&%$+B_4(uNP*YrOHj6v=^!e0xtZp220Ri+dw8|e$CzRlBk=*2sREKk1nH_$ zECVH;quoG7=8bP|@LT(gN>syIA{>`m|_`3qc4iAgb{6G5^kArLW4GffZ#SqY|}j1Sn6u`8OED zOz|`AC!05uEw_eq?RV}mT`wZ-xwnYt`{Yz_yK;%#n`G(I83Y2M#5p7{W6G(`ABn1R z$1`A;if>cKaYiL-jslb?-u&A~wz&UmFc|D1r=DI0KkQg+s83gaNCz0+{*$=|P}X?t zLl9y-?5w$n1x{HXQAF|^x4fuy`fQW@ckYO}9G)y26LV9}jBqt#O zG!jpHk|~QroQwuL34w)Hh2Q`rT2@706=e(TzSAlvvQSF~fk22ucOeL&edxOmdHbEt ziZl*cys#b3gU0OR0zp5738#I&Jk4yr)#LdT2Miz3{*hHt4lHQ*N#kFj53I8y%e{!E zf8`aNpkvl%NgY37WGxt3?nN|5h7paFsq@xmVf5~Eb14h8gePbou$~TNEZst=D{D-H zip*G>h2RHrb?#B)2>SgDf__NLiy17s%DpCq$9F1Mwq-9tiCK4{M?vsZxLe0D>IBg+ zBFJ|7PT8xbW?)4bNQ*tH5)`W{4q8-V27y4>CUGGM*M(p^5t%*$4&mxsH2uY%LSS5F zeg<$Ux`@z~UzOPz$f!is2iM)B3<7}=Q(B1J%>(=}Z95-8kqE;djwyCF3ZR1z61s@0 zQZumX4Crk)ASYajYJMEFD5|%UTLme0CJ-NN(~odn2(}ZE>En-ZC~@JSO^RKNWXb@n z%8D~kFCOsaXH=qkj&R-O5QqKTz|jnJuNpT;(FFl$v@B)r zxuh{W@N#Jd>_~xQ=TICJ1ov+()ciw01RP_=Fk%Rdt4zv(pJY|Qs42ivfC3F{5kVI% zEYg_!3n}pkD8p~H`D1qC8EU!a?~{bwlA^gKKh@1zG7z^!F0Fv!OMz>-a8LrCK_C#e z$(V9_Cpx)z2w>wF#f5`b9YzcRtjeSew27Q#InyZ2w6Eqh12qG4GjOYSyWL>R-0gN5 zbFLsGJnwLW$sy=IY|d zg($OVd=_dHC;&Zt1)1>S@^jK9DA`H%I?(bQ60nm*0c9kZI%N8AI5bSq4}tAuL7-){}vNp(btDK`RB0okLlq`6Gxs z(EPi(KsbvCrtKJM7DXgY711Ftq&T<^knS1yLy{LAICGSv*6Rt5sXU1#(67<&6J-p~j=cJOq={f-R1bp&T!X=q1c%ped5*;#t! zMh<8smiBlQ`>%&J9$1O1f9Qa)M9Zo;SYKE?T{<(e@(M%upD)uw5Z;&;odl`US;{42aTkFY zZ^o?6k~(hA_AAm%THHlO%G`NtvoL!1d3h!awOnTqFa*~93$G;WzZ^Kl?%T$C`T*g( zD1nSMYIF$5+L>aw@r4$rym?G6?W5NW0)~Jm<62uFieH+PNI)o$C(B^@#xHuV$J`^oaNvw8b&ly9Ia9_Fd+kef=v;VrU*+! z;;`3WB`8)^xcNJW!@~puA!MLe?u-FwB0POukGaxkBMCI2t%~dn*lc8B;HEI&M7rLZ zn8=rHhW=GpqL!FcNzlBZ@h{T+5ltIWn}^IPhv%ty&e6t|tFyVl(zrWv`j;M7(2J`WB5eWW;sE2O;n$L$k%rW|&AYyAw?R z<2n4b(-PvBaGLsTQq}EfYhD*EWFWDrnVIK+g z2t_;ZjKCLzhGI;Wh%&HQa~5`kAFdMDm!W_I?c9(-z!0{{m~sG7#X$?g`OP0pRcZ!S zoPmr=RI?*ZHiJMQ#N@!n(?P%vck{HIaWoNzFW3B|klGnA(%jMxa#&?X2K)@$dtkb~ z@y)12ZL9&x+WfWDR9(%$sboMJvvl)hK2V1u@C*Wh5R(I2;zAHY3y3NOoBv|ZZ`Fa_ zva-zJ!!1WG?woAZjTsrps6>rDKv|o=mYS-o890>;=xsOp{Y)K-bmRjo`qk-8hW)q3U07*C=Ed@lz@9|3=;?hP}PMXY!`y}4( zZ@7OGO=c7phFgxT$Xj^#4Gwu2xkI-*f+V{h2lU8yCi@RE_Sge_`p^+N%R`S-lK3uc z-@+*j-G9<5Ck)>&_re-k9VT6Qg&6u5UP+_aJKSy2DkuJol8>OxgJ!$ji)d1Ue#nk+ z+DFr-f12$qD~q$esK{z9@6ddsFPxJ4d(RkwXAlU)*d7;x5ZVt?ucUdUvm(vrL&nJZ zF*-p%gxMRE@$kwk4DEcrXf_QwH}q|M`8a|2AcodPUw9>nqT_J4>8+8qU_RwZ$?M06 z3yEvk$Ci(V?&-Dv0bA`#TkOHR!#>~{1Oj23sJCACk^r8Fzyl8PeXzK2(5l0TA(T2G ztJDmvIRhD$sHTVOZq$!Y#3a=#7tj5*syb%)kvS_ab~Xy2Y;V|A?y9UASXBm&R0)bz z6$dR9m4EB_)r0uh+2qa`fG5J!M+i8?laRP@&?d#sM*ArPuqrhJE6+e}{wqHL>hM>b zfsC%HX2(H`CYwPZ5VpyfasW|9`gp+M+WgfdRpkw4z}_JDAoXVO=%j-gm8kM^-95@6 z5C}0z_0GNXf0#kg4^hWdm020U4_AxGQoptw3>Hmq32D1%S;x|t9eCAS=ENbOc-?yQ z$J8OyM?eHT31ti;hQN5Lto`(#nzw3q=Q1FTxxbLqm>Hh?5HIXlqbzOEW)%|OWvtho8NKcZM96O9mi z4ZW~ zAb8N=Z#K{A0Tw0Up*N;~Z5F^|vFCeu2EhO%<{i1WLHd`FcZxfWmQ~SLMM-@=XUqqr z1$@8U3u^{eUZFWdccDj-I)2)i@9cbj~7q@(LY1f`~{6ejxU0zaq_ttuYPefn;@<3?D6TL+=h-cn~bVCM6fbKqMk? zheN{z0wH9e*O6;&fdHC_bn9z6aQ8Tcn3?#+wF#4#RwRF_xuVtm`P9_6%qh7^0@D&5}*WOdaE#I zJ^(?LX&Gp8YW8C<9 zCpw1jufAE6637L50)b`HnrH(at1UJ?&I^De?naqH3KWlKt?5M83|Cf*S#483}G9Y z4rC5tgwO(_ih~yLbhePr&NIXS1S}=Ql^~hI(VL(tWUI8fBX<%D<=(b_Gb&MyPYO4K zKp@2Az@`>^TC0F2!lhlCf0CN2s2LcUfs9Jj7z30i-uxSkVXe}X8TeukBieJX%otY8 zB8^$Pc`_fU*%5dKfk24Kfh}<%2%!Z;mD>E(v{Yryz=RBBRHDWjpgi&B-~Nb#`@aT* z!QO^mo?Zq&>{x85kA{Cp2N>S|leq>^)_Ckh1mc4Z=q>~ik$@I=QDT_m#j0QUifrVCu-~dEcYw2Hk zWee=S(<&zd&ma&8aSSZH@}Mz$+K0aDkhkCItVrXK#S7cfBn15sCY<*9@-(ygR*&aX z958%9`$tw@Ik2GJCygxSBFnvqrhnxXoSiDVLBzAP{2ET?istA!hH8x311TY8-GE#i$eXLs~-2U>VPf*?IIi z=@OI}uIc45Aw^fYdK8gE-r;VYL(uPIJAE@}{j3>SWd<@TQO%2k7L}MmAP~0Ei#@4T zz!Q<_Bj6BELgKJb?_*W1}kTz4Nc%ea*nM3@molhzDk6 zWp4BLZ)HBj!(}*xFWm!nqAUI6LLG=i_}(D|92zDVfWWxQqzw2;Rt1cj0vrV>a0V_S zxP>k(a#!A8NQp;48J=_XAU?>Bt2gJVec~8X2Tvb41j&RFK#9=jh@WFT$F`YcTy1Lx zk_^mk{`IkclG6LG=zk`A!y^wj%wOqi25JV5Wngm*a8t+Izw;|g-hX*0SE;JQNtd9+ zB-g=w@jTFeHOB&%t8dXb;5n{|(?th3#Yk$s&T$RwYZ8jwn+0wB+|zn_7-XWaLj^m77uJ_{0mqFC#FR7>-H3KWl zK)`s{+5A0*-u{a>G(wLZ{GMg8fgg5oZ`9B$m1jHf90L4W#m!cC!3Ym$0>MsKJdrd| z03OGv6GX#^ApB4LjtsqZ1ZQ1oXh&kqAP@-IS$gG04rnBn_IMQguZJ}rSc$8D=zy@u zYAp}FF%H%j7EhPXOm}S-M(;jfrd1jko9Yp<82`v;B%RlNNW8HD;JQT2@70 z6@{Vu&&xAe3+6h5fFU5zT`)puH_hH#XX|ZaJ?#SiE=pkR;x4krOv_0uM;c#famt&= z9*WEj*K|Z;bbw_>vDgn)h_On|z}?7zMHor3J7}Xqg5pr5 z?jB?iFa#u0y^#w>2(3GG$ol~sfL~+~^h3Z>rDkB|8E_bXf0dwERjJKiP0L9sar;(f z)fv#+ZZscg`H?Q2bM?SJKvb;xADOcpoJGUnDB93*v`WpugberzHbqRDA}kS!!(M-t z9BuwCgFqnUD$px;#sD-Co<6SYoqv*>+fmrtag%z%uV;5adTZjQV?+NcEKy73(u(JU zLyzd5i!}d0k>J%%A90Ks!-ybYnJRXw;as~ra{A95Mzy~)8E}YXM)Nlr1Og#ffnG(L z|Nh_F>T_09>RGF3mH_dFm6)yLx)C|-NESUlPsmLNif8%r`OT{EBO_f#0*Rlte=>tRo<6vtVDO zA&A8u;2s;p1Ofq6bs-4bg`4`Zy;B)cB8 zdK5Sk2^o7R0G~c|gwFEN6XgVZZ&UNJ`2Y1w?p7+F6?C+LSTdxJ6_UU`L~ozE8yR$=jmzKt&*ClDXR z(As3K&C+qW+w|7RIxU~_q*Paj$?zf69#cWoa-zH5YXKf?IP9ZCWe^C2Z4wuPa9s$t z6G22RJm3(wLY-g$0^=$*11rx!MkT5l;<_94;}bDS^$t%tZ8@usG36lWBXib~`9P(v z%9??7W#CAa9Buyot>ae@;sdGW>J8Z8IYbZ+T83ad5uQFmz@cG+0SJt%)C{aV1GV|D z`~;}OUvUQXwi}QUZmeo{9JKY$U(HQb-s=q5rAD_Y?|5$Wf0RKW5DK3!-E(f-)zR{e z!NZJ42mKJ0x+=3WfFG_Fk)?iZIT$R?z$K*Z)MXt{Y5tBv!0XnVKc)_uJ^~`(3zUpu z#1I%ym9?M#Q}b5s?py|>G4~ge8Z*P|V}CV0_gRTkW)Z=)T$Q_&fu{H$p=6HE;^&4c zH3KyRH3KyRH3KyRx1NF8{BJ$w)nUy*&A^?=fM4oS^kUQQok)ABAiS~or!!~tK~Hnf z8vIkIbJO5o6rKB?f9eF^0?!~22n<l<&FHx_Z8Iz5`j)TGsHzXqG}HFO}b#FLWCOLN(tKv3X(YlY9Z-FLZFChyzR zlhkqs9-Od;-?Va0Z%?>?#k{yX^Njfi&(dj^_!7m#|J5w^|Mm~N>5(7)f9qjL1`akJ z{d04x|7Z8~%(d&6)%fh{OKdwK>3ZpI-~QLJI}H{(8DzZOBy_hWW4F4o`{xhae+1DD bN4Dv!+ Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_A1 => Location: PIN_G3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B2 => Location: PIN_H4, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C3 => Location: PIN_E1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D4 => Location: PIN_L8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E5 => Location: PIN_H6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F6 => Location: PIN_H3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G7 => Location: PIN_H5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// clear => Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ent => Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_7SegmentDecoder_v.sdo"); +// synopsys translate_on + +wire \inst10|count[2]~27_combout ; +wire \inst10|count[5]~33_combout ; +wire \inst10|count[9]~41_combout ; +wire \inst10|count[16]~55_combout ; +wire \inst10|count[24]~72 ; +wire \inst10|count[25]~73_combout ; +wire \inst10|second~3_combout ; +wire \inst8|count[1]~2_combout ; +wire \inst8|op_1~0_combout ; +wire \inst8|op_1~1_combout ; +wire \inst_12|a~13_combout ; +wire \inst11|op_1~0_combout ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \clear~combout ; +wire \inst10|count[0]~75_combout ; +wire \inst10|count[1]~26 ; +wire \inst10|count[2]~28 ; +wire \inst10|count[3]~30 ; +wire \inst10|count[4]~31_combout ; +wire \inst10|count[12]~47_combout ; +wire \inst10|second~4_combout ; +wire \inst10|count[7]~37_combout ; +wire \inst10|second~2_combout ; +wire \inst10|count[1]~25_combout ; +wire \inst10|count[3]~29_combout ; +wire \inst10|second~1_combout ; +wire \inst10|second~5_combout ; +wire \inst10|second~9_combout ; +wire \inst10|count[4]~32 ; +wire \inst10|count[5]~34 ; +wire \inst10|count[6]~35_combout ; +wire \inst10|count[6]~36 ; +wire \inst10|count[7]~38 ; +wire \inst10|count[8]~39_combout ; +wire \inst10|count[8]~40 ; +wire \inst10|count[9]~42 ; +wire \inst10|count[10]~43_combout ; +wire \inst10|count[10]~44 ; +wire \inst10|count[11]~45_combout ; +wire \inst10|count[11]~46 ; +wire \inst10|count[12]~48 ; +wire \inst10|count[13]~49_combout ; +wire \inst10|count[13]~50 ; +wire \inst10|count[14]~51_combout ; +wire \inst10|count[14]~52 ; +wire \inst10|count[15]~53_combout ; +wire \inst10|count[15]~54 ; +wire \inst10|count[16]~56 ; +wire \inst10|count[17]~57_combout ; +wire \inst10|count[17]~58 ; +wire \inst10|count[18]~59_combout ; +wire \inst10|count[18]~60 ; +wire \inst10|count[19]~61_combout ; +wire \inst10|second~6_combout ; +wire \inst10|count[19]~62 ; +wire \inst10|count[20]~64 ; +wire \inst10|count[21]~65_combout ; +wire \inst10|count[21]~66 ; +wire \inst10|count[22]~68 ; +wire \inst10|count[23]~69_combout ; +wire \inst10|count[23]~70 ; +wire \inst10|count[24]~71_combout ; +wire \inst10|count[20]~63_combout ; +wire \inst10|count[22]~67_combout ; +wire \inst10|second~7_combout ; +wire \inst10|second~8_combout ; +wire \inst8|count[1]~1_combout ; +wire \inst8|count[3]~6_combout ; +wire \inst8|count[1]~4_combout ; +wire \inst8|count[0]~0_combout ; +wire \inst_|a~13_combout ; +wire \inst8|count[1]~3_combout ; +wire \inst8|count[2]~5_combout ; +wire \inst_|a~12_combout ; +wire \inst_|b~3_combout ; +wire \inst_|c~1_combout ; +wire \inst_|d~0_combout ; +wire \inst_|e~0_combout ; +wire \inst_|f~0_combout ; +wire \inst_|g~0_combout ; +wire \ent~combout ; +wire \inst11|count[1]~1_combout ; +wire \inst11|count[1]~2_combout ; +wire \inst11|count[3]~8_combout ; +wire \inst11|_~0_combout ; +wire \inst11|count[0]~0_combout ; +wire \inst11|count[2]~7_combout ; +wire \inst11|count[1]~3_combout ; +wire \inst11|count[1]~4_combout ; +wire \inst11|count[1]~5_combout ; +wire \inst11|count[1]~6_combout ; +wire \inst_12|a~12_combout ; +wire \inst_12|b~3_combout ; +wire \inst_12|c~1_combout ; +wire \inst_12|d~0_combout ; +wire \inst_12|e~0_combout ; +wire \inst_12|f~0_combout ; +wire \inst_12|g~0_combout ; +wire [3:0] \inst8|count ; +wire [25:0] \inst10|count ; +wire [3:0] \inst11|count ; + + +// Location: LCFF_X3_Y21_N11 +cycloneii_lcell_ff \inst10|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[2]~27_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [2])); + +// Location: LCFF_X3_Y21_N17 +cycloneii_lcell_ff \inst10|count[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[5]~33_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [5])); + +// Location: LCFF_X3_Y21_N25 +cycloneii_lcell_ff \inst10|count[9] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[9]~41_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [9])); + +// Location: LCFF_X3_Y20_N7 +cycloneii_lcell_ff \inst10|count[16] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[16]~55_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [16])); + +// Location: LCFF_X3_Y20_N25 +cycloneii_lcell_ff \inst10|count[25] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[25]~73_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [25])); + +// Location: LCCOMB_X3_Y21_N10 +cycloneii_lcell_comb \inst10|count[2]~27 ( +// Equation(s): +// \inst10|count[2]~27_combout = (\inst10|count [2] & (!\inst10|count[1]~26 )) # (!\inst10|count [2] & ((\inst10|count[1]~26 ) # (GND))) +// \inst10|count[2]~28 = CARRY((!\inst10|count[1]~26 ) # (!\inst10|count [2])) + + .dataa(\inst10|count [2]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[1]~26 ), + .combout(\inst10|count[2]~27_combout ), + .cout(\inst10|count[2]~28 )); +// synopsys translate_off +defparam \inst10|count[2]~27 .lut_mask = 16'h5A5F; +defparam \inst10|count[2]~27 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N16 +cycloneii_lcell_comb \inst10|count[5]~33 ( +// Equation(s): +// \inst10|count[5]~33_combout = (\inst10|count [5] & (\inst10|count[4]~32 $ (GND))) # (!\inst10|count [5] & (!\inst10|count[4]~32 & VCC)) +// \inst10|count[5]~34 = CARRY((\inst10|count [5] & !\inst10|count[4]~32 )) + + .dataa(\inst10|count [5]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[4]~32 ), + .combout(\inst10|count[5]~33_combout ), + .cout(\inst10|count[5]~34 )); +// synopsys translate_off +defparam \inst10|count[5]~33 .lut_mask = 16'hA50A; +defparam \inst10|count[5]~33 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N24 +cycloneii_lcell_comb \inst10|count[9]~41 ( +// Equation(s): +// \inst10|count[9]~41_combout = (\inst10|count [9] & (\inst10|count[8]~40 $ (GND))) # (!\inst10|count [9] & (!\inst10|count[8]~40 & VCC)) +// \inst10|count[9]~42 = CARRY((\inst10|count [9] & !\inst10|count[8]~40 )) + + .dataa(\inst10|count [9]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[8]~40 ), + .combout(\inst10|count[9]~41_combout ), + .cout(\inst10|count[9]~42 )); +// synopsys translate_off +defparam \inst10|count[9]~41 .lut_mask = 16'hA50A; +defparam \inst10|count[9]~41 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N6 +cycloneii_lcell_comb \inst10|count[16]~55 ( +// Equation(s): +// \inst10|count[16]~55_combout = (\inst10|count [16] & (!\inst10|count[15]~54 )) # (!\inst10|count [16] & ((\inst10|count[15]~54 ) # (GND))) +// \inst10|count[16]~56 = CARRY((!\inst10|count[15]~54 ) # (!\inst10|count [16])) + + .dataa(\inst10|count [16]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[15]~54 ), + .combout(\inst10|count[16]~55_combout ), + .cout(\inst10|count[16]~56 )); +// synopsys translate_off +defparam \inst10|count[16]~55 .lut_mask = 16'h5A5F; +defparam \inst10|count[16]~55 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N22 +cycloneii_lcell_comb \inst10|count[24]~71 ( +// Equation(s): +// \inst10|count[24]~71_combout = (\inst10|count [24] & (!\inst10|count[23]~70 )) # (!\inst10|count [24] & ((\inst10|count[23]~70 ) # (GND))) +// \inst10|count[24]~72 = CARRY((!\inst10|count[23]~70 ) # (!\inst10|count [24])) + + .dataa(\inst10|count [24]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[23]~70 ), + .combout(\inst10|count[24]~71_combout ), + .cout(\inst10|count[24]~72 )); +// synopsys translate_off +defparam \inst10|count[24]~71 .lut_mask = 16'h5A5F; +defparam \inst10|count[24]~71 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N24 +cycloneii_lcell_comb \inst10|count[25]~73 ( +// Equation(s): +// \inst10|count[25]~73_combout = \inst10|count [25] $ (!\inst10|count[24]~72 ) + + .dataa(\inst10|count [25]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[24]~72 ), + .combout(\inst10|count[25]~73_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|count[25]~73 .lut_mask = 16'hA5A5; +defparam \inst10|count[25]~73 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N6 +cycloneii_lcell_comb \inst10|second~3 ( +// Equation(s): +// \inst10|second~3_combout = (!\inst10|count [9] & (!\inst10|count [10] & (!\inst10|count [8] & !\inst10|count [11]))) + + .dataa(\inst10|count [9]), + .datab(\inst10|count [10]), + .datac(\inst10|count [8]), + .datad(\inst10|count [11]), + .cin(gnd), + .combout(\inst10|second~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~3 .lut_mask = 16'h0001; +defparam \inst10|second~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N18 +cycloneii_lcell_comb \inst8|count[1]~2 ( +// Equation(s): +// \inst8|count[1]~2_combout = (!\clear~combout & \ent~combout ) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\ent~combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst8|count[1]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~2 .lut_mask = 16'h3030; +defparam \inst8|count[1]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N8 +cycloneii_lcell_comb \inst8|op_1~0 ( +// Equation(s): +// \inst8|op_1~0_combout = \inst8|count [2] $ (((\inst8|count [1] & \inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(vcc), + .datac(\inst8|count [1]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst8|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|op_1~0 .lut_mask = 16'h5AAA; +defparam \inst8|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N14 +cycloneii_lcell_comb \inst8|op_1~1 ( +// Equation(s): +// \inst8|op_1~1_combout = \inst8|count [3] $ (((\inst8|count [1] & (\inst8|count [2] & \inst8|count [0])))) + + .dataa(\inst8|count [1]), + .datab(\inst8|count [2]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst8|op_1~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|op_1~1 .lut_mask = 16'h78F0; +defparam \inst8|op_1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N30 +cycloneii_lcell_comb \inst_12|a~13 ( +// Equation(s): +// \inst_12|a~13_combout = (\inst11|count [0] & \inst11|count [1]) + + .dataa(\inst11|count [0]), + .datab(vcc), + .datac(\inst11|count [1]), + .datad(vcc), + .cin(gnd), + .combout(\inst_12|a~13_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|a~13 .lut_mask = 16'hA0A0; +defparam \inst_12|a~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N4 +cycloneii_lcell_comb \inst11|op_1~0 ( +// Equation(s): +// \inst11|op_1~0_combout = \inst11|count [3] $ (((\inst11|count [1] & (\inst11|count [0] & \inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst11|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|op_1~0 .lut_mask = 16'h6CCC; +defparam \inst11|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G2 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clear~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clear~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clear)); +// synopsys translate_off +defparam \clear~I .input_async_reset = "none"; +defparam \clear~I .input_power_up = "low"; +defparam \clear~I .input_register_mode = "none"; +defparam \clear~I .input_sync_reset = "none"; +defparam \clear~I .oe_async_reset = "none"; +defparam \clear~I .oe_power_up = "low"; +defparam \clear~I .oe_register_mode = "none"; +defparam \clear~I .oe_sync_reset = "none"; +defparam \clear~I .operation_mode = "input"; +defparam \clear~I .output_async_reset = "none"; +defparam \clear~I .output_power_up = "low"; +defparam \clear~I .output_register_mode = "none"; +defparam \clear~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N4 +cycloneii_lcell_comb \inst10|count[0]~75 ( +// Equation(s): +// \inst10|count[0]~75_combout = !\inst10|count [0] + + .dataa(vcc), + .datab(vcc), + .datac(\inst10|count [0]), + .datad(vcc), + .cin(gnd), + .combout(\inst10|count[0]~75_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|count[0]~75 .lut_mask = 16'h0F0F; +defparam \inst10|count[0]~75 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N5 +cycloneii_lcell_ff \inst10|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[0]~75_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [0])); + +// Location: LCCOMB_X3_Y21_N8 +cycloneii_lcell_comb \inst10|count[1]~25 ( +// Equation(s): +// \inst10|count[1]~25_combout = (\inst10|count [1] & (\inst10|count [0] $ (VCC))) # (!\inst10|count [1] & (\inst10|count [0] & VCC)) +// \inst10|count[1]~26 = CARRY((\inst10|count [1] & \inst10|count [0])) + + .dataa(\inst10|count [1]), + .datab(\inst10|count [0]), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst10|count[1]~25_combout ), + .cout(\inst10|count[1]~26 )); +// synopsys translate_off +defparam \inst10|count[1]~25 .lut_mask = 16'h6688; +defparam \inst10|count[1]~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N12 +cycloneii_lcell_comb \inst10|count[3]~29 ( +// Equation(s): +// \inst10|count[3]~29_combout = (\inst10|count [3] & (\inst10|count[2]~28 $ (GND))) # (!\inst10|count [3] & (!\inst10|count[2]~28 & VCC)) +// \inst10|count[3]~30 = CARRY((\inst10|count [3] & !\inst10|count[2]~28 )) + + .dataa(\inst10|count [3]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[2]~28 ), + .combout(\inst10|count[3]~29_combout ), + .cout(\inst10|count[3]~30 )); +// synopsys translate_off +defparam \inst10|count[3]~29 .lut_mask = 16'hA50A; +defparam \inst10|count[3]~29 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N14 +cycloneii_lcell_comb \inst10|count[4]~31 ( +// Equation(s): +// \inst10|count[4]~31_combout = (\inst10|count [4] & (!\inst10|count[3]~30 )) # (!\inst10|count [4] & ((\inst10|count[3]~30 ) # (GND))) +// \inst10|count[4]~32 = CARRY((!\inst10|count[3]~30 ) # (!\inst10|count [4])) + + .dataa(vcc), + .datab(\inst10|count [4]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[3]~30 ), + .combout(\inst10|count[4]~31_combout ), + .cout(\inst10|count[4]~32 )); +// synopsys translate_off +defparam \inst10|count[4]~31 .lut_mask = 16'h3C3F; +defparam \inst10|count[4]~31 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N30 +cycloneii_lcell_comb \inst10|count[12]~47 ( +// Equation(s): +// \inst10|count[12]~47_combout = (\inst10|count [12] & (!\inst10|count[11]~46 )) # (!\inst10|count [12] & ((\inst10|count[11]~46 ) # (GND))) +// \inst10|count[12]~48 = CARRY((!\inst10|count[11]~46 ) # (!\inst10|count [12])) + + .dataa(\inst10|count [12]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[11]~46 ), + .combout(\inst10|count[12]~47_combout ), + .cout(\inst10|count[12]~48 )); +// synopsys translate_off +defparam \inst10|count[12]~47 .lut_mask = 16'h5A5F; +defparam \inst10|count[12]~47 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N1 +cycloneii_lcell_ff \inst10|count[12] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst10|count[12]~47_combout ), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [12])); + +// Location: LCCOMB_X2_Y21_N0 +cycloneii_lcell_comb \inst10|second~4 ( +// Equation(s): +// \inst10|second~4_combout = (!\inst10|count [14] & (!\inst10|count [13] & (!\inst10|count [12] & !\inst10|count [15]))) + + .dataa(\inst10|count [14]), + .datab(\inst10|count [13]), + .datac(\inst10|count [12]), + .datad(\inst10|count [15]), + .cin(gnd), + .combout(\inst10|second~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~4 .lut_mask = 16'h0001; +defparam \inst10|second~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N20 +cycloneii_lcell_comb \inst10|count[7]~37 ( +// Equation(s): +// \inst10|count[7]~37_combout = (\inst10|count [7] & (\inst10|count[6]~36 $ (GND))) # (!\inst10|count [7] & (!\inst10|count[6]~36 & VCC)) +// \inst10|count[7]~38 = CARRY((\inst10|count [7] & !\inst10|count[6]~36 )) + + .dataa(\inst10|count [7]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[6]~36 ), + .combout(\inst10|count[7]~37_combout ), + .cout(\inst10|count[7]~38 )); +// synopsys translate_off +defparam \inst10|count[7]~37 .lut_mask = 16'hA50A; +defparam \inst10|count[7]~37 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N21 +cycloneii_lcell_ff \inst10|count[7] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[7]~37_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [7])); + +// Location: LCCOMB_X3_Y21_N0 +cycloneii_lcell_comb \inst10|second~2 ( +// Equation(s): +// \inst10|second~2_combout = (!\inst10|count [5] & (!\inst10|count [4] & (!\inst10|count [7] & !\inst10|count [6]))) + + .dataa(\inst10|count [5]), + .datab(\inst10|count [4]), + .datac(\inst10|count [7]), + .datad(\inst10|count [6]), + .cin(gnd), + .combout(\inst10|second~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~2 .lut_mask = 16'h0001; +defparam \inst10|second~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N9 +cycloneii_lcell_ff \inst10|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[1]~25_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [1])); + +// Location: LCFF_X3_Y21_N13 +cycloneii_lcell_ff \inst10|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[3]~29_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [3])); + +// Location: LCCOMB_X3_Y21_N2 +cycloneii_lcell_comb \inst10|second~1 ( +// Equation(s): +// \inst10|second~1_combout = (\inst10|count [2] & (!\inst10|count [1] & (\inst10|count [0] & !\inst10|count [3]))) + + .dataa(\inst10|count [2]), + .datab(\inst10|count [1]), + .datac(\inst10|count [0]), + .datad(\inst10|count [3]), + .cin(gnd), + .combout(\inst10|second~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~1 .lut_mask = 16'h0020; +defparam \inst10|second~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N28 +cycloneii_lcell_comb \inst10|second~5 ( +// Equation(s): +// \inst10|second~5_combout = (\inst10|second~3_combout & (\inst10|second~4_combout & (\inst10|second~2_combout & \inst10|second~1_combout ))) + + .dataa(\inst10|second~3_combout ), + .datab(\inst10|second~4_combout ), + .datac(\inst10|second~2_combout ), + .datad(\inst10|second~1_combout ), + .cin(gnd), + .combout(\inst10|second~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~5 .lut_mask = 16'h8000; +defparam \inst10|second~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N10 +cycloneii_lcell_comb \inst10|second~9 ( +// Equation(s): +// \inst10|second~9_combout = (\inst10|second~5_combout & \inst10|second~8_combout ) + + .dataa(vcc), + .datab(\inst10|second~5_combout ), + .datac(vcc), + .datad(\inst10|second~8_combout ), + .cin(gnd), + .combout(\inst10|second~9_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~9 .lut_mask = 16'hCC00; +defparam \inst10|second~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N15 +cycloneii_lcell_ff \inst10|count[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[4]~31_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [4])); + +// Location: LCCOMB_X3_Y21_N18 +cycloneii_lcell_comb \inst10|count[6]~35 ( +// Equation(s): +// \inst10|count[6]~35_combout = (\inst10|count [6] & (!\inst10|count[5]~34 )) # (!\inst10|count [6] & ((\inst10|count[5]~34 ) # (GND))) +// \inst10|count[6]~36 = CARRY((!\inst10|count[5]~34 ) # (!\inst10|count [6])) + + .dataa(vcc), + .datab(\inst10|count [6]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[5]~34 ), + .combout(\inst10|count[6]~35_combout ), + .cout(\inst10|count[6]~36 )); +// synopsys translate_off +defparam \inst10|count[6]~35 .lut_mask = 16'h3C3F; +defparam \inst10|count[6]~35 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N19 +cycloneii_lcell_ff \inst10|count[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[6]~35_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [6])); + +// Location: LCCOMB_X3_Y21_N22 +cycloneii_lcell_comb \inst10|count[8]~39 ( +// Equation(s): +// \inst10|count[8]~39_combout = (\inst10|count [8] & (!\inst10|count[7]~38 )) # (!\inst10|count [8] & ((\inst10|count[7]~38 ) # (GND))) +// \inst10|count[8]~40 = CARRY((!\inst10|count[7]~38 ) # (!\inst10|count [8])) + + .dataa(vcc), + .datab(\inst10|count [8]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[7]~38 ), + .combout(\inst10|count[8]~39_combout ), + .cout(\inst10|count[8]~40 )); +// synopsys translate_off +defparam \inst10|count[8]~39 .lut_mask = 16'h3C3F; +defparam \inst10|count[8]~39 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N23 +cycloneii_lcell_ff \inst10|count[8] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[8]~39_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [8])); + +// Location: LCCOMB_X3_Y21_N26 +cycloneii_lcell_comb \inst10|count[10]~43 ( +// Equation(s): +// \inst10|count[10]~43_combout = (\inst10|count [10] & (!\inst10|count[9]~42 )) # (!\inst10|count [10] & ((\inst10|count[9]~42 ) # (GND))) +// \inst10|count[10]~44 = CARRY((!\inst10|count[9]~42 ) # (!\inst10|count [10])) + + .dataa(vcc), + .datab(\inst10|count [10]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[9]~42 ), + .combout(\inst10|count[10]~43_combout ), + .cout(\inst10|count[10]~44 )); +// synopsys translate_off +defparam \inst10|count[10]~43 .lut_mask = 16'h3C3F; +defparam \inst10|count[10]~43 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N27 +cycloneii_lcell_ff \inst10|count[10] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[10]~43_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [10])); + +// Location: LCCOMB_X3_Y21_N28 +cycloneii_lcell_comb \inst10|count[11]~45 ( +// Equation(s): +// \inst10|count[11]~45_combout = (\inst10|count [11] & (\inst10|count[10]~44 $ (GND))) # (!\inst10|count [11] & (!\inst10|count[10]~44 & VCC)) +// \inst10|count[11]~46 = CARRY((\inst10|count [11] & !\inst10|count[10]~44 )) + + .dataa(vcc), + .datab(\inst10|count [11]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[10]~44 ), + .combout(\inst10|count[11]~45_combout ), + .cout(\inst10|count[11]~46 )); +// synopsys translate_off +defparam \inst10|count[11]~45 .lut_mask = 16'hC30C; +defparam \inst10|count[11]~45 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N29 +cycloneii_lcell_ff \inst10|count[11] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[11]~45_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [11])); + +// Location: LCCOMB_X3_Y20_N0 +cycloneii_lcell_comb \inst10|count[13]~49 ( +// Equation(s): +// \inst10|count[13]~49_combout = (\inst10|count [13] & (\inst10|count[12]~48 $ (GND))) # (!\inst10|count [13] & (!\inst10|count[12]~48 & VCC)) +// \inst10|count[13]~50 = CARRY((\inst10|count [13] & !\inst10|count[12]~48 )) + + .dataa(vcc), + .datab(\inst10|count [13]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[12]~48 ), + .combout(\inst10|count[13]~49_combout ), + .cout(\inst10|count[13]~50 )); +// synopsys translate_off +defparam \inst10|count[13]~49 .lut_mask = 16'hC30C; +defparam \inst10|count[13]~49 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N1 +cycloneii_lcell_ff \inst10|count[13] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[13]~49_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [13])); + +// Location: LCCOMB_X3_Y20_N2 +cycloneii_lcell_comb \inst10|count[14]~51 ( +// Equation(s): +// \inst10|count[14]~51_combout = (\inst10|count [14] & (!\inst10|count[13]~50 )) # (!\inst10|count [14] & ((\inst10|count[13]~50 ) # (GND))) +// \inst10|count[14]~52 = CARRY((!\inst10|count[13]~50 ) # (!\inst10|count [14])) + + .dataa(vcc), + .datab(\inst10|count [14]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[13]~50 ), + .combout(\inst10|count[14]~51_combout ), + .cout(\inst10|count[14]~52 )); +// synopsys translate_off +defparam \inst10|count[14]~51 .lut_mask = 16'h3C3F; +defparam \inst10|count[14]~51 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N11 +cycloneii_lcell_ff \inst10|count[14] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst10|count[14]~51_combout ), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [14])); + +// Location: LCCOMB_X3_Y20_N4 +cycloneii_lcell_comb \inst10|count[15]~53 ( +// Equation(s): +// \inst10|count[15]~53_combout = (\inst10|count [15] & (\inst10|count[14]~52 $ (GND))) # (!\inst10|count [15] & (!\inst10|count[14]~52 & VCC)) +// \inst10|count[15]~54 = CARRY((\inst10|count [15] & !\inst10|count[14]~52 )) + + .dataa(vcc), + .datab(\inst10|count [15]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[14]~52 ), + .combout(\inst10|count[15]~53_combout ), + .cout(\inst10|count[15]~54 )); +// synopsys translate_off +defparam \inst10|count[15]~53 .lut_mask = 16'hC30C; +defparam \inst10|count[15]~53 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N5 +cycloneii_lcell_ff \inst10|count[15] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[15]~53_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [15])); + +// Location: LCCOMB_X3_Y20_N8 +cycloneii_lcell_comb \inst10|count[17]~57 ( +// Equation(s): +// \inst10|count[17]~57_combout = (\inst10|count [17] & (\inst10|count[16]~56 $ (GND))) # (!\inst10|count [17] & (!\inst10|count[16]~56 & VCC)) +// \inst10|count[17]~58 = CARRY((\inst10|count [17] & !\inst10|count[16]~56 )) + + .dataa(\inst10|count [17]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[16]~56 ), + .combout(\inst10|count[17]~57_combout ), + .cout(\inst10|count[17]~58 )); +// synopsys translate_off +defparam \inst10|count[17]~57 .lut_mask = 16'hA50A; +defparam \inst10|count[17]~57 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N9 +cycloneii_lcell_ff \inst10|count[17] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[17]~57_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [17])); + +// Location: LCCOMB_X3_Y20_N10 +cycloneii_lcell_comb \inst10|count[18]~59 ( +// Equation(s): +// \inst10|count[18]~59_combout = (\inst10|count [18] & (!\inst10|count[17]~58 )) # (!\inst10|count [18] & ((\inst10|count[17]~58 ) # (GND))) +// \inst10|count[18]~60 = CARRY((!\inst10|count[17]~58 ) # (!\inst10|count [18])) + + .dataa(\inst10|count [18]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[17]~58 ), + .combout(\inst10|count[18]~59_combout ), + .cout(\inst10|count[18]~60 )); +// synopsys translate_off +defparam \inst10|count[18]~59 .lut_mask = 16'h5A5F; +defparam \inst10|count[18]~59 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N11 +cycloneii_lcell_ff \inst10|count[18] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[18]~59_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [18])); + +// Location: LCCOMB_X3_Y20_N12 +cycloneii_lcell_comb \inst10|count[19]~61 ( +// Equation(s): +// \inst10|count[19]~61_combout = (\inst10|count [19] & (\inst10|count[18]~60 $ (GND))) # (!\inst10|count [19] & (!\inst10|count[18]~60 & VCC)) +// \inst10|count[19]~62 = CARRY((\inst10|count [19] & !\inst10|count[18]~60 )) + + .dataa(\inst10|count [19]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[18]~60 ), + .combout(\inst10|count[19]~61_combout ), + .cout(\inst10|count[19]~62 )); +// synopsys translate_off +defparam \inst10|count[19]~61 .lut_mask = 16'hA50A; +defparam \inst10|count[19]~61 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N13 +cycloneii_lcell_ff \inst10|count[19] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[19]~61_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [19])); + +// Location: LCCOMB_X3_Y20_N30 +cycloneii_lcell_comb \inst10|second~6 ( +// Equation(s): +// \inst10|second~6_combout = (!\inst10|count [16] & (!\inst10|count [17] & (!\inst10|count [18] & !\inst10|count [19]))) + + .dataa(\inst10|count [16]), + .datab(\inst10|count [17]), + .datac(\inst10|count [18]), + .datad(\inst10|count [19]), + .cin(gnd), + .combout(\inst10|second~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~6 .lut_mask = 16'h0001; +defparam \inst10|second~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N14 +cycloneii_lcell_comb \inst10|count[20]~63 ( +// Equation(s): +// \inst10|count[20]~63_combout = (\inst10|count [20] & (!\inst10|count[19]~62 )) # (!\inst10|count [20] & ((\inst10|count[19]~62 ) # (GND))) +// \inst10|count[20]~64 = CARRY((!\inst10|count[19]~62 ) # (!\inst10|count [20])) + + .dataa(\inst10|count [20]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[19]~62 ), + .combout(\inst10|count[20]~63_combout ), + .cout(\inst10|count[20]~64 )); +// synopsys translate_off +defparam \inst10|count[20]~63 .lut_mask = 16'h5A5F; +defparam \inst10|count[20]~63 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N16 +cycloneii_lcell_comb \inst10|count[21]~65 ( +// Equation(s): +// \inst10|count[21]~65_combout = (\inst10|count [21] & (\inst10|count[20]~64 $ (GND))) # (!\inst10|count [21] & (!\inst10|count[20]~64 & VCC)) +// \inst10|count[21]~66 = CARRY((\inst10|count [21] & !\inst10|count[20]~64 )) + + .dataa(vcc), + .datab(\inst10|count [21]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[20]~64 ), + .combout(\inst10|count[21]~65_combout ), + .cout(\inst10|count[21]~66 )); +// synopsys translate_off +defparam \inst10|count[21]~65 .lut_mask = 16'hC30C; +defparam \inst10|count[21]~65 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N17 +cycloneii_lcell_ff \inst10|count[21] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[21]~65_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [21])); + +// Location: LCCOMB_X3_Y20_N18 +cycloneii_lcell_comb \inst10|count[22]~67 ( +// Equation(s): +// \inst10|count[22]~67_combout = (\inst10|count [22] & (!\inst10|count[21]~66 )) # (!\inst10|count [22] & ((\inst10|count[21]~66 ) # (GND))) +// \inst10|count[22]~68 = CARRY((!\inst10|count[21]~66 ) # (!\inst10|count [22])) + + .dataa(\inst10|count [22]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[21]~66 ), + .combout(\inst10|count[22]~67_combout ), + .cout(\inst10|count[22]~68 )); +// synopsys translate_off +defparam \inst10|count[22]~67 .lut_mask = 16'h5A5F; +defparam \inst10|count[22]~67 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N20 +cycloneii_lcell_comb \inst10|count[23]~69 ( +// Equation(s): +// \inst10|count[23]~69_combout = (\inst10|count [23] & (\inst10|count[22]~68 $ (GND))) # (!\inst10|count [23] & (!\inst10|count[22]~68 & VCC)) +// \inst10|count[23]~70 = CARRY((\inst10|count [23] & !\inst10|count[22]~68 )) + + .dataa(vcc), + .datab(\inst10|count [23]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[22]~68 ), + .combout(\inst10|count[23]~69_combout ), + .cout(\inst10|count[23]~70 )); +// synopsys translate_off +defparam \inst10|count[23]~69 .lut_mask = 16'hC30C; +defparam \inst10|count[23]~69 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N21 +cycloneii_lcell_ff \inst10|count[23] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[23]~69_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [23])); + +// Location: LCFF_X3_Y20_N23 +cycloneii_lcell_ff \inst10|count[24] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[24]~71_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [24])); + +// Location: LCFF_X3_Y20_N15 +cycloneii_lcell_ff \inst10|count[20] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[20]~63_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [20])); + +// Location: LCFF_X3_Y20_N19 +cycloneii_lcell_ff \inst10|count[22] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[22]~67_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [22])); + +// Location: LCCOMB_X3_Y20_N28 +cycloneii_lcell_comb \inst10|second~7 ( +// Equation(s): +// \inst10|second~7_combout = (!\inst10|count [23] & (!\inst10|count [20] & (!\inst10|count [21] & !\inst10|count [22]))) + + .dataa(\inst10|count [23]), + .datab(\inst10|count [20]), + .datac(\inst10|count [21]), + .datad(\inst10|count [22]), + .cin(gnd), + .combout(\inst10|second~7_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~7 .lut_mask = 16'h0001; +defparam \inst10|second~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N26 +cycloneii_lcell_comb \inst10|second~8 ( +// Equation(s): +// \inst10|second~8_combout = (!\inst10|count [25] & (\inst10|second~6_combout & (!\inst10|count [24] & \inst10|second~7_combout ))) + + .dataa(\inst10|count [25]), + .datab(\inst10|second~6_combout ), + .datac(\inst10|count [24]), + .datad(\inst10|second~7_combout ), + .cin(gnd), + .combout(\inst10|second~8_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~8 .lut_mask = 16'h0400; +defparam \inst10|second~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N2 +cycloneii_lcell_comb \inst8|count[1]~1 ( +// Equation(s): +// \inst8|count[1]~1_combout = (!\clear~combout & (((!\inst10|second~5_combout ) # (!\inst10|second~8_combout )) # (!\ent~combout ))) + + .dataa(\ent~combout ), + .datab(\clear~combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst8|count[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~1 .lut_mask = 16'h1333; +defparam \inst8|count[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N14 +cycloneii_lcell_comb \inst8|count[3]~6 ( +// Equation(s): +// \inst8|count[3]~6_combout = (\inst8|op_1~1_combout & ((\inst8|count[1]~3_combout ) # ((\inst8|count [3] & \inst8|count[1]~1_combout )))) # (!\inst8|op_1~1_combout & (((\inst8|count [3] & \inst8|count[1]~1_combout )))) + + .dataa(\inst8|op_1~1_combout ), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [3]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[3]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[3]~6 .lut_mask = 16'hF888; +defparam \inst8|count[3]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N15 +cycloneii_lcell_ff \inst8|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[3]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [3])); + +// Location: LCCOMB_X2_Y21_N6 +cycloneii_lcell_comb \inst8|count[1]~4 ( +// Equation(s): +// \inst8|count[1]~4_combout = (\inst8|count [1] & ((\inst8|count[1]~1_combout ) # ((!\inst8|count [0] & \inst8|count[1]~3_combout )))) # (!\inst8|count [1] & (\inst8|count [0] & (\inst8|count[1]~3_combout ))) + + .dataa(\inst8|count [0]), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [1]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~4 .lut_mask = 16'hF848; +defparam \inst8|count[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N7 +cycloneii_lcell_ff \inst8|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[1]~4_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [1])); + +// Location: LCCOMB_X2_Y21_N24 +cycloneii_lcell_comb \inst8|count[0]~0 ( +// Equation(s): +// \inst8|count[0]~0_combout = (!\clear~combout & (\inst8|count [0] $ (((\ent~combout & \inst10|second~9_combout ))))) + + .dataa(\ent~combout ), + .datab(\clear~combout ), + .datac(\inst8|count [0]), + .datad(\inst10|second~9_combout ), + .cin(gnd), + .combout(\inst8|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[0]~0 .lut_mask = 16'h1230; +defparam \inst8|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N25 +cycloneii_lcell_ff \inst8|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[0]~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [0])); + +// Location: LCCOMB_X1_Y21_N12 +cycloneii_lcell_comb \inst_|a~13 ( +// Equation(s): +// \inst_|a~13_combout = (!\inst8|count [2] & (\inst8|count [3] & (\inst8|count [1] & \inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [3]), + .datac(\inst8|count [1]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|a~13_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|a~13 .lut_mask = 16'h4000; +defparam \inst_|a~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N8 +cycloneii_lcell_comb \inst8|count[1]~3 ( +// Equation(s): +// \inst8|count[1]~3_combout = (\inst8|count[1]~2_combout & (!\inst_|a~13_combout & (\inst10|second~8_combout & \inst10|second~5_combout ))) + + .dataa(\inst8|count[1]~2_combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst8|count[1]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~3 .lut_mask = 16'h2000; +defparam \inst8|count[1]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N4 +cycloneii_lcell_comb \inst8|count[2]~5 ( +// Equation(s): +// \inst8|count[2]~5_combout = (\inst8|op_1~0_combout & ((\inst8|count[1]~3_combout ) # ((\inst8|count [2] & \inst8|count[1]~1_combout )))) # (!\inst8|op_1~0_combout & (((\inst8|count [2] & \inst8|count[1]~1_combout )))) + + .dataa(\inst8|op_1~0_combout ), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [2]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[2]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[2]~5 .lut_mask = 16'hF888; +defparam \inst8|count[2]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N5 +cycloneii_lcell_ff \inst8|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[2]~5_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [2])); + +// Location: LCCOMB_X1_Y20_N28 +cycloneii_lcell_comb \inst_|a~12 ( +// Equation(s): +// \inst_|a~12_combout = (\inst8|count [2] & (!\inst8|count [1] & (\inst8|count [3] $ (!\inst8|count [0])))) # (!\inst8|count [2] & (\inst8|count [0] & (\inst8|count [1] $ (!\inst8|count [3])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|a~12 .lut_mask = 16'h6102; +defparam \inst_|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N22 +cycloneii_lcell_comb \inst_|b~3 ( +// Equation(s): +// \inst_|b~3_combout = (\inst8|count [1] & ((\inst8|count [0] & ((\inst8|count [3]))) # (!\inst8|count [0] & (\inst8|count [2])))) # (!\inst8|count [1] & (\inst8|count [2] & (\inst8|count [3] $ (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|b~3 .lut_mask = 16'hC2A8; +defparam \inst_|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N16 +cycloneii_lcell_comb \inst_|c~1 ( +// Equation(s): +// \inst_|c~1_combout = (\inst8|count [2] & (\inst8|count [3] & ((\inst8|count [1]) # (!\inst8|count [0])))) # (!\inst8|count [2] & (\inst8|count [1] & (!\inst8|count [3] & !\inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|c~1 .lut_mask = 16'h80A4; +defparam \inst_|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N26 +cycloneii_lcell_comb \inst_|d~0 ( +// Equation(s): +// \inst_|d~0_combout = (\inst8|count [1] & ((\inst8|count [2] & ((\inst8|count [0]))) # (!\inst8|count [2] & (\inst8|count [3] & !\inst8|count [0])))) # (!\inst8|count [1] & (!\inst8|count [3] & (\inst8|count [2] $ (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|d~0 .lut_mask = 16'h8942; +defparam \inst_|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N20 +cycloneii_lcell_comb \inst_|e~0 ( +// Equation(s): +// \inst_|e~0_combout = (\inst8|count [1] & (((!\inst8|count [3] & \inst8|count [0])))) # (!\inst8|count [1] & ((\inst8|count [2] & (!\inst8|count [3])) # (!\inst8|count [2] & ((\inst8|count [0]))))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|e~0 .lut_mask = 16'h1F02; +defparam \inst_|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N10 +cycloneii_lcell_comb \inst_|f~0 ( +// Equation(s): +// \inst_|f~0_combout = (\inst8|count [2] & (\inst8|count [0] & (\inst8|count [1] $ (\inst8|count [3])))) # (!\inst8|count [2] & (!\inst8|count [3] & ((\inst8|count [1]) # (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|f~0 .lut_mask = 16'h2D04; +defparam \inst_|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N12 +cycloneii_lcell_comb \inst_|g~0 ( +// Equation(s): +// \inst_|g~0_combout = (\inst8|count [0] & ((\inst8|count [3]) # (\inst8|count [2] $ (\inst8|count [1])))) # (!\inst8|count [0] & ((\inst8|count [1]) # (\inst8|count [2] $ (\inst8|count [3])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|g~0 .lut_mask = 16'hF6DE; +defparam \inst_|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \ent~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\ent~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(ent)); +// synopsys translate_off +defparam \ent~I .input_async_reset = "none"; +defparam \ent~I .input_power_up = "low"; +defparam \ent~I .input_register_mode = "none"; +defparam \ent~I .input_sync_reset = "none"; +defparam \ent~I .oe_async_reset = "none"; +defparam \ent~I .oe_power_up = "low"; +defparam \ent~I .oe_register_mode = "none"; +defparam \ent~I .oe_sync_reset = "none"; +defparam \ent~I .operation_mode = "input"; +defparam \ent~I .output_async_reset = "none"; +defparam \ent~I .output_power_up = "low"; +defparam \ent~I .output_register_mode = "none"; +defparam \ent~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N24 +cycloneii_lcell_comb \inst11|count[1]~1 ( +// Equation(s): +// \inst11|count[1]~1_combout = (!\inst_|a~13_combout ) # (!\ent~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\ent~combout ), + .datad(\inst_|a~13_combout ), + .cin(gnd), + .combout(\inst11|count[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~1 .lut_mask = 16'h0FFF; +defparam \inst11|count[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N12 +cycloneii_lcell_comb \inst11|count[1]~2 ( +// Equation(s): +// \inst11|count[1]~2_combout = (!\clear~combout & (((\inst11|count[1]~1_combout ) # (!\inst10|second~8_combout )) # (!\inst10|second~5_combout ))) + + .dataa(\clear~combout ), + .datab(\inst10|second~5_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst11|count[1]~1_combout ), + .cin(gnd), + .combout(\inst11|count[1]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~2 .lut_mask = 16'h5515; +defparam \inst11|count[1]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N18 +cycloneii_lcell_comb \inst11|count[3]~8 ( +// Equation(s): +// \inst11|count[3]~8_combout = (\inst11|op_1~0_combout & ((\inst11|count[1]~5_combout ) # ((\inst11|count [3] & \inst11|count[1]~2_combout )))) # (!\inst11|op_1~0_combout & (((\inst11|count [3] & \inst11|count[1]~2_combout )))) + + .dataa(\inst11|op_1~0_combout ), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [3]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[3]~8_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[3]~8 .lut_mask = 16'hF888; +defparam \inst11|count[3]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N19 +cycloneii_lcell_ff \inst11|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[3]~8_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [3])); + +// Location: LCCOMB_X2_Y21_N30 +cycloneii_lcell_comb \inst11|_~0 ( +// Equation(s): +// \inst11|_~0_combout = (\ent~combout & (\inst_|a~13_combout & (\inst10|second~8_combout & \inst10|second~5_combout ))) + + .dataa(\ent~combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst11|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|_~0 .lut_mask = 16'h8000; +defparam \inst11|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N16 +cycloneii_lcell_comb \inst11|count[0]~0 ( +// Equation(s): +// \inst11|count[0]~0_combout = (!\clear~combout & (\inst11|count [0] $ (\inst11|_~0_combout ))) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\inst11|count [0]), + .datad(\inst11|_~0_combout ), + .cin(gnd), + .combout(\inst11|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[0]~0 .lut_mask = 16'h0330; +defparam \inst11|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N17 +cycloneii_lcell_ff \inst11|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[0]~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [0])); + +// Location: LCCOMB_X2_Y21_N20 +cycloneii_lcell_comb \inst11|count[2]~7 ( +// Equation(s): +// \inst11|count[2]~7_combout = (\inst11|count [2] & ((\inst11|count[1]~2_combout ) # ((!\inst_12|a~13_combout & \inst11|count[1]~5_combout )))) # (!\inst11|count [2] & (\inst_12|a~13_combout & (\inst11|count[1]~5_combout ))) + + .dataa(\inst_12|a~13_combout ), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [2]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[2]~7_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[2]~7 .lut_mask = 16'hF848; +defparam \inst11|count[2]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N21 +cycloneii_lcell_ff \inst11|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[2]~7_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [2])); + +// Location: LCCOMB_X1_Y21_N2 +cycloneii_lcell_comb \inst11|count[1]~3 ( +// Equation(s): +// \inst11|count[1]~3_combout = (((\inst11|count [2]) # (!\inst11|count [0])) # (!\inst11|count [3])) # (!\inst11|count [1]) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst11|count[1]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~3 .lut_mask = 16'hFF7F; +defparam \inst11|count[1]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N16 +cycloneii_lcell_comb \inst11|count[1]~4 ( +// Equation(s): +// \inst11|count[1]~4_combout = (!\clear~combout & (\ent~combout & \inst11|count[1]~3_combout )) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\ent~combout ), + .datad(\inst11|count[1]~3_combout ), + .cin(gnd), + .combout(\inst11|count[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~4 .lut_mask = 16'h3000; +defparam \inst11|count[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N22 +cycloneii_lcell_comb \inst11|count[1]~5 ( +// Equation(s): +// \inst11|count[1]~5_combout = (\inst10|second~5_combout & (\inst_|a~13_combout & (\inst10|second~8_combout & \inst11|count[1]~4_combout ))) + + .dataa(\inst10|second~5_combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst11|count[1]~4_combout ), + .cin(gnd), + .combout(\inst11|count[1]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~5 .lut_mask = 16'h8000; +defparam \inst11|count[1]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N26 +cycloneii_lcell_comb \inst11|count[1]~6 ( +// Equation(s): +// \inst11|count[1]~6_combout = (\inst11|count [1] & ((\inst11|count[1]~2_combout ) # ((!\inst11|count [0] & \inst11|count[1]~5_combout )))) # (!\inst11|count [1] & (\inst11|count [0] & (\inst11|count[1]~5_combout ))) + + .dataa(\inst11|count [0]), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [1]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[1]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~6 .lut_mask = 16'hF848; +defparam \inst11|count[1]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N27 +cycloneii_lcell_ff \inst11|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[1]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [1])); + +// Location: LCCOMB_X1_Y21_N20 +cycloneii_lcell_comb \inst_12|a~12 ( +// Equation(s): +// \inst_12|a~12_combout = (\inst11|count [3] & (\inst11|count [0] & (\inst11|count [1] $ (\inst11|count [2])))) # (!\inst11|count [3] & (!\inst11|count [1] & (\inst11|count [0] $ (\inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|a~12 .lut_mask = 16'h4190; +defparam \inst_12|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N10 +cycloneii_lcell_comb \inst_12|b~3 ( +// Equation(s): +// \inst_12|b~3_combout = (\inst11|count [1] & ((\inst11|count [0] & (\inst11|count [3])) # (!\inst11|count [0] & ((\inst11|count [2]))))) # (!\inst11|count [1] & (\inst11|count [2] & (\inst11|count [3] $ (\inst11|count [0])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|b~3 .lut_mask = 16'h9E80; +defparam \inst_12|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N0 +cycloneii_lcell_comb \inst_12|c~1 ( +// Equation(s): +// \inst_12|c~1_combout = (\inst11|count [3] & (\inst11|count [2] & ((\inst11|count [1]) # (!\inst11|count [0])))) # (!\inst11|count [3] & (!\inst11|count [0] & (!\inst11|count [2] & \inst11|count [1]))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|c~1 .lut_mask = 16'hA120; +defparam \inst_12|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N26 +cycloneii_lcell_comb \inst_12|d~0 ( +// Equation(s): +// \inst_12|d~0_combout = (\inst11|count [1] & ((\inst11|count [0] & ((\inst11|count [2]))) # (!\inst11|count [0] & (\inst11|count [3] & !\inst11|count [2])))) # (!\inst11|count [1] & (!\inst11|count [3] & (\inst11|count [0] $ (\inst11|count [2])))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|d~0 .lut_mask = 16'hC214; +defparam \inst_12|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N0 +cycloneii_lcell_comb \inst_12|e~0 ( +// Equation(s): +// \inst_12|e~0_combout = (\inst11|count [1] & (!\inst11|count [3] & (\inst11|count [0]))) # (!\inst11|count [1] & ((\inst11|count [2] & (!\inst11|count [3])) # (!\inst11|count [2] & ((\inst11|count [0]))))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|e~0 .lut_mask = 16'h3170; +defparam \inst_12|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N20 +cycloneii_lcell_comb \inst_12|f~0 ( +// Equation(s): +// \inst_12|f~0_combout = (\inst11|count [0] & (\inst11|count [3] $ (((\inst11|count [1]) # (!\inst11|count [2]))))) # (!\inst11|count [0] & (!\inst11|count [3] & (!\inst11|count [2] & \inst11|count [1]))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|f~0 .lut_mask = 16'h4584; +defparam \inst_12|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N26 +cycloneii_lcell_comb \inst_12|g~0 ( +// Equation(s): +// \inst_12|g~0_combout = (\inst11|count [0] & ((\inst11|count [3]) # (\inst11|count [1] $ (\inst11|count [2])))) # (!\inst11|count [0] & ((\inst11|count [1]) # (\inst11|count [3] $ (\inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|g~0 .lut_mask = 16'hDBEE; +defparam \inst_12|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst_|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst_|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst_|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst_|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst_|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst_|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst_|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_G3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A1~I ( + .datain(\inst_12|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A1)); +// synopsys translate_off +defparam \OUTPUT_A1~I .input_async_reset = "none"; +defparam \OUTPUT_A1~I .input_power_up = "low"; +defparam \OUTPUT_A1~I .input_register_mode = "none"; +defparam \OUTPUT_A1~I .input_sync_reset = "none"; +defparam \OUTPUT_A1~I .oe_async_reset = "none"; +defparam \OUTPUT_A1~I .oe_power_up = "low"; +defparam \OUTPUT_A1~I .oe_register_mode = "none"; +defparam \OUTPUT_A1~I .oe_sync_reset = "none"; +defparam \OUTPUT_A1~I .operation_mode = "output"; +defparam \OUTPUT_A1~I .output_async_reset = "none"; +defparam \OUTPUT_A1~I .output_power_up = "low"; +defparam \OUTPUT_A1~I .output_register_mode = "none"; +defparam \OUTPUT_A1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H4, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B2~I ( + .datain(\inst_12|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B2)); +// synopsys translate_off +defparam \OUTPUT_B2~I .input_async_reset = "none"; +defparam \OUTPUT_B2~I .input_power_up = "low"; +defparam \OUTPUT_B2~I .input_register_mode = "none"; +defparam \OUTPUT_B2~I .input_sync_reset = "none"; +defparam \OUTPUT_B2~I .oe_async_reset = "none"; +defparam \OUTPUT_B2~I .oe_power_up = "low"; +defparam \OUTPUT_B2~I .oe_register_mode = "none"; +defparam \OUTPUT_B2~I .oe_sync_reset = "none"; +defparam \OUTPUT_B2~I .operation_mode = "output"; +defparam \OUTPUT_B2~I .output_async_reset = "none"; +defparam \OUTPUT_B2~I .output_power_up = "low"; +defparam \OUTPUT_B2~I .output_register_mode = "none"; +defparam \OUTPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C3~I ( + .datain(\inst_12|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C3)); +// synopsys translate_off +defparam \OUTPUT_C3~I .input_async_reset = "none"; +defparam \OUTPUT_C3~I .input_power_up = "low"; +defparam \OUTPUT_C3~I .input_register_mode = "none"; +defparam \OUTPUT_C3~I .input_sync_reset = "none"; +defparam \OUTPUT_C3~I .oe_async_reset = "none"; +defparam \OUTPUT_C3~I .oe_power_up = "low"; +defparam \OUTPUT_C3~I .oe_register_mode = "none"; +defparam \OUTPUT_C3~I .oe_sync_reset = "none"; +defparam \OUTPUT_C3~I .operation_mode = "output"; +defparam \OUTPUT_C3~I .output_async_reset = "none"; +defparam \OUTPUT_C3~I .output_power_up = "low"; +defparam \OUTPUT_C3~I .output_register_mode = "none"; +defparam \OUTPUT_C3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_L8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D4~I ( + .datain(\inst_12|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D4)); +// synopsys translate_off +defparam \OUTPUT_D4~I .input_async_reset = "none"; +defparam \OUTPUT_D4~I .input_power_up = "low"; +defparam \OUTPUT_D4~I .input_register_mode = "none"; +defparam \OUTPUT_D4~I .input_sync_reset = "none"; +defparam \OUTPUT_D4~I .oe_async_reset = "none"; +defparam \OUTPUT_D4~I .oe_power_up = "low"; +defparam \OUTPUT_D4~I .oe_register_mode = "none"; +defparam \OUTPUT_D4~I .oe_sync_reset = "none"; +defparam \OUTPUT_D4~I .operation_mode = "output"; +defparam \OUTPUT_D4~I .output_async_reset = "none"; +defparam \OUTPUT_D4~I .output_power_up = "low"; +defparam \OUTPUT_D4~I .output_register_mode = "none"; +defparam \OUTPUT_D4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E5~I ( + .datain(\inst_12|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E5)); +// synopsys translate_off +defparam \OUTPUT_E5~I .input_async_reset = "none"; +defparam \OUTPUT_E5~I .input_power_up = "low"; +defparam \OUTPUT_E5~I .input_register_mode = "none"; +defparam \OUTPUT_E5~I .input_sync_reset = "none"; +defparam \OUTPUT_E5~I .oe_async_reset = "none"; +defparam \OUTPUT_E5~I .oe_power_up = "low"; +defparam \OUTPUT_E5~I .oe_register_mode = "none"; +defparam \OUTPUT_E5~I .oe_sync_reset = "none"; +defparam \OUTPUT_E5~I .operation_mode = "output"; +defparam \OUTPUT_E5~I .output_async_reset = "none"; +defparam \OUTPUT_E5~I .output_power_up = "low"; +defparam \OUTPUT_E5~I .output_register_mode = "none"; +defparam \OUTPUT_E5~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F6~I ( + .datain(\inst_12|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F6)); +// synopsys translate_off +defparam \OUTPUT_F6~I .input_async_reset = "none"; +defparam \OUTPUT_F6~I .input_power_up = "low"; +defparam \OUTPUT_F6~I .input_register_mode = "none"; +defparam \OUTPUT_F6~I .input_sync_reset = "none"; +defparam \OUTPUT_F6~I .oe_async_reset = "none"; +defparam \OUTPUT_F6~I .oe_power_up = "low"; +defparam \OUTPUT_F6~I .oe_register_mode = "none"; +defparam \OUTPUT_F6~I .oe_sync_reset = "none"; +defparam \OUTPUT_F6~I .operation_mode = "output"; +defparam \OUTPUT_F6~I .output_async_reset = "none"; +defparam \OUTPUT_F6~I .output_power_up = "low"; +defparam \OUTPUT_F6~I .output_register_mode = "none"; +defparam \OUTPUT_F6~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G7~I ( + .datain(!\inst_12|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G7)); +// synopsys translate_off +defparam \OUTPUT_G7~I .input_async_reset = "none"; +defparam \OUTPUT_G7~I .input_power_up = "low"; +defparam \OUTPUT_G7~I .input_register_mode = "none"; +defparam \OUTPUT_G7~I .input_sync_reset = "none"; +defparam \OUTPUT_G7~I .oe_async_reset = "none"; +defparam \OUTPUT_G7~I .oe_power_up = "low"; +defparam \OUTPUT_G7~I .oe_register_mode = "none"; +defparam \OUTPUT_G7~I .oe_sync_reset = "none"; +defparam \OUTPUT_G7~I .operation_mode = "output"; +defparam \OUTPUT_G7~I .output_async_reset = "none"; +defparam \OUTPUT_G7~I .output_power_up = "low"; +defparam \OUTPUT_G7~I .output_register_mode = "none"; +defparam \OUTPUT_G7~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_fast.vo b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_fast.vo new file mode 100644 index 0000000..e749c11 --- /dev/null +++ b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_fast.vo @@ -0,0 +1,2504 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/03/2020 22:06:52" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_7SegmentDecoder ( + OUTPUT_A, + clk, + ent, + clear, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G, + OUTPUT_A1, + OUTPUT_B2, + OUTPUT_C3, + OUTPUT_D4, + OUTPUT_E5, + OUTPUT_F6, + OUTPUT_G7); +output OUTPUT_A; +input clk; +input ent; +input clear; +output OUTPUT_B; +output OUTPUT_C; +output OUTPUT_D; +output OUTPUT_E; +output OUTPUT_F; +output OUTPUT_G; +output OUTPUT_A1; +output OUTPUT_B2; +output OUTPUT_C3; +output OUTPUT_D4; +output OUTPUT_E5; +output OUTPUT_F6; +output OUTPUT_G7; + +// Design Ports Information +// OUTPUT_A => Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_A1 => Location: PIN_G3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B2 => Location: PIN_H4, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C3 => Location: PIN_E1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D4 => Location: PIN_L8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E5 => Location: PIN_H6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F6 => Location: PIN_H3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G7 => Location: PIN_H5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// clear => Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ent => Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_7SegmentDecoder_v_fast.sdo"); +// synopsys translate_on + +wire \inst10|count[2]~27_combout ; +wire \inst10|count[5]~33_combout ; +wire \inst10|count[9]~41_combout ; +wire \inst10|count[16]~55_combout ; +wire \inst10|count[24]~72 ; +wire \inst10|count[25]~73_combout ; +wire \inst10|second~3_combout ; +wire \inst8|count[1]~2_combout ; +wire \inst8|op_1~0_combout ; +wire \inst8|op_1~1_combout ; +wire \inst_12|a~13_combout ; +wire \inst11|op_1~0_combout ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \clear~combout ; +wire \inst10|count[0]~75_combout ; +wire \inst10|count[1]~26 ; +wire \inst10|count[2]~28 ; +wire \inst10|count[3]~30 ; +wire \inst10|count[4]~31_combout ; +wire \inst10|count[12]~47_combout ; +wire \inst10|second~4_combout ; +wire \inst10|count[7]~37_combout ; +wire \inst10|second~2_combout ; +wire \inst10|count[1]~25_combout ; +wire \inst10|count[3]~29_combout ; +wire \inst10|second~1_combout ; +wire \inst10|second~5_combout ; +wire \inst10|second~9_combout ; +wire \inst10|count[4]~32 ; +wire \inst10|count[5]~34 ; +wire \inst10|count[6]~35_combout ; +wire \inst10|count[6]~36 ; +wire \inst10|count[7]~38 ; +wire \inst10|count[8]~39_combout ; +wire \inst10|count[8]~40 ; +wire \inst10|count[9]~42 ; +wire \inst10|count[10]~43_combout ; +wire \inst10|count[10]~44 ; +wire \inst10|count[11]~45_combout ; +wire \inst10|count[11]~46 ; +wire \inst10|count[12]~48 ; +wire \inst10|count[13]~49_combout ; +wire \inst10|count[13]~50 ; +wire \inst10|count[14]~51_combout ; +wire \inst10|count[14]~52 ; +wire \inst10|count[15]~53_combout ; +wire \inst10|count[15]~54 ; +wire \inst10|count[16]~56 ; +wire \inst10|count[17]~57_combout ; +wire \inst10|count[17]~58 ; +wire \inst10|count[18]~59_combout ; +wire \inst10|count[18]~60 ; +wire \inst10|count[19]~61_combout ; +wire \inst10|second~6_combout ; +wire \inst10|count[19]~62 ; +wire \inst10|count[20]~64 ; +wire \inst10|count[21]~65_combout ; +wire \inst10|count[21]~66 ; +wire \inst10|count[22]~68 ; +wire \inst10|count[23]~69_combout ; +wire \inst10|count[23]~70 ; +wire \inst10|count[24]~71_combout ; +wire \inst10|count[20]~63_combout ; +wire \inst10|count[22]~67_combout ; +wire \inst10|second~7_combout ; +wire \inst10|second~8_combout ; +wire \inst8|count[1]~1_combout ; +wire \inst8|count[3]~6_combout ; +wire \inst8|count[1]~4_combout ; +wire \inst8|count[0]~0_combout ; +wire \inst_|a~13_combout ; +wire \inst8|count[1]~3_combout ; +wire \inst8|count[2]~5_combout ; +wire \inst_|a~12_combout ; +wire \inst_|b~3_combout ; +wire \inst_|c~1_combout ; +wire \inst_|d~0_combout ; +wire \inst_|e~0_combout ; +wire \inst_|f~0_combout ; +wire \inst_|g~0_combout ; +wire \ent~combout ; +wire \inst11|count[1]~1_combout ; +wire \inst11|count[1]~2_combout ; +wire \inst11|count[3]~8_combout ; +wire \inst11|_~0_combout ; +wire \inst11|count[0]~0_combout ; +wire \inst11|count[2]~7_combout ; +wire \inst11|count[1]~3_combout ; +wire \inst11|count[1]~4_combout ; +wire \inst11|count[1]~5_combout ; +wire \inst11|count[1]~6_combout ; +wire \inst_12|a~12_combout ; +wire \inst_12|b~3_combout ; +wire \inst_12|c~1_combout ; +wire \inst_12|d~0_combout ; +wire \inst_12|e~0_combout ; +wire \inst_12|f~0_combout ; +wire \inst_12|g~0_combout ; +wire [3:0] \inst8|count ; +wire [25:0] \inst10|count ; +wire [3:0] \inst11|count ; + + +// Location: LCFF_X3_Y21_N11 +cycloneii_lcell_ff \inst10|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[2]~27_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [2])); + +// Location: LCFF_X3_Y21_N17 +cycloneii_lcell_ff \inst10|count[5] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[5]~33_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [5])); + +// Location: LCFF_X3_Y21_N25 +cycloneii_lcell_ff \inst10|count[9] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[9]~41_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [9])); + +// Location: LCFF_X3_Y20_N7 +cycloneii_lcell_ff \inst10|count[16] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[16]~55_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [16])); + +// Location: LCFF_X3_Y20_N25 +cycloneii_lcell_ff \inst10|count[25] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[25]~73_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [25])); + +// Location: LCCOMB_X3_Y21_N10 +cycloneii_lcell_comb \inst10|count[2]~27 ( +// Equation(s): +// \inst10|count[2]~27_combout = (\inst10|count [2] & (!\inst10|count[1]~26 )) # (!\inst10|count [2] & ((\inst10|count[1]~26 ) # (GND))) +// \inst10|count[2]~28 = CARRY((!\inst10|count[1]~26 ) # (!\inst10|count [2])) + + .dataa(\inst10|count [2]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[1]~26 ), + .combout(\inst10|count[2]~27_combout ), + .cout(\inst10|count[2]~28 )); +// synopsys translate_off +defparam \inst10|count[2]~27 .lut_mask = 16'h5A5F; +defparam \inst10|count[2]~27 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N16 +cycloneii_lcell_comb \inst10|count[5]~33 ( +// Equation(s): +// \inst10|count[5]~33_combout = (\inst10|count [5] & (\inst10|count[4]~32 $ (GND))) # (!\inst10|count [5] & (!\inst10|count[4]~32 & VCC)) +// \inst10|count[5]~34 = CARRY((\inst10|count [5] & !\inst10|count[4]~32 )) + + .dataa(\inst10|count [5]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[4]~32 ), + .combout(\inst10|count[5]~33_combout ), + .cout(\inst10|count[5]~34 )); +// synopsys translate_off +defparam \inst10|count[5]~33 .lut_mask = 16'hA50A; +defparam \inst10|count[5]~33 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N24 +cycloneii_lcell_comb \inst10|count[9]~41 ( +// Equation(s): +// \inst10|count[9]~41_combout = (\inst10|count [9] & (\inst10|count[8]~40 $ (GND))) # (!\inst10|count [9] & (!\inst10|count[8]~40 & VCC)) +// \inst10|count[9]~42 = CARRY((\inst10|count [9] & !\inst10|count[8]~40 )) + + .dataa(\inst10|count [9]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[8]~40 ), + .combout(\inst10|count[9]~41_combout ), + .cout(\inst10|count[9]~42 )); +// synopsys translate_off +defparam \inst10|count[9]~41 .lut_mask = 16'hA50A; +defparam \inst10|count[9]~41 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N6 +cycloneii_lcell_comb \inst10|count[16]~55 ( +// Equation(s): +// \inst10|count[16]~55_combout = (\inst10|count [16] & (!\inst10|count[15]~54 )) # (!\inst10|count [16] & ((\inst10|count[15]~54 ) # (GND))) +// \inst10|count[16]~56 = CARRY((!\inst10|count[15]~54 ) # (!\inst10|count [16])) + + .dataa(\inst10|count [16]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[15]~54 ), + .combout(\inst10|count[16]~55_combout ), + .cout(\inst10|count[16]~56 )); +// synopsys translate_off +defparam \inst10|count[16]~55 .lut_mask = 16'h5A5F; +defparam \inst10|count[16]~55 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N22 +cycloneii_lcell_comb \inst10|count[24]~71 ( +// Equation(s): +// \inst10|count[24]~71_combout = (\inst10|count [24] & (!\inst10|count[23]~70 )) # (!\inst10|count [24] & ((\inst10|count[23]~70 ) # (GND))) +// \inst10|count[24]~72 = CARRY((!\inst10|count[23]~70 ) # (!\inst10|count [24])) + + .dataa(\inst10|count [24]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[23]~70 ), + .combout(\inst10|count[24]~71_combout ), + .cout(\inst10|count[24]~72 )); +// synopsys translate_off +defparam \inst10|count[24]~71 .lut_mask = 16'h5A5F; +defparam \inst10|count[24]~71 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N24 +cycloneii_lcell_comb \inst10|count[25]~73 ( +// Equation(s): +// \inst10|count[25]~73_combout = \inst10|count [25] $ (!\inst10|count[24]~72 ) + + .dataa(\inst10|count [25]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[24]~72 ), + .combout(\inst10|count[25]~73_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|count[25]~73 .lut_mask = 16'hA5A5; +defparam \inst10|count[25]~73 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N6 +cycloneii_lcell_comb \inst10|second~3 ( +// Equation(s): +// \inst10|second~3_combout = (!\inst10|count [9] & (!\inst10|count [10] & (!\inst10|count [8] & !\inst10|count [11]))) + + .dataa(\inst10|count [9]), + .datab(\inst10|count [10]), + .datac(\inst10|count [8]), + .datad(\inst10|count [11]), + .cin(gnd), + .combout(\inst10|second~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~3 .lut_mask = 16'h0001; +defparam \inst10|second~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N18 +cycloneii_lcell_comb \inst8|count[1]~2 ( +// Equation(s): +// \inst8|count[1]~2_combout = (!\clear~combout & \ent~combout ) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\ent~combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst8|count[1]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~2 .lut_mask = 16'h3030; +defparam \inst8|count[1]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N8 +cycloneii_lcell_comb \inst8|op_1~0 ( +// Equation(s): +// \inst8|op_1~0_combout = \inst8|count [2] $ (((\inst8|count [1] & \inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(vcc), + .datac(\inst8|count [1]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst8|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|op_1~0 .lut_mask = 16'h5AAA; +defparam \inst8|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N14 +cycloneii_lcell_comb \inst8|op_1~1 ( +// Equation(s): +// \inst8|op_1~1_combout = \inst8|count [3] $ (((\inst8|count [1] & (\inst8|count [2] & \inst8|count [0])))) + + .dataa(\inst8|count [1]), + .datab(\inst8|count [2]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst8|op_1~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|op_1~1 .lut_mask = 16'h78F0; +defparam \inst8|op_1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N30 +cycloneii_lcell_comb \inst_12|a~13 ( +// Equation(s): +// \inst_12|a~13_combout = (\inst11|count [0] & \inst11|count [1]) + + .dataa(\inst11|count [0]), + .datab(vcc), + .datac(\inst11|count [1]), + .datad(vcc), + .cin(gnd), + .combout(\inst_12|a~13_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|a~13 .lut_mask = 16'hA0A0; +defparam \inst_12|a~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N4 +cycloneii_lcell_comb \inst11|op_1~0 ( +// Equation(s): +// \inst11|op_1~0_combout = \inst11|count [3] $ (((\inst11|count [1] & (\inst11|count [0] & \inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst11|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|op_1~0 .lut_mask = 16'h6CCC; +defparam \inst11|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G2 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clear~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clear~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clear)); +// synopsys translate_off +defparam \clear~I .input_async_reset = "none"; +defparam \clear~I .input_power_up = "low"; +defparam \clear~I .input_register_mode = "none"; +defparam \clear~I .input_sync_reset = "none"; +defparam \clear~I .oe_async_reset = "none"; +defparam \clear~I .oe_power_up = "low"; +defparam \clear~I .oe_register_mode = "none"; +defparam \clear~I .oe_sync_reset = "none"; +defparam \clear~I .operation_mode = "input"; +defparam \clear~I .output_async_reset = "none"; +defparam \clear~I .output_power_up = "low"; +defparam \clear~I .output_register_mode = "none"; +defparam \clear~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N4 +cycloneii_lcell_comb \inst10|count[0]~75 ( +// Equation(s): +// \inst10|count[0]~75_combout = !\inst10|count [0] + + .dataa(vcc), + .datab(vcc), + .datac(\inst10|count [0]), + .datad(vcc), + .cin(gnd), + .combout(\inst10|count[0]~75_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|count[0]~75 .lut_mask = 16'h0F0F; +defparam \inst10|count[0]~75 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N5 +cycloneii_lcell_ff \inst10|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[0]~75_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [0])); + +// Location: LCCOMB_X3_Y21_N8 +cycloneii_lcell_comb \inst10|count[1]~25 ( +// Equation(s): +// \inst10|count[1]~25_combout = (\inst10|count [1] & (\inst10|count [0] $ (VCC))) # (!\inst10|count [1] & (\inst10|count [0] & VCC)) +// \inst10|count[1]~26 = CARRY((\inst10|count [1] & \inst10|count [0])) + + .dataa(\inst10|count [1]), + .datab(\inst10|count [0]), + .datac(vcc), + .datad(vcc), + .cin(gnd), + .combout(\inst10|count[1]~25_combout ), + .cout(\inst10|count[1]~26 )); +// synopsys translate_off +defparam \inst10|count[1]~25 .lut_mask = 16'h6688; +defparam \inst10|count[1]~25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N12 +cycloneii_lcell_comb \inst10|count[3]~29 ( +// Equation(s): +// \inst10|count[3]~29_combout = (\inst10|count [3] & (\inst10|count[2]~28 $ (GND))) # (!\inst10|count [3] & (!\inst10|count[2]~28 & VCC)) +// \inst10|count[3]~30 = CARRY((\inst10|count [3] & !\inst10|count[2]~28 )) + + .dataa(\inst10|count [3]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[2]~28 ), + .combout(\inst10|count[3]~29_combout ), + .cout(\inst10|count[3]~30 )); +// synopsys translate_off +defparam \inst10|count[3]~29 .lut_mask = 16'hA50A; +defparam \inst10|count[3]~29 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N14 +cycloneii_lcell_comb \inst10|count[4]~31 ( +// Equation(s): +// \inst10|count[4]~31_combout = (\inst10|count [4] & (!\inst10|count[3]~30 )) # (!\inst10|count [4] & ((\inst10|count[3]~30 ) # (GND))) +// \inst10|count[4]~32 = CARRY((!\inst10|count[3]~30 ) # (!\inst10|count [4])) + + .dataa(vcc), + .datab(\inst10|count [4]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[3]~30 ), + .combout(\inst10|count[4]~31_combout ), + .cout(\inst10|count[4]~32 )); +// synopsys translate_off +defparam \inst10|count[4]~31 .lut_mask = 16'h3C3F; +defparam \inst10|count[4]~31 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N30 +cycloneii_lcell_comb \inst10|count[12]~47 ( +// Equation(s): +// \inst10|count[12]~47_combout = (\inst10|count [12] & (!\inst10|count[11]~46 )) # (!\inst10|count [12] & ((\inst10|count[11]~46 ) # (GND))) +// \inst10|count[12]~48 = CARRY((!\inst10|count[11]~46 ) # (!\inst10|count [12])) + + .dataa(\inst10|count [12]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[11]~46 ), + .combout(\inst10|count[12]~47_combout ), + .cout(\inst10|count[12]~48 )); +// synopsys translate_off +defparam \inst10|count[12]~47 .lut_mask = 16'h5A5F; +defparam \inst10|count[12]~47 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N1 +cycloneii_lcell_ff \inst10|count[12] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst10|count[12]~47_combout ), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [12])); + +// Location: LCCOMB_X2_Y21_N0 +cycloneii_lcell_comb \inst10|second~4 ( +// Equation(s): +// \inst10|second~4_combout = (!\inst10|count [14] & (!\inst10|count [13] & (!\inst10|count [12] & !\inst10|count [15]))) + + .dataa(\inst10|count [14]), + .datab(\inst10|count [13]), + .datac(\inst10|count [12]), + .datad(\inst10|count [15]), + .cin(gnd), + .combout(\inst10|second~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~4 .lut_mask = 16'h0001; +defparam \inst10|second~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y21_N20 +cycloneii_lcell_comb \inst10|count[7]~37 ( +// Equation(s): +// \inst10|count[7]~37_combout = (\inst10|count [7] & (\inst10|count[6]~36 $ (GND))) # (!\inst10|count [7] & (!\inst10|count[6]~36 & VCC)) +// \inst10|count[7]~38 = CARRY((\inst10|count [7] & !\inst10|count[6]~36 )) + + .dataa(\inst10|count [7]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[6]~36 ), + .combout(\inst10|count[7]~37_combout ), + .cout(\inst10|count[7]~38 )); +// synopsys translate_off +defparam \inst10|count[7]~37 .lut_mask = 16'hA50A; +defparam \inst10|count[7]~37 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N21 +cycloneii_lcell_ff \inst10|count[7] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[7]~37_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [7])); + +// Location: LCCOMB_X3_Y21_N0 +cycloneii_lcell_comb \inst10|second~2 ( +// Equation(s): +// \inst10|second~2_combout = (!\inst10|count [5] & (!\inst10|count [4] & (!\inst10|count [7] & !\inst10|count [6]))) + + .dataa(\inst10|count [5]), + .datab(\inst10|count [4]), + .datac(\inst10|count [7]), + .datad(\inst10|count [6]), + .cin(gnd), + .combout(\inst10|second~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~2 .lut_mask = 16'h0001; +defparam \inst10|second~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N9 +cycloneii_lcell_ff \inst10|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[1]~25_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [1])); + +// Location: LCFF_X3_Y21_N13 +cycloneii_lcell_ff \inst10|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[3]~29_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [3])); + +// Location: LCCOMB_X3_Y21_N2 +cycloneii_lcell_comb \inst10|second~1 ( +// Equation(s): +// \inst10|second~1_combout = (\inst10|count [2] & (!\inst10|count [1] & (\inst10|count [0] & !\inst10|count [3]))) + + .dataa(\inst10|count [2]), + .datab(\inst10|count [1]), + .datac(\inst10|count [0]), + .datad(\inst10|count [3]), + .cin(gnd), + .combout(\inst10|second~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~1 .lut_mask = 16'h0020; +defparam \inst10|second~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N28 +cycloneii_lcell_comb \inst10|second~5 ( +// Equation(s): +// \inst10|second~5_combout = (\inst10|second~3_combout & (\inst10|second~4_combout & (\inst10|second~2_combout & \inst10|second~1_combout ))) + + .dataa(\inst10|second~3_combout ), + .datab(\inst10|second~4_combout ), + .datac(\inst10|second~2_combout ), + .datad(\inst10|second~1_combout ), + .cin(gnd), + .combout(\inst10|second~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~5 .lut_mask = 16'h8000; +defparam \inst10|second~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N10 +cycloneii_lcell_comb \inst10|second~9 ( +// Equation(s): +// \inst10|second~9_combout = (\inst10|second~5_combout & \inst10|second~8_combout ) + + .dataa(vcc), + .datab(\inst10|second~5_combout ), + .datac(vcc), + .datad(\inst10|second~8_combout ), + .cin(gnd), + .combout(\inst10|second~9_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~9 .lut_mask = 16'hCC00; +defparam \inst10|second~9 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N15 +cycloneii_lcell_ff \inst10|count[4] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[4]~31_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [4])); + +// Location: LCCOMB_X3_Y21_N18 +cycloneii_lcell_comb \inst10|count[6]~35 ( +// Equation(s): +// \inst10|count[6]~35_combout = (\inst10|count [6] & (!\inst10|count[5]~34 )) # (!\inst10|count [6] & ((\inst10|count[5]~34 ) # (GND))) +// \inst10|count[6]~36 = CARRY((!\inst10|count[5]~34 ) # (!\inst10|count [6])) + + .dataa(vcc), + .datab(\inst10|count [6]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[5]~34 ), + .combout(\inst10|count[6]~35_combout ), + .cout(\inst10|count[6]~36 )); +// synopsys translate_off +defparam \inst10|count[6]~35 .lut_mask = 16'h3C3F; +defparam \inst10|count[6]~35 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N19 +cycloneii_lcell_ff \inst10|count[6] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[6]~35_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [6])); + +// Location: LCCOMB_X3_Y21_N22 +cycloneii_lcell_comb \inst10|count[8]~39 ( +// Equation(s): +// \inst10|count[8]~39_combout = (\inst10|count [8] & (!\inst10|count[7]~38 )) # (!\inst10|count [8] & ((\inst10|count[7]~38 ) # (GND))) +// \inst10|count[8]~40 = CARRY((!\inst10|count[7]~38 ) # (!\inst10|count [8])) + + .dataa(vcc), + .datab(\inst10|count [8]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[7]~38 ), + .combout(\inst10|count[8]~39_combout ), + .cout(\inst10|count[8]~40 )); +// synopsys translate_off +defparam \inst10|count[8]~39 .lut_mask = 16'h3C3F; +defparam \inst10|count[8]~39 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N23 +cycloneii_lcell_ff \inst10|count[8] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[8]~39_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [8])); + +// Location: LCCOMB_X3_Y21_N26 +cycloneii_lcell_comb \inst10|count[10]~43 ( +// Equation(s): +// \inst10|count[10]~43_combout = (\inst10|count [10] & (!\inst10|count[9]~42 )) # (!\inst10|count [10] & ((\inst10|count[9]~42 ) # (GND))) +// \inst10|count[10]~44 = CARRY((!\inst10|count[9]~42 ) # (!\inst10|count [10])) + + .dataa(vcc), + .datab(\inst10|count [10]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[9]~42 ), + .combout(\inst10|count[10]~43_combout ), + .cout(\inst10|count[10]~44 )); +// synopsys translate_off +defparam \inst10|count[10]~43 .lut_mask = 16'h3C3F; +defparam \inst10|count[10]~43 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N27 +cycloneii_lcell_ff \inst10|count[10] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[10]~43_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [10])); + +// Location: LCCOMB_X3_Y21_N28 +cycloneii_lcell_comb \inst10|count[11]~45 ( +// Equation(s): +// \inst10|count[11]~45_combout = (\inst10|count [11] & (\inst10|count[10]~44 $ (GND))) # (!\inst10|count [11] & (!\inst10|count[10]~44 & VCC)) +// \inst10|count[11]~46 = CARRY((\inst10|count [11] & !\inst10|count[10]~44 )) + + .dataa(vcc), + .datab(\inst10|count [11]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[10]~44 ), + .combout(\inst10|count[11]~45_combout ), + .cout(\inst10|count[11]~46 )); +// synopsys translate_off +defparam \inst10|count[11]~45 .lut_mask = 16'hC30C; +defparam \inst10|count[11]~45 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y21_N29 +cycloneii_lcell_ff \inst10|count[11] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[11]~45_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [11])); + +// Location: LCCOMB_X3_Y20_N0 +cycloneii_lcell_comb \inst10|count[13]~49 ( +// Equation(s): +// \inst10|count[13]~49_combout = (\inst10|count [13] & (\inst10|count[12]~48 $ (GND))) # (!\inst10|count [13] & (!\inst10|count[12]~48 & VCC)) +// \inst10|count[13]~50 = CARRY((\inst10|count [13] & !\inst10|count[12]~48 )) + + .dataa(vcc), + .datab(\inst10|count [13]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[12]~48 ), + .combout(\inst10|count[13]~49_combout ), + .cout(\inst10|count[13]~50 )); +// synopsys translate_off +defparam \inst10|count[13]~49 .lut_mask = 16'hC30C; +defparam \inst10|count[13]~49 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N1 +cycloneii_lcell_ff \inst10|count[13] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[13]~49_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [13])); + +// Location: LCCOMB_X3_Y20_N2 +cycloneii_lcell_comb \inst10|count[14]~51 ( +// Equation(s): +// \inst10|count[14]~51_combout = (\inst10|count [14] & (!\inst10|count[13]~50 )) # (!\inst10|count [14] & ((\inst10|count[13]~50 ) # (GND))) +// \inst10|count[14]~52 = CARRY((!\inst10|count[13]~50 ) # (!\inst10|count [14])) + + .dataa(vcc), + .datab(\inst10|count [14]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[13]~50 ), + .combout(\inst10|count[14]~51_combout ), + .cout(\inst10|count[14]~52 )); +// synopsys translate_off +defparam \inst10|count[14]~51 .lut_mask = 16'h3C3F; +defparam \inst10|count[14]~51 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N11 +cycloneii_lcell_ff \inst10|count[14] ( + .clk(\clk~clkctrl_outclk ), + .datain(gnd), + .sdata(\inst10|count[14]~51_combout ), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [14])); + +// Location: LCCOMB_X3_Y20_N4 +cycloneii_lcell_comb \inst10|count[15]~53 ( +// Equation(s): +// \inst10|count[15]~53_combout = (\inst10|count [15] & (\inst10|count[14]~52 $ (GND))) # (!\inst10|count [15] & (!\inst10|count[14]~52 & VCC)) +// \inst10|count[15]~54 = CARRY((\inst10|count [15] & !\inst10|count[14]~52 )) + + .dataa(vcc), + .datab(\inst10|count [15]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[14]~52 ), + .combout(\inst10|count[15]~53_combout ), + .cout(\inst10|count[15]~54 )); +// synopsys translate_off +defparam \inst10|count[15]~53 .lut_mask = 16'hC30C; +defparam \inst10|count[15]~53 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N5 +cycloneii_lcell_ff \inst10|count[15] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[15]~53_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [15])); + +// Location: LCCOMB_X3_Y20_N8 +cycloneii_lcell_comb \inst10|count[17]~57 ( +// Equation(s): +// \inst10|count[17]~57_combout = (\inst10|count [17] & (\inst10|count[16]~56 $ (GND))) # (!\inst10|count [17] & (!\inst10|count[16]~56 & VCC)) +// \inst10|count[17]~58 = CARRY((\inst10|count [17] & !\inst10|count[16]~56 )) + + .dataa(\inst10|count [17]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[16]~56 ), + .combout(\inst10|count[17]~57_combout ), + .cout(\inst10|count[17]~58 )); +// synopsys translate_off +defparam \inst10|count[17]~57 .lut_mask = 16'hA50A; +defparam \inst10|count[17]~57 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N9 +cycloneii_lcell_ff \inst10|count[17] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[17]~57_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [17])); + +// Location: LCCOMB_X3_Y20_N10 +cycloneii_lcell_comb \inst10|count[18]~59 ( +// Equation(s): +// \inst10|count[18]~59_combout = (\inst10|count [18] & (!\inst10|count[17]~58 )) # (!\inst10|count [18] & ((\inst10|count[17]~58 ) # (GND))) +// \inst10|count[18]~60 = CARRY((!\inst10|count[17]~58 ) # (!\inst10|count [18])) + + .dataa(\inst10|count [18]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[17]~58 ), + .combout(\inst10|count[18]~59_combout ), + .cout(\inst10|count[18]~60 )); +// synopsys translate_off +defparam \inst10|count[18]~59 .lut_mask = 16'h5A5F; +defparam \inst10|count[18]~59 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N11 +cycloneii_lcell_ff \inst10|count[18] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[18]~59_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [18])); + +// Location: LCCOMB_X3_Y20_N12 +cycloneii_lcell_comb \inst10|count[19]~61 ( +// Equation(s): +// \inst10|count[19]~61_combout = (\inst10|count [19] & (\inst10|count[18]~60 $ (GND))) # (!\inst10|count [19] & (!\inst10|count[18]~60 & VCC)) +// \inst10|count[19]~62 = CARRY((\inst10|count [19] & !\inst10|count[18]~60 )) + + .dataa(\inst10|count [19]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[18]~60 ), + .combout(\inst10|count[19]~61_combout ), + .cout(\inst10|count[19]~62 )); +// synopsys translate_off +defparam \inst10|count[19]~61 .lut_mask = 16'hA50A; +defparam \inst10|count[19]~61 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N13 +cycloneii_lcell_ff \inst10|count[19] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[19]~61_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [19])); + +// Location: LCCOMB_X3_Y20_N30 +cycloneii_lcell_comb \inst10|second~6 ( +// Equation(s): +// \inst10|second~6_combout = (!\inst10|count [16] & (!\inst10|count [17] & (!\inst10|count [18] & !\inst10|count [19]))) + + .dataa(\inst10|count [16]), + .datab(\inst10|count [17]), + .datac(\inst10|count [18]), + .datad(\inst10|count [19]), + .cin(gnd), + .combout(\inst10|second~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~6 .lut_mask = 16'h0001; +defparam \inst10|second~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N14 +cycloneii_lcell_comb \inst10|count[20]~63 ( +// Equation(s): +// \inst10|count[20]~63_combout = (\inst10|count [20] & (!\inst10|count[19]~62 )) # (!\inst10|count [20] & ((\inst10|count[19]~62 ) # (GND))) +// \inst10|count[20]~64 = CARRY((!\inst10|count[19]~62 ) # (!\inst10|count [20])) + + .dataa(\inst10|count [20]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[19]~62 ), + .combout(\inst10|count[20]~63_combout ), + .cout(\inst10|count[20]~64 )); +// synopsys translate_off +defparam \inst10|count[20]~63 .lut_mask = 16'h5A5F; +defparam \inst10|count[20]~63 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N16 +cycloneii_lcell_comb \inst10|count[21]~65 ( +// Equation(s): +// \inst10|count[21]~65_combout = (\inst10|count [21] & (\inst10|count[20]~64 $ (GND))) # (!\inst10|count [21] & (!\inst10|count[20]~64 & VCC)) +// \inst10|count[21]~66 = CARRY((\inst10|count [21] & !\inst10|count[20]~64 )) + + .dataa(vcc), + .datab(\inst10|count [21]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[20]~64 ), + .combout(\inst10|count[21]~65_combout ), + .cout(\inst10|count[21]~66 )); +// synopsys translate_off +defparam \inst10|count[21]~65 .lut_mask = 16'hC30C; +defparam \inst10|count[21]~65 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N17 +cycloneii_lcell_ff \inst10|count[21] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[21]~65_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [21])); + +// Location: LCCOMB_X3_Y20_N18 +cycloneii_lcell_comb \inst10|count[22]~67 ( +// Equation(s): +// \inst10|count[22]~67_combout = (\inst10|count [22] & (!\inst10|count[21]~66 )) # (!\inst10|count [22] & ((\inst10|count[21]~66 ) # (GND))) +// \inst10|count[22]~68 = CARRY((!\inst10|count[21]~66 ) # (!\inst10|count [22])) + + .dataa(\inst10|count [22]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[21]~66 ), + .combout(\inst10|count[22]~67_combout ), + .cout(\inst10|count[22]~68 )); +// synopsys translate_off +defparam \inst10|count[22]~67 .lut_mask = 16'h5A5F; +defparam \inst10|count[22]~67 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N20 +cycloneii_lcell_comb \inst10|count[23]~69 ( +// Equation(s): +// \inst10|count[23]~69_combout = (\inst10|count [23] & (\inst10|count[22]~68 $ (GND))) # (!\inst10|count [23] & (!\inst10|count[22]~68 & VCC)) +// \inst10|count[23]~70 = CARRY((\inst10|count [23] & !\inst10|count[22]~68 )) + + .dataa(vcc), + .datab(\inst10|count [23]), + .datac(vcc), + .datad(vcc), + .cin(\inst10|count[22]~68 ), + .combout(\inst10|count[23]~69_combout ), + .cout(\inst10|count[23]~70 )); +// synopsys translate_off +defparam \inst10|count[23]~69 .lut_mask = 16'hC30C; +defparam \inst10|count[23]~69 .sum_lutc_input = "cin"; +// synopsys translate_on + +// Location: LCFF_X3_Y20_N21 +cycloneii_lcell_ff \inst10|count[23] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[23]~69_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [23])); + +// Location: LCFF_X3_Y20_N23 +cycloneii_lcell_ff \inst10|count[24] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[24]~71_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [24])); + +// Location: LCFF_X3_Y20_N15 +cycloneii_lcell_ff \inst10|count[20] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[20]~63_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [20])); + +// Location: LCFF_X3_Y20_N19 +cycloneii_lcell_ff \inst10|count[22] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst10|count[22]~67_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(\inst10|second~9_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst10|count [22])); + +// Location: LCCOMB_X3_Y20_N28 +cycloneii_lcell_comb \inst10|second~7 ( +// Equation(s): +// \inst10|second~7_combout = (!\inst10|count [23] & (!\inst10|count [20] & (!\inst10|count [21] & !\inst10|count [22]))) + + .dataa(\inst10|count [23]), + .datab(\inst10|count [20]), + .datac(\inst10|count [21]), + .datad(\inst10|count [22]), + .cin(gnd), + .combout(\inst10|second~7_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~7 .lut_mask = 16'h0001; +defparam \inst10|second~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X3_Y20_N26 +cycloneii_lcell_comb \inst10|second~8 ( +// Equation(s): +// \inst10|second~8_combout = (!\inst10|count [25] & (\inst10|second~6_combout & (!\inst10|count [24] & \inst10|second~7_combout ))) + + .dataa(\inst10|count [25]), + .datab(\inst10|second~6_combout ), + .datac(\inst10|count [24]), + .datad(\inst10|second~7_combout ), + .cin(gnd), + .combout(\inst10|second~8_combout ), + .cout()); +// synopsys translate_off +defparam \inst10|second~8 .lut_mask = 16'h0400; +defparam \inst10|second~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N2 +cycloneii_lcell_comb \inst8|count[1]~1 ( +// Equation(s): +// \inst8|count[1]~1_combout = (!\clear~combout & (((!\inst10|second~5_combout ) # (!\inst10|second~8_combout )) # (!\ent~combout ))) + + .dataa(\ent~combout ), + .datab(\clear~combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst8|count[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~1 .lut_mask = 16'h1333; +defparam \inst8|count[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N14 +cycloneii_lcell_comb \inst8|count[3]~6 ( +// Equation(s): +// \inst8|count[3]~6_combout = (\inst8|op_1~1_combout & ((\inst8|count[1]~3_combout ) # ((\inst8|count [3] & \inst8|count[1]~1_combout )))) # (!\inst8|op_1~1_combout & (((\inst8|count [3] & \inst8|count[1]~1_combout )))) + + .dataa(\inst8|op_1~1_combout ), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [3]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[3]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[3]~6 .lut_mask = 16'hF888; +defparam \inst8|count[3]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N15 +cycloneii_lcell_ff \inst8|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[3]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [3])); + +// Location: LCCOMB_X2_Y21_N6 +cycloneii_lcell_comb \inst8|count[1]~4 ( +// Equation(s): +// \inst8|count[1]~4_combout = (\inst8|count [1] & ((\inst8|count[1]~1_combout ) # ((!\inst8|count [0] & \inst8|count[1]~3_combout )))) # (!\inst8|count [1] & (\inst8|count [0] & (\inst8|count[1]~3_combout ))) + + .dataa(\inst8|count [0]), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [1]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~4 .lut_mask = 16'hF848; +defparam \inst8|count[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N7 +cycloneii_lcell_ff \inst8|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[1]~4_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [1])); + +// Location: LCCOMB_X2_Y21_N24 +cycloneii_lcell_comb \inst8|count[0]~0 ( +// Equation(s): +// \inst8|count[0]~0_combout = (!\clear~combout & (\inst8|count [0] $ (((\ent~combout & \inst10|second~9_combout ))))) + + .dataa(\ent~combout ), + .datab(\clear~combout ), + .datac(\inst8|count [0]), + .datad(\inst10|second~9_combout ), + .cin(gnd), + .combout(\inst8|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[0]~0 .lut_mask = 16'h1230; +defparam \inst8|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N25 +cycloneii_lcell_ff \inst8|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[0]~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [0])); + +// Location: LCCOMB_X1_Y21_N12 +cycloneii_lcell_comb \inst_|a~13 ( +// Equation(s): +// \inst_|a~13_combout = (!\inst8|count [2] & (\inst8|count [3] & (\inst8|count [1] & \inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [3]), + .datac(\inst8|count [1]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|a~13_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|a~13 .lut_mask = 16'h4000; +defparam \inst_|a~13 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N8 +cycloneii_lcell_comb \inst8|count[1]~3 ( +// Equation(s): +// \inst8|count[1]~3_combout = (\inst8|count[1]~2_combout & (!\inst_|a~13_combout & (\inst10|second~8_combout & \inst10|second~5_combout ))) + + .dataa(\inst8|count[1]~2_combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst8|count[1]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[1]~3 .lut_mask = 16'h2000; +defparam \inst8|count[1]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N4 +cycloneii_lcell_comb \inst8|count[2]~5 ( +// Equation(s): +// \inst8|count[2]~5_combout = (\inst8|op_1~0_combout & ((\inst8|count[1]~3_combout ) # ((\inst8|count [2] & \inst8|count[1]~1_combout )))) # (!\inst8|op_1~0_combout & (((\inst8|count [2] & \inst8|count[1]~1_combout )))) + + .dataa(\inst8|op_1~0_combout ), + .datab(\inst8|count[1]~3_combout ), + .datac(\inst8|count [2]), + .datad(\inst8|count[1]~1_combout ), + .cin(gnd), + .combout(\inst8|count[2]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst8|count[2]~5 .lut_mask = 16'hF888; +defparam \inst8|count[2]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N5 +cycloneii_lcell_ff \inst8|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst8|count[2]~5_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst8|count [2])); + +// Location: LCCOMB_X1_Y20_N28 +cycloneii_lcell_comb \inst_|a~12 ( +// Equation(s): +// \inst_|a~12_combout = (\inst8|count [2] & (!\inst8|count [1] & (\inst8|count [3] $ (!\inst8|count [0])))) # (!\inst8|count [2] & (\inst8|count [0] & (\inst8|count [1] $ (!\inst8|count [3])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|a~12 .lut_mask = 16'h6102; +defparam \inst_|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N22 +cycloneii_lcell_comb \inst_|b~3 ( +// Equation(s): +// \inst_|b~3_combout = (\inst8|count [1] & ((\inst8|count [0] & ((\inst8|count [3]))) # (!\inst8|count [0] & (\inst8|count [2])))) # (!\inst8|count [1] & (\inst8|count [2] & (\inst8|count [3] $ (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|b~3 .lut_mask = 16'hC2A8; +defparam \inst_|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N16 +cycloneii_lcell_comb \inst_|c~1 ( +// Equation(s): +// \inst_|c~1_combout = (\inst8|count [2] & (\inst8|count [3] & ((\inst8|count [1]) # (!\inst8|count [0])))) # (!\inst8|count [2] & (\inst8|count [1] & (!\inst8|count [3] & !\inst8|count [0]))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|c~1 .lut_mask = 16'h80A4; +defparam \inst_|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N26 +cycloneii_lcell_comb \inst_|d~0 ( +// Equation(s): +// \inst_|d~0_combout = (\inst8|count [1] & ((\inst8|count [2] & ((\inst8|count [0]))) # (!\inst8|count [2] & (\inst8|count [3] & !\inst8|count [0])))) # (!\inst8|count [1] & (!\inst8|count [3] & (\inst8|count [2] $ (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|d~0 .lut_mask = 16'h8942; +defparam \inst_|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N20 +cycloneii_lcell_comb \inst_|e~0 ( +// Equation(s): +// \inst_|e~0_combout = (\inst8|count [1] & (((!\inst8|count [3] & \inst8|count [0])))) # (!\inst8|count [1] & ((\inst8|count [2] & (!\inst8|count [3])) # (!\inst8|count [2] & ((\inst8|count [0]))))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|e~0 .lut_mask = 16'h1F02; +defparam \inst_|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N10 +cycloneii_lcell_comb \inst_|f~0 ( +// Equation(s): +// \inst_|f~0_combout = (\inst8|count [2] & (\inst8|count [0] & (\inst8|count [1] $ (\inst8|count [3])))) # (!\inst8|count [2] & (!\inst8|count [3] & ((\inst8|count [1]) # (\inst8|count [0])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|f~0 .lut_mask = 16'h2D04; +defparam \inst_|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y20_N12 +cycloneii_lcell_comb \inst_|g~0 ( +// Equation(s): +// \inst_|g~0_combout = (\inst8|count [0] & ((\inst8|count [3]) # (\inst8|count [2] $ (\inst8|count [1])))) # (!\inst8|count [0] & ((\inst8|count [1]) # (\inst8|count [2] $ (\inst8|count [3])))) + + .dataa(\inst8|count [2]), + .datab(\inst8|count [1]), + .datac(\inst8|count [3]), + .datad(\inst8|count [0]), + .cin(gnd), + .combout(\inst_|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|g~0 .lut_mask = 16'hF6DE; +defparam \inst_|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \ent~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\ent~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(ent)); +// synopsys translate_off +defparam \ent~I .input_async_reset = "none"; +defparam \ent~I .input_power_up = "low"; +defparam \ent~I .input_register_mode = "none"; +defparam \ent~I .input_sync_reset = "none"; +defparam \ent~I .oe_async_reset = "none"; +defparam \ent~I .oe_power_up = "low"; +defparam \ent~I .oe_register_mode = "none"; +defparam \ent~I .oe_sync_reset = "none"; +defparam \ent~I .operation_mode = "input"; +defparam \ent~I .output_async_reset = "none"; +defparam \ent~I .output_power_up = "low"; +defparam \ent~I .output_register_mode = "none"; +defparam \ent~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N24 +cycloneii_lcell_comb \inst11|count[1]~1 ( +// Equation(s): +// \inst11|count[1]~1_combout = (!\inst_|a~13_combout ) # (!\ent~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\ent~combout ), + .datad(\inst_|a~13_combout ), + .cin(gnd), + .combout(\inst11|count[1]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~1 .lut_mask = 16'h0FFF; +defparam \inst11|count[1]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N12 +cycloneii_lcell_comb \inst11|count[1]~2 ( +// Equation(s): +// \inst11|count[1]~2_combout = (!\clear~combout & (((\inst11|count[1]~1_combout ) # (!\inst10|second~8_combout )) # (!\inst10|second~5_combout ))) + + .dataa(\clear~combout ), + .datab(\inst10|second~5_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst11|count[1]~1_combout ), + .cin(gnd), + .combout(\inst11|count[1]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~2 .lut_mask = 16'h5515; +defparam \inst11|count[1]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N18 +cycloneii_lcell_comb \inst11|count[3]~8 ( +// Equation(s): +// \inst11|count[3]~8_combout = (\inst11|op_1~0_combout & ((\inst11|count[1]~5_combout ) # ((\inst11|count [3] & \inst11|count[1]~2_combout )))) # (!\inst11|op_1~0_combout & (((\inst11|count [3] & \inst11|count[1]~2_combout )))) + + .dataa(\inst11|op_1~0_combout ), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [3]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[3]~8_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[3]~8 .lut_mask = 16'hF888; +defparam \inst11|count[3]~8 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N19 +cycloneii_lcell_ff \inst11|count[3] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[3]~8_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [3])); + +// Location: LCCOMB_X2_Y21_N30 +cycloneii_lcell_comb \inst11|_~0 ( +// Equation(s): +// \inst11|_~0_combout = (\ent~combout & (\inst_|a~13_combout & (\inst10|second~8_combout & \inst10|second~5_combout ))) + + .dataa(\ent~combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst10|second~5_combout ), + .cin(gnd), + .combout(\inst11|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|_~0 .lut_mask = 16'h8000; +defparam \inst11|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N16 +cycloneii_lcell_comb \inst11|count[0]~0 ( +// Equation(s): +// \inst11|count[0]~0_combout = (!\clear~combout & (\inst11|count [0] $ (\inst11|_~0_combout ))) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\inst11|count [0]), + .datad(\inst11|_~0_combout ), + .cin(gnd), + .combout(\inst11|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[0]~0 .lut_mask = 16'h0330; +defparam \inst11|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N17 +cycloneii_lcell_ff \inst11|count[0] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[0]~0_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [0])); + +// Location: LCCOMB_X2_Y21_N20 +cycloneii_lcell_comb \inst11|count[2]~7 ( +// Equation(s): +// \inst11|count[2]~7_combout = (\inst11|count [2] & ((\inst11|count[1]~2_combout ) # ((!\inst_12|a~13_combout & \inst11|count[1]~5_combout )))) # (!\inst11|count [2] & (\inst_12|a~13_combout & (\inst11|count[1]~5_combout ))) + + .dataa(\inst_12|a~13_combout ), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [2]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[2]~7_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[2]~7 .lut_mask = 16'hF848; +defparam \inst11|count[2]~7 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N21 +cycloneii_lcell_ff \inst11|count[2] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[2]~7_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [2])); + +// Location: LCCOMB_X1_Y21_N2 +cycloneii_lcell_comb \inst11|count[1]~3 ( +// Equation(s): +// \inst11|count[1]~3_combout = (((\inst11|count [2]) # (!\inst11|count [0])) # (!\inst11|count [3])) # (!\inst11|count [1]) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst11|count[1]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~3 .lut_mask = 16'hFF7F; +defparam \inst11|count[1]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N16 +cycloneii_lcell_comb \inst11|count[1]~4 ( +// Equation(s): +// \inst11|count[1]~4_combout = (!\clear~combout & (\ent~combout & \inst11|count[1]~3_combout )) + + .dataa(vcc), + .datab(\clear~combout ), + .datac(\ent~combout ), + .datad(\inst11|count[1]~3_combout ), + .cin(gnd), + .combout(\inst11|count[1]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~4 .lut_mask = 16'h3000; +defparam \inst11|count[1]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N22 +cycloneii_lcell_comb \inst11|count[1]~5 ( +// Equation(s): +// \inst11|count[1]~5_combout = (\inst10|second~5_combout & (\inst_|a~13_combout & (\inst10|second~8_combout & \inst11|count[1]~4_combout ))) + + .dataa(\inst10|second~5_combout ), + .datab(\inst_|a~13_combout ), + .datac(\inst10|second~8_combout ), + .datad(\inst11|count[1]~4_combout ), + .cin(gnd), + .combout(\inst11|count[1]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~5 .lut_mask = 16'h8000; +defparam \inst11|count[1]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X2_Y21_N26 +cycloneii_lcell_comb \inst11|count[1]~6 ( +// Equation(s): +// \inst11|count[1]~6_combout = (\inst11|count [1] & ((\inst11|count[1]~2_combout ) # ((!\inst11|count [0] & \inst11|count[1]~5_combout )))) # (!\inst11|count [1] & (\inst11|count [0] & (\inst11|count[1]~5_combout ))) + + .dataa(\inst11|count [0]), + .datab(\inst11|count[1]~5_combout ), + .datac(\inst11|count [1]), + .datad(\inst11|count[1]~2_combout ), + .cin(gnd), + .combout(\inst11|count[1]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst11|count[1]~6 .lut_mask = 16'hF848; +defparam \inst11|count[1]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X2_Y21_N27 +cycloneii_lcell_ff \inst11|count[1] ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst11|count[1]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst11|count [1])); + +// Location: LCCOMB_X1_Y21_N20 +cycloneii_lcell_comb \inst_12|a~12 ( +// Equation(s): +// \inst_12|a~12_combout = (\inst11|count [3] & (\inst11|count [0] & (\inst11|count [1] $ (\inst11|count [2])))) # (!\inst11|count [3] & (!\inst11|count [1] & (\inst11|count [0] $ (\inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|a~12 .lut_mask = 16'h4190; +defparam \inst_12|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N10 +cycloneii_lcell_comb \inst_12|b~3 ( +// Equation(s): +// \inst_12|b~3_combout = (\inst11|count [1] & ((\inst11|count [0] & (\inst11|count [3])) # (!\inst11|count [0] & ((\inst11|count [2]))))) # (!\inst11|count [1] & (\inst11|count [2] & (\inst11|count [3] $ (\inst11|count [0])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|b~3 .lut_mask = 16'h9E80; +defparam \inst_12|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N0 +cycloneii_lcell_comb \inst_12|c~1 ( +// Equation(s): +// \inst_12|c~1_combout = (\inst11|count [3] & (\inst11|count [2] & ((\inst11|count [1]) # (!\inst11|count [0])))) # (!\inst11|count [3] & (!\inst11|count [0] & (!\inst11|count [2] & \inst11|count [1]))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|c~1 .lut_mask = 16'hA120; +defparam \inst_12|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N26 +cycloneii_lcell_comb \inst_12|d~0 ( +// Equation(s): +// \inst_12|d~0_combout = (\inst11|count [1] & ((\inst11|count [0] & ((\inst11|count [2]))) # (!\inst11|count [0] & (\inst11|count [3] & !\inst11|count [2])))) # (!\inst11|count [1] & (!\inst11|count [3] & (\inst11|count [0] $ (\inst11|count [2])))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|d~0 .lut_mask = 16'hC214; +defparam \inst_12|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N0 +cycloneii_lcell_comb \inst_12|e~0 ( +// Equation(s): +// \inst_12|e~0_combout = (\inst11|count [1] & (!\inst11|count [3] & (\inst11|count [0]))) # (!\inst11|count [1] & ((\inst11|count [2] & (!\inst11|count [3])) # (!\inst11|count [2] & ((\inst11|count [0]))))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|e~0 .lut_mask = 16'h3170; +defparam \inst_12|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y19_N20 +cycloneii_lcell_comb \inst_12|f~0 ( +// Equation(s): +// \inst_12|f~0_combout = (\inst11|count [0] & (\inst11|count [3] $ (((\inst11|count [1]) # (!\inst11|count [2]))))) # (!\inst11|count [0] & (!\inst11|count [3] & (!\inst11|count [2] & \inst11|count [1]))) + + .dataa(\inst11|count [3]), + .datab(\inst11|count [0]), + .datac(\inst11|count [2]), + .datad(\inst11|count [1]), + .cin(gnd), + .combout(\inst_12|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|f~0 .lut_mask = 16'h4584; +defparam \inst_12|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y21_N26 +cycloneii_lcell_comb \inst_12|g~0 ( +// Equation(s): +// \inst_12|g~0_combout = (\inst11|count [0] & ((\inst11|count [3]) # (\inst11|count [1] $ (\inst11|count [2])))) # (!\inst11|count [0] & ((\inst11|count [1]) # (\inst11|count [3] $ (\inst11|count [2])))) + + .dataa(\inst11|count [1]), + .datab(\inst11|count [3]), + .datac(\inst11|count [0]), + .datad(\inst11|count [2]), + .cin(gnd), + .combout(\inst_12|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_12|g~0 .lut_mask = 16'hDBEE; +defparam \inst_12|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst_|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst_|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst_|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst_|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst_|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst_|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst_|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_G3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A1~I ( + .datain(\inst_12|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A1)); +// synopsys translate_off +defparam \OUTPUT_A1~I .input_async_reset = "none"; +defparam \OUTPUT_A1~I .input_power_up = "low"; +defparam \OUTPUT_A1~I .input_register_mode = "none"; +defparam \OUTPUT_A1~I .input_sync_reset = "none"; +defparam \OUTPUT_A1~I .oe_async_reset = "none"; +defparam \OUTPUT_A1~I .oe_power_up = "low"; +defparam \OUTPUT_A1~I .oe_register_mode = "none"; +defparam \OUTPUT_A1~I .oe_sync_reset = "none"; +defparam \OUTPUT_A1~I .operation_mode = "output"; +defparam \OUTPUT_A1~I .output_async_reset = "none"; +defparam \OUTPUT_A1~I .output_power_up = "low"; +defparam \OUTPUT_A1~I .output_register_mode = "none"; +defparam \OUTPUT_A1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H4, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B2~I ( + .datain(\inst_12|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B2)); +// synopsys translate_off +defparam \OUTPUT_B2~I .input_async_reset = "none"; +defparam \OUTPUT_B2~I .input_power_up = "low"; +defparam \OUTPUT_B2~I .input_register_mode = "none"; +defparam \OUTPUT_B2~I .input_sync_reset = "none"; +defparam \OUTPUT_B2~I .oe_async_reset = "none"; +defparam \OUTPUT_B2~I .oe_power_up = "low"; +defparam \OUTPUT_B2~I .oe_register_mode = "none"; +defparam \OUTPUT_B2~I .oe_sync_reset = "none"; +defparam \OUTPUT_B2~I .operation_mode = "output"; +defparam \OUTPUT_B2~I .output_async_reset = "none"; +defparam \OUTPUT_B2~I .output_power_up = "low"; +defparam \OUTPUT_B2~I .output_register_mode = "none"; +defparam \OUTPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C3~I ( + .datain(\inst_12|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C3)); +// synopsys translate_off +defparam \OUTPUT_C3~I .input_async_reset = "none"; +defparam \OUTPUT_C3~I .input_power_up = "low"; +defparam \OUTPUT_C3~I .input_register_mode = "none"; +defparam \OUTPUT_C3~I .input_sync_reset = "none"; +defparam \OUTPUT_C3~I .oe_async_reset = "none"; +defparam \OUTPUT_C3~I .oe_power_up = "low"; +defparam \OUTPUT_C3~I .oe_register_mode = "none"; +defparam \OUTPUT_C3~I .oe_sync_reset = "none"; +defparam \OUTPUT_C3~I .operation_mode = "output"; +defparam \OUTPUT_C3~I .output_async_reset = "none"; +defparam \OUTPUT_C3~I .output_power_up = "low"; +defparam \OUTPUT_C3~I .output_register_mode = "none"; +defparam \OUTPUT_C3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_L8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D4~I ( + .datain(\inst_12|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D4)); +// synopsys translate_off +defparam \OUTPUT_D4~I .input_async_reset = "none"; +defparam \OUTPUT_D4~I .input_power_up = "low"; +defparam \OUTPUT_D4~I .input_register_mode = "none"; +defparam \OUTPUT_D4~I .input_sync_reset = "none"; +defparam \OUTPUT_D4~I .oe_async_reset = "none"; +defparam \OUTPUT_D4~I .oe_power_up = "low"; +defparam \OUTPUT_D4~I .oe_register_mode = "none"; +defparam \OUTPUT_D4~I .oe_sync_reset = "none"; +defparam \OUTPUT_D4~I .operation_mode = "output"; +defparam \OUTPUT_D4~I .output_async_reset = "none"; +defparam \OUTPUT_D4~I .output_power_up = "low"; +defparam \OUTPUT_D4~I .output_register_mode = "none"; +defparam \OUTPUT_D4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E5~I ( + .datain(\inst_12|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E5)); +// synopsys translate_off +defparam \OUTPUT_E5~I .input_async_reset = "none"; +defparam \OUTPUT_E5~I .input_power_up = "low"; +defparam \OUTPUT_E5~I .input_register_mode = "none"; +defparam \OUTPUT_E5~I .input_sync_reset = "none"; +defparam \OUTPUT_E5~I .oe_async_reset = "none"; +defparam \OUTPUT_E5~I .oe_power_up = "low"; +defparam \OUTPUT_E5~I .oe_register_mode = "none"; +defparam \OUTPUT_E5~I .oe_sync_reset = "none"; +defparam \OUTPUT_E5~I .operation_mode = "output"; +defparam \OUTPUT_E5~I .output_async_reset = "none"; +defparam \OUTPUT_E5~I .output_power_up = "low"; +defparam \OUTPUT_E5~I .output_register_mode = "none"; +defparam \OUTPUT_E5~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H3, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F6~I ( + .datain(\inst_12|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F6)); +// synopsys translate_off +defparam \OUTPUT_F6~I .input_async_reset = "none"; +defparam \OUTPUT_F6~I .input_power_up = "low"; +defparam \OUTPUT_F6~I .input_register_mode = "none"; +defparam \OUTPUT_F6~I .input_sync_reset = "none"; +defparam \OUTPUT_F6~I .oe_async_reset = "none"; +defparam \OUTPUT_F6~I .oe_power_up = "low"; +defparam \OUTPUT_F6~I .oe_register_mode = "none"; +defparam \OUTPUT_F6~I .oe_sync_reset = "none"; +defparam \OUTPUT_F6~I .operation_mode = "output"; +defparam \OUTPUT_F6~I .output_async_reset = "none"; +defparam \OUTPUT_F6~I .output_power_up = "low"; +defparam \OUTPUT_F6~I .output_register_mode = "none"; +defparam \OUTPUT_F6~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G7~I ( + .datain(!\inst_12|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G7)); +// synopsys translate_off +defparam \OUTPUT_G7~I .input_async_reset = "none"; +defparam \OUTPUT_G7~I .input_power_up = "low"; +defparam \OUTPUT_G7~I .input_register_mode = "none"; +defparam \OUTPUT_G7~I .input_sync_reset = "none"; +defparam \OUTPUT_G7~I .oe_async_reset = "none"; +defparam \OUTPUT_G7~I .oe_power_up = "low"; +defparam \OUTPUT_G7~I .oe_register_mode = "none"; +defparam \OUTPUT_G7~I .oe_sync_reset = "none"; +defparam \OUTPUT_G7~I .operation_mode = "output"; +defparam \OUTPUT_G7~I .output_async_reset = "none"; +defparam \OUTPUT_G7~I .output_power_up = "low"; +defparam \OUTPUT_G7~I .output_register_mode = "none"; +defparam \OUTPUT_G7~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_modelsim.xrf b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_modelsim.xrf new file mode 100644 index 0000000..66d2b67 --- /dev/null +++ b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_modelsim.xrf @@ -0,0 +1,136 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_dec_counter.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder2.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder2.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_sec_cnt.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder3.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_Cascade.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_cascade.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/db/YL_7SegmentDecoder.cbx.xml +design_name = YL_7SegmentDecoder +instance = comp, \inst10|count[2] , inst10|count[2], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[5] , inst10|count[5], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[9] , inst10|count[9], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[16] , inst10|count[16], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[25] , inst10|count[25], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[2]~27 , inst10|count[2]~27, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[5]~33 , inst10|count[5]~33, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[9]~41 , inst10|count[9]~41, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[16]~55 , inst10|count[16]~55, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[24]~71 , inst10|count[24]~71, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[25]~73 , inst10|count[25]~73, YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~3 , inst10|second~3, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[1]~2 , inst8|count[1]~2, YL_7SegmentDecoder, 1 +instance = comp, \inst8|op_1~0 , inst8|op_1~0, YL_7SegmentDecoder, 1 +instance = comp, \inst8|op_1~1 , inst8|op_1~1, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|a~13 , inst_12|a~13, YL_7SegmentDecoder, 1 +instance = comp, \inst11|op_1~0 , inst11|op_1~0, YL_7SegmentDecoder, 1 +instance = comp, \clk~I , clk, YL_7SegmentDecoder, 1 +instance = comp, \clk~clkctrl , clk~clkctrl, YL_7SegmentDecoder, 1 +instance = comp, \clear~I , clear, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[0]~75 , inst10|count[0]~75, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[0] , inst10|count[0], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[1]~25 , inst10|count[1]~25, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[3]~29 , inst10|count[3]~29, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[4]~31 , inst10|count[4]~31, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[12]~47 , inst10|count[12]~47, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[12] , inst10|count[12], YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~4 , inst10|second~4, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[7]~37 , inst10|count[7]~37, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[7] , inst10|count[7], YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~2 , inst10|second~2, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[1] , inst10|count[1], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[3] , inst10|count[3], YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~1 , inst10|second~1, YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~5 , inst10|second~5, YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~9 , inst10|second~9, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[4] , inst10|count[4], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[6]~35 , inst10|count[6]~35, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[6] , inst10|count[6], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[8]~39 , inst10|count[8]~39, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[8] , inst10|count[8], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[10]~43 , inst10|count[10]~43, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[10] , inst10|count[10], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[11]~45 , inst10|count[11]~45, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[11] , inst10|count[11], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[13]~49 , inst10|count[13]~49, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[13] , inst10|count[13], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[14]~51 , inst10|count[14]~51, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[14] , inst10|count[14], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[15]~53 , inst10|count[15]~53, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[15] , inst10|count[15], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[17]~57 , inst10|count[17]~57, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[17] , inst10|count[17], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[18]~59 , inst10|count[18]~59, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[18] , inst10|count[18], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[19]~61 , inst10|count[19]~61, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[19] , inst10|count[19], YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~6 , inst10|second~6, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[20]~63 , inst10|count[20]~63, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[21]~65 , inst10|count[21]~65, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[21] , inst10|count[21], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[22]~67 , inst10|count[22]~67, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[23]~69 , inst10|count[23]~69, YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[23] , inst10|count[23], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[24] , inst10|count[24], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[20] , inst10|count[20], YL_7SegmentDecoder, 1 +instance = comp, \inst10|count[22] , inst10|count[22], YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~7 , inst10|second~7, YL_7SegmentDecoder, 1 +instance = comp, \inst10|second~8 , inst10|second~8, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[1]~1 , inst8|count[1]~1, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[3]~6 , inst8|count[3]~6, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[3] , inst8|count[3], YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[1]~4 , inst8|count[1]~4, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[1] , inst8|count[1], YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[0]~0 , inst8|count[0]~0, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[0] , inst8|count[0], YL_7SegmentDecoder, 1 +instance = comp, \inst_|a~13 , inst_|a~13, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[1]~3 , inst8|count[1]~3, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[2]~5 , inst8|count[2]~5, YL_7SegmentDecoder, 1 +instance = comp, \inst8|count[2] , inst8|count[2], YL_7SegmentDecoder, 1 +instance = comp, \inst_|a~12 , inst_|a~12, YL_7SegmentDecoder, 1 +instance = comp, \inst_|b~3 , inst_|b~3, YL_7SegmentDecoder, 1 +instance = comp, \inst_|c~1 , inst_|c~1, YL_7SegmentDecoder, 1 +instance = comp, \inst_|d~0 , inst_|d~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_|e~0 , inst_|e~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_|f~0 , inst_|f~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_|g~0 , inst_|g~0, YL_7SegmentDecoder, 1 +instance = comp, \ent~I , ent, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~1 , inst11|count[1]~1, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~2 , inst11|count[1]~2, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[3]~8 , inst11|count[3]~8, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[3] , inst11|count[3], YL_7SegmentDecoder, 1 +instance = comp, \inst11|_~0 , inst11|_~0, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[0]~0 , inst11|count[0]~0, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[0] , inst11|count[0], YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[2]~7 , inst11|count[2]~7, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[2] , inst11|count[2], YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~3 , inst11|count[1]~3, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~4 , inst11|count[1]~4, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~5 , inst11|count[1]~5, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1]~6 , inst11|count[1]~6, YL_7SegmentDecoder, 1 +instance = comp, \inst11|count[1] , inst11|count[1], YL_7SegmentDecoder, 1 +instance = comp, \inst_12|a~12 , inst_12|a~12, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|b~3 , inst_12|b~3, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|c~1 , inst_12|c~1, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|d~0 , inst_12|d~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|e~0 , inst_12|e~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|f~0 , inst_12|f~0, YL_7SegmentDecoder, 1 +instance = comp, \inst_12|g~0 , inst_12|g~0, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_A~I , OUTPUT_A, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_B~I , OUTPUT_B, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_C~I , OUTPUT_C, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_D~I , OUTPUT_D, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_E~I , OUTPUT_E, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_F~I , OUTPUT_F, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_G~I , OUTPUT_G, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_A1~I , OUTPUT_A1, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_B2~I , OUTPUT_B2, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_C3~I , OUTPUT_C3, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_D4~I , OUTPUT_D4, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_E5~I , OUTPUT_E5, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_F6~I , OUTPUT_F6, YL_7SegmentDecoder, 1 +instance = comp, \OUTPUT_G7~I , OUTPUT_G7, YL_7SegmentDecoder, 1 diff --git a/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v.sdo b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v.sdo new file mode 100644 index 0000000..c4b8617 --- /dev/null +++ b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v.sdo @@ -0,0 +1,1797 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_7SegmentDecoder") + (DATE "05/03/2020 22:06:52") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[16\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[25\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[2\]\~27) + (DELAY + (ABSOLUTE + (PORT dataa (386:386:386) (386:386:386)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[5\]\~33) + (DELAY + (ABSOLUTE + (PORT dataa (392:392:392) (392:392:392)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[9\]\~41) + (DELAY + (ABSOLUTE + (PORT dataa (393:393:393) (393:393:393)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[16\]\~55) + (DELAY + (ABSOLUTE + (PORT dataa (390:390:390) (390:390:390)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[24\]\~71) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (605:605:605)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[25\]\~73) + (DELAY + (ABSOLUTE + (PORT dataa (386:386:386) (386:386:386)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH cin combout (458:458:458) (458:458:458)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~3) + (DELAY + (ABSOLUTE + (PORT dataa (398:398:398) (398:398:398)) + (PORT datab (371:371:371) (371:371:371)) + (PORT datac (590:590:590) (590:590:590)) + (PORT datad (367:367:367) (367:367:367)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (450:450:450) (450:450:450)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (2796:2796:2796) (2796:2796:2796)) + (PORT datac (2666:2666:2666) (2666:2666:2666)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (322:322:322) (322:322:322)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|op_1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datac (846:846:846) (846:846:846)) + (PORT datad (693:693:693) (693:693:693)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|op_1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (619:619:619) (619:619:619)) + (PORT datab (841:841:841) (841:841:841)) + (PORT datac (556:556:556) (556:556:556)) + (PORT datad (691:691:691) (691:691:691)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|a\~13) + (DELAY + (ABSOLUTE + (PORT dataa (728:728:728) (728:728:728)) + (PORT datac (699:699:699) (699:699:699)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datac combout (322:322:322) (322:322:322)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|op_1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (721:721:721) (721:721:721)) + (PORT datab (711:711:711) (711:711:711)) + (PORT datac (717:717:717) (717:717:717)) + (PORT datad (705:705:705) (705:705:705)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clk\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE clk\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (238:238:238) (238:238:238)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE clk\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (279:279:279) (279:279:279)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (239:239:239) (239:239:239)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (55:55:55)) + (HOLD d (posedge clk) (110:110:110)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clear\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1036:1036:1036) (1036:1036:1036)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[0\]\~75) + (DELAY + (ABSOLUTE + (IOPATH datac combout (358:358:358) (358:358:358)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[1\]\~25) + (DELAY + (ABSOLUTE + (PORT dataa (614:614:614) (614:614:614)) + (PORT datab (374:374:374) (374:374:374)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[3\]\~29) + (DELAY + (ABSOLUTE + (PORT dataa (386:386:386) (386:386:386)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[4\]\~31) + (DELAY + (ABSOLUTE + (PORT datab (372:372:372) (372:372:372)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (596:596:596) (596:596:596)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (174:174:174) (174:174:174)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[12\]\~47) + (DELAY + (ABSOLUTE + (PORT dataa (597:597:597) (597:597:597)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (609:609:609) (609:609:609)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (161:161:161) (161:161:161)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[12\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT sdata (1248:1248:1248) (1248:1248:1248)) + (PORT sclr (1335:1335:1335) (1335:1335:1335)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD sclr (posedge clk) (286:286:286)) + (HOLD sdata (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~4) + (DELAY + (ABSOLUTE + (PORT dataa (391:391:391) (391:391:391)) + (PORT datab (926:926:926) (926:926:926)) + (PORT datad (1189:1189:1189) (1189:1189:1189)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (450:450:450) (450:450:450)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[7\]\~37) + (DELAY + (ABSOLUTE + (PORT dataa (393:393:393) (393:393:393)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~2) + (DELAY + (ABSOLUTE + (PORT dataa (400:400:400) (400:400:400)) + (PORT datab (375:375:375) (375:375:375)) + (PORT datac (384:384:384) (384:384:384)) + (PORT datad (364:364:364) (364:364:364)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (450:450:450) (450:450:450)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~1) + (DELAY + (ABSOLUTE + (PORT dataa (387:387:387) (387:387:387)) + (PORT datab (379:379:379) (379:379:379)) + (PORT datac (376:376:376) (376:376:376)) + (PORT datad (365:365:365) (365:365:365)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~5) + (DELAY + (ABSOLUTE + (PORT dataa (551:551:551) (551:551:551)) + (PORT datab (306:306:306) (306:306:306)) + (PORT datac (561:561:561) (561:561:561)) + (PORT datad (531:531:531) (531:531:531)) + (IOPATH dataa combout (512:512:512) (512:512:512)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~9) + (DELAY + (ABSOLUTE + (PORT datab (336:336:336) (336:336:336)) + (PORT datad (1106:1106:1106) (1106:1106:1106)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[6\]\~35) + (DELAY + (ABSOLUTE + (PORT datab (363:363:363) (363:363:363)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[8\]\~39) + (DELAY + (ABSOLUTE + (PORT datab (368:368:368) (368:368:368)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[10\]\~43) + (DELAY + (ABSOLUTE + (PORT datab (368:368:368) (368:368:368)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[11\]\~45) + (DELAY + (ABSOLUTE + (PORT datab (368:368:368) (368:368:368)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1582:1582:1582) (1582:1582:1582)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1098:1098:1098) (1098:1098:1098)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[13\]\~49) + (DELAY + (ABSOLUTE + (PORT datab (360:360:360) (360:360:360)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[14\]\~51) + (DELAY + (ABSOLUTE + (PORT datab (1196:1196:1196) (1196:1196:1196)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[14\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT sdata (1302:1302:1302) (1302:1302:1302)) + (PORT sclr (1335:1335:1335) (1335:1335:1335)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD sclr (posedge clk) (286:286:286)) + (HOLD sdata (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[15\]\~53) + (DELAY + (ABSOLUTE + (PORT datab (368:368:368) (368:368:368)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[17\]\~57) + (DELAY + (ABSOLUTE + (PORT dataa (605:605:605) (605:605:605)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[17\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[18\]\~59) + (DELAY + (ABSOLUTE + (PORT dataa (395:395:395) (395:395:395)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[18\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[19\]\~61) + (DELAY + (ABSOLUTE + (PORT dataa (391:391:391) (391:391:391)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[19\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~6) + (DELAY + (ABSOLUTE + (PORT dataa (393:393:393) (393:393:393)) + (PORT datab (370:370:370) (370:370:370)) + (PORT datac (588:588:588) (588:588:588)) + (PORT datad (369:369:369) (369:369:369)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (450:450:450) (450:450:450)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[20\]\~63) + (DELAY + (ABSOLUTE + (PORT dataa (554:554:554) (554:554:554)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (620:620:620) (620:620:620)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (174:174:174) (174:174:174)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[21\]\~65) + (DELAY + (ABSOLUTE + (PORT datab (587:587:587) (587:587:587)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[21\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[22\]\~67) + (DELAY + (ABSOLUTE + (PORT dataa (603:603:603) (603:603:603)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH dataa cout (517:517:517) (517:517:517)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[23\]\~69) + (DELAY + (ABSOLUTE + (PORT datab (587:587:587) (587:587:587)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datab cout (495:495:495) (495:495:495)) + (IOPATH datad combout (178:178:178) (178:178:178)) + (IOPATH cin combout (458:458:458) (458:458:458)) + (IOPATH cin cout (80:80:80) (80:80:80)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[23\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[24\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[20\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[22\]) + (DELAY + (ABSOLUTE + (PORT clk (1574:1574:1574) (1574:1574:1574)) + (PORT datain (96:96:96) (96:96:96)) + (PORT sclr (1426:1426:1426) (1426:1426:1426)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + (HOLD sclr (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~7) + (DELAY + (ABSOLUTE + (PORT dataa (393:393:393) (393:393:393)) + (PORT datab (370:370:370) (370:370:370)) + (PORT datac (378:378:378) (378:378:378)) + (PORT datad (356:356:356) (356:356:356)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (450:450:450) (450:450:450)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~8) + (DELAY + (ABSOLUTE + (PORT dataa (387:387:387) (387:387:387)) + (PORT datab (294:294:294) (294:294:294)) + (PORT datac (590:590:590) (590:590:590)) + (PORT datad (289:289:289) (289:289:289)) + (IOPATH dataa combout (449:449:449) (449:449:449)) + (IOPATH datab combout (477:477:477) (477:477:477)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (3043:3043:3043) (3043:3043:3043)) + (PORT datab (3317:3317:3317) (3317:3317:3317)) + (PORT datac (935:935:935) (935:935:935)) + (PORT datad (332:332:332) (332:332:332)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[3\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (1541:1541:1541) (1541:1541:1541)) + (PORT datab (305:305:305) (305:305:305)) + (PORT datad (316:316:316) (316:316:316)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (385:385:385) (385:385:385)) + (PORT datab (305:305:305) (305:305:305)) + (PORT datad (313:313:313) (313:313:313)) + (IOPATH dataa combout (541:541:541) (541:541:541)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (3039:3039:3039) (3039:3039:3039)) + (PORT datab (3315:3315:3315) (3315:3315:3315)) + (PORT datad (808:808:808) (808:808:808)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|a\~13) + (DELAY + (ABSOLUTE + (PORT dataa (630:630:630) (630:630:630)) + (PORT datab (554:554:554) (554:554:554)) + (PORT datac (847:847:847) (847:847:847)) + (PORT datad (693:693:693) (693:693:693)) + (IOPATH dataa combout (449:449:449) (449:449:449)) + (IOPATH datab combout (485:485:485) (485:485:485)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (812:812:812) (812:812:812)) + (PORT datab (817:817:817) (817:817:817)) + (PORT datac (934:934:934) (934:934:934)) + (PORT datad (331:331:331) (331:331:331)) + (IOPATH dataa combout (513:513:513) (513:513:513)) + (IOPATH datab combout (427:427:427) (427:427:427)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[2\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (549:549:549) (549:549:549)) + (PORT datab (305:305:305) (305:305:305)) + (PORT datad (313:313:313) (313:313:313)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (1281:1281:1281) (1281:1281:1281)) + (PORT datab (1250:1250:1250) (1250:1250:1250)) + (PORT datac (1272:1272:1272) (1272:1272:1272)) + (PORT datad (1009:1009:1009) (1009:1009:1009)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (485:485:485) (485:485:485)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (1278:1278:1278) (1278:1278:1278)) + (PORT datab (1247:1247:1247) (1247:1247:1247)) + (PORT datac (1269:1269:1269) (1269:1269:1269)) + (PORT datad (1006:1006:1006) (1006:1006:1006)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1280:1280:1280) (1280:1280:1280)) + (PORT datab (1245:1245:1245) (1245:1245:1245)) + (PORT datac (1268:1268:1268) (1268:1268:1268)) + (PORT datad (1005:1005:1005) (1005:1005:1005)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1280:1280:1280) (1280:1280:1280)) + (PORT datab (1249:1249:1249) (1249:1249:1249)) + (PORT datac (1271:1271:1271) (1271:1271:1271)) + (PORT datad (1008:1008:1008) (1008:1008:1008)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1279:1279:1279) (1279:1279:1279)) + (PORT datab (1246:1246:1246) (1246:1246:1246)) + (PORT datac (1268:1268:1268) (1268:1268:1268)) + (PORT datad (1005:1005:1005) (1005:1005:1005)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (483:483:483) (483:483:483)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1282:1282:1282) (1282:1282:1282)) + (PORT datab (1253:1253:1253) (1253:1253:1253)) + (PORT datac (1275:1275:1275) (1275:1275:1275)) + (PORT datad (1012:1012:1012) (1012:1012:1012)) + (IOPATH dataa combout (513:513:513) (513:513:513)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1281:1281:1281) (1281:1281:1281)) + (PORT datab (1252:1252:1252) (1252:1252:1252)) + (PORT datac (1273:1273:1273) (1273:1273:1273)) + (PORT datad (1010:1010:1010) (1010:1010:1010)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (319:319:319) (319:319:319)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE ent\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (2665:2665:2665) (2665:2665:2665)) + (PORT datad (294:294:294) (294:294:294)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (3303:3303:3303) (3303:3303:3303)) + (PORT datab (334:334:334) (334:334:334)) + (PORT datac (936:936:936) (936:936:936)) + (PORT datad (517:517:517) (517:517:517)) + (IOPATH dataa combout (455:455:455) (455:455:455)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[3\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (1485:1485:1485) (1485:1485:1485)) + (PORT datab (317:317:317) (317:317:317)) + (PORT datad (307:307:307) (307:307:307)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|_\~0) + (DELAY + (ABSOLUTE + (PORT dataa (3040:3040:3040) (3040:3040:3040)) + (PORT datab (819:819:819) (819:819:819)) + (PORT datac (938:938:938) (938:938:938)) + (PORT datad (328:328:328) (328:328:328)) + (IOPATH dataa combout (512:512:512) (512:512:512)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (3315:3315:3315) (3315:3315:3315)) + (PORT datad (308:308:308) (308:308:308)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[2\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (485:485:485) (485:485:485)) + (PORT datab (317:317:317) (317:317:317)) + (PORT datad (307:307:307) (307:307:307)) + (IOPATH dataa combout (541:541:541) (541:541:541)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (722:722:722) (722:722:722)) + (PORT datab (712:712:712) (712:712:712)) + (PORT datac (718:718:718) (718:718:718)) + (PORT datad (706:706:706) (706:706:706)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (319:319:319) (319:319:319)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~4) + (DELAY + (ABSOLUTE + (PORT datab (2796:2796:2796) (2796:2796:2796)) + (PORT datac (2667:2667:2667) (2667:2667:2667)) + (PORT datad (288:288:288) (288:288:288)) + (IOPATH datab combout (427:427:427) (427:427:427)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (550:550:550) (550:550:550)) + (PORT datab (820:820:820) (820:820:820)) + (PORT datac (937:937:937) (937:937:937)) + (PORT datad (519:519:519) (519:519:519)) + (IOPATH dataa combout (512:512:512) (512:512:512)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (384:384:384) (384:384:384)) + (PORT datab (317:317:317) (317:317:317)) + (PORT datad (306:306:306) (306:306:306)) + (IOPATH dataa combout (541:541:541) (541:541:541)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (358:358:358) (358:358:358)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1581:1581:1581) (1581:1581:1581)) + (PORT datain (96:96:96) (96:96:96)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (710:710:710) (710:710:710)) + (PORT datab (692:692:692) (692:692:692)) + (PORT datac (700:700:700) (700:700:700)) + (PORT datad (689:689:689) (689:689:689)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (720:720:720) (720:720:720)) + (PORT datab (710:710:710) (710:710:710)) + (PORT datac (715:715:715) (715:715:715)) + (PORT datad (703:703:703) (703:703:703)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (971:971:971) (971:971:971)) + (PORT datab (1001:1001:1001) (1001:1001:1001)) + (PORT datac (1192:1192:1192) (1192:1192:1192)) + (PORT datad (991:991:991) (991:991:991)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (973:973:973) (973:973:973)) + (PORT datab (997:997:997) (997:997:997)) + (PORT datac (1196:1196:1196) (1196:1196:1196)) + (PORT datad (988:988:988) (988:988:988)) + (IOPATH dataa combout (512:512:512) (512:512:512)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (722:722:722) (722:722:722)) + (PORT datab (712:712:712) (712:712:712)) + (PORT datac (718:718:718) (718:718:718)) + (PORT datad (706:706:706) (706:706:706)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (975:975:975) (975:975:975)) + (PORT datab (996:996:996) (996:996:996)) + (PORT datac (1196:1196:1196) (1196:1196:1196)) + (PORT datad (987:987:987) (987:987:987)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (709:709:709) (709:709:709)) + (PORT datab (702:702:702) (702:702:702)) + (PORT datac (708:708:708) (708:708:708)) + (PORT datad (695:695:695) (695:695:695)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (863:863:863) (863:863:863)) + (IOPATH datain padio (2810:2810:2810) (2810:2810:2810)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (871:871:871) (871:871:871)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (748:748:748) (748:748:748)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (857:857:857) (857:857:857)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2830:2830:2830) (2830:2830:2830)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (741:741:741) (741:741:741)) + (IOPATH datain padio (2860:2860:2860) (2860:2860:2860)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E5\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (521:521:521) (521:521:521)) + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F6\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G7\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) +) diff --git a/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v_fast.sdo b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v_fast.sdo new file mode 100644 index 0000000..4c9b804 --- /dev/null +++ b/Exp28_Decoder/simulation/modelsim/YL_7SegmentDecoder_v_fast.sdo @@ -0,0 +1,1797 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_7SegmentDecoder") + (DATE "05/03/2020 22:06:52") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[5\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[9\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[16\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[25\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[2\]\~27) + (DELAY + (ABSOLUTE + (PORT dataa (163:163:163) (163:163:163)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[5\]\~33) + (DELAY + (ABSOLUTE + (PORT dataa (165:165:165) (165:165:165)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[9\]\~41) + (DELAY + (ABSOLUTE + (PORT dataa (167:167:167) (167:167:167)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[16\]\~55) + (DELAY + (ABSOLUTE + (PORT dataa (164:164:164) (164:164:164)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[24\]\~71) + (DELAY + (ABSOLUTE + (PORT dataa (241:241:241) (241:241:241)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[25\]\~73) + (DELAY + (ABSOLUTE + (PORT dataa (163:163:163) (163:163:163)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH cin combout (170:170:170) (170:170:170)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~3) + (DELAY + (ABSOLUTE + (PORT dataa (172:172:172) (172:172:172)) + (PORT datab (159:159:159) (159:159:159)) + (PORT datac (233:233:233) (233:233:233)) + (PORT datad (158:158:158) (158:158:158)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~2) + (DELAY + (ABSOLUTE + (PORT datab (1192:1192:1192) (1192:1192:1192)) + (PORT datac (1141:1141:1141) (1141:1141:1141)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (133:133:133) (133:133:133)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|op_1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (252:252:252) (252:252:252)) + (PORT datac (326:326:326) (326:326:326)) + (PORT datad (291:291:291) (291:291:291)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|op_1\~1) + (DELAY + (ABSOLUTE + (PORT dataa (247:247:247) (247:247:247)) + (PORT datab (323:323:323) (323:323:323)) + (PORT datac (235:235:235) (235:235:235)) + (PORT datad (289:289:289) (289:289:289)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|a\~13) + (DELAY + (ABSOLUTE + (PORT dataa (311:311:311) (311:311:311)) + (PORT datac (294:294:294) (294:294:294)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datac combout (133:133:133) (133:133:133)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|op_1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (307:307:307) (307:307:307)) + (PORT datab (302:302:302) (302:302:302)) + (PORT datac (310:310:310) (310:310:310)) + (PORT datad (301:301:301) (301:301:301)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clk\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE clk\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (186:186:186) (186:186:186)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE clk\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (260:260:260) (260:260:260)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (173:173:173) (173:173:173)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (33:33:33)) + (HOLD d (posedge clk) (56:56:56)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clear\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (581:581:581) (581:581:581)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[0\]\~75) + (DELAY + (ABSOLUTE + (IOPATH datac combout (184:184:184) (184:184:184)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[1\]\~25) + (DELAY + (ABSOLUTE + (PORT dataa (242:242:242) (242:242:242)) + (PORT datab (161:161:161) (161:161:161)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[3\]\~29) + (DELAY + (ABSOLUTE + (PORT dataa (163:163:163) (163:163:163)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[4\]\~31) + (DELAY + (ABSOLUTE + (PORT datab (159:159:159) (159:159:159)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (198:198:198) (198:198:198)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (94:94:94) (94:94:94)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[12\]\~47) + (DELAY + (ABSOLUTE + (PORT dataa (234:234:234) (234:234:234)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (195:195:195) (195:195:195)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (87:87:87) (87:87:87)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[12\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT sdata (492:492:492) (492:492:492)) + (PORT sclr (564:564:564) (564:564:564)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD sclr (posedge clk) (152:152:152)) + (HOLD sdata (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~4) + (DELAY + (ABSOLUTE + (PORT dataa (163:163:163) (163:163:163)) + (PORT datab (358:358:358) (358:358:358)) + (PORT datad (452:452:452) (452:452:452)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[7\]\~37) + (DELAY + (ABSOLUTE + (PORT dataa (167:167:167) (167:167:167)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[7\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~2) + (DELAY + (ABSOLUTE + (PORT dataa (174:174:174) (174:174:174)) + (PORT datab (161:161:161) (161:161:161)) + (PORT datac (167:167:167) (167:167:167)) + (PORT datad (156:156:156) (156:156:156)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~1) + (DELAY + (ABSOLUTE + (PORT dataa (164:164:164) (164:164:164)) + (PORT datab (161:161:161) (161:161:161)) + (PORT datac (160:160:160) (160:160:160)) + (PORT datad (157:157:157) (157:157:157)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (135:135:135) (135:135:135)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~5) + (DELAY + (ABSOLUTE + (PORT dataa (200:200:200) (200:200:200)) + (PORT datab (115:115:115) (115:115:115)) + (PORT datac (210:210:210) (210:210:210)) + (PORT datad (193:193:193) (193:193:193)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~9) + (DELAY + (ABSOLUTE + (PORT datab (128:128:128) (128:128:128)) + (PORT datad (399:399:399) (399:399:399)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[4\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[6\]\~35) + (DELAY + (ABSOLUTE + (PORT datab (154:154:154) (154:154:154)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[6\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[8\]\~39) + (DELAY + (ABSOLUTE + (PORT datab (154:154:154) (154:154:154)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[8\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[10\]\~43) + (DELAY + (ABSOLUTE + (PORT datab (156:156:156) (156:156:156)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[10\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[11\]\~45) + (DELAY + (ABSOLUTE + (PORT datab (157:157:157) (157:157:157)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[11\]) + (DELAY + (ABSOLUTE + (PORT clk (1024:1024:1024) (1024:1024:1024)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (482:482:482) (482:482:482)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[13\]\~49) + (DELAY + (ABSOLUTE + (PORT datab (149:149:149) (149:149:149)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[13\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[14\]\~51) + (DELAY + (ABSOLUTE + (PORT datab (457:457:457) (457:457:457)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[14\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT sdata (516:516:516) (516:516:516)) + (PORT sclr (564:564:564) (564:564:564)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD sclr (posedge clk) (152:152:152)) + (HOLD sdata (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[15\]\~53) + (DELAY + (ABSOLUTE + (PORT datab (154:154:154) (154:154:154)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[15\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[17\]\~57) + (DELAY + (ABSOLUTE + (PORT dataa (237:237:237) (237:237:237)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[17\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[18\]\~59) + (DELAY + (ABSOLUTE + (PORT dataa (165:165:165) (165:165:165)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[18\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[19\]\~61) + (DELAY + (ABSOLUTE + (PORT dataa (165:165:165) (165:165:165)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[19\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~6) + (DELAY + (ABSOLUTE + (PORT dataa (168:168:168) (168:168:168)) + (PORT datab (156:156:156) (156:156:156)) + (PORT datac (232:232:232) (232:232:232)) + (PORT datad (159:159:159) (159:159:159)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[20\]\~63) + (DELAY + (ABSOLUTE + (PORT dataa (229:229:229) (229:229:229)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (204:204:204) (204:204:204)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (94:94:94) (94:94:94)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[21\]\~65) + (DELAY + (ABSOLUTE + (PORT datab (230:230:230) (230:230:230)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[21\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[22\]\~67) + (DELAY + (ABSOLUTE + (PORT dataa (237:237:237) (237:237:237)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH dataa cout (150:150:150) (150:150:150)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|count\[23\]\~69) + (DELAY + (ABSOLUTE + (PORT datab (231:231:231) (231:231:231)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datab cout (143:143:143) (143:143:143)) + (IOPATH datad combout (59:59:59) (59:59:59)) + (IOPATH cin combout (170:170:170) (170:170:170)) + (IOPATH cin cout (35:35:35) (35:35:35)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[23\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[24\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[20\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst10\|count\[22\]) + (DELAY + (ABSOLUTE + (PORT clk (1017:1017:1017) (1017:1017:1017)) + (PORT datain (42:42:42) (42:42:42)) + (PORT sclr (604:604:604) (604:604:604)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + (HOLD sclr (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~7) + (DELAY + (ABSOLUTE + (PORT dataa (164:164:164) (164:164:164)) + (PORT datab (156:156:156) (156:156:156)) + (PORT datac (159:159:159) (159:159:159)) + (PORT datad (149:149:149) (149:149:149)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst10\|second\~8) + (DELAY + (ABSOLUTE + (PORT dataa (164:164:164) (164:164:164)) + (PORT datab (106:106:106) (106:106:106)) + (PORT datac (235:235:235) (235:235:235)) + (PORT datad (104:104:104) (104:104:104)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1292:1292:1292) (1292:1292:1292)) + (PORT datab (1376:1376:1376) (1376:1376:1376)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (126:126:126) (126:126:126)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[3\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (582:582:582) (582:582:582)) + (PORT datab (112:112:112) (112:112:112)) + (PORT datad (119:119:119) (119:119:119)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~4) + (DELAY + (ABSOLUTE + (PORT dataa (161:161:161) (161:161:161)) + (PORT datab (112:112:112) (112:112:112)) + (PORT datad (117:117:117) (117:117:117)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1288:1288:1288) (1288:1288:1288)) + (PORT datab (1374:1374:1374) (1374:1374:1374)) + (PORT datad (292:292:292) (292:292:292)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|a\~13) + (DELAY + (ABSOLUTE + (PORT dataa (253:253:253) (253:253:253)) + (PORT datab (235:235:235) (235:235:235)) + (PORT datac (327:327:327) (327:327:327)) + (PORT datad (290:290:290) (290:290:290)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[1\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (291:291:291) (291:291:291)) + (PORT datab (294:294:294) (294:294:294)) + (PORT datac (359:359:359) (359:359:359)) + (PORT datad (125:125:125) (125:125:125)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst8\|count\[2\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (197:197:197) (197:197:197)) + (PORT datab (112:112:112) (112:112:112)) + (PORT datad (117:117:117) (117:117:117)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst8\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (500:500:500) (500:500:500)) + (PORT datab (488:488:488) (488:488:488)) + (PORT datac (505:505:505) (505:505:505)) + (PORT datad (404:404:404) (404:404:404)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (498:498:498) (498:498:498)) + (PORT datab (485:485:485) (485:485:485)) + (PORT datac (502:502:502) (502:502:502)) + (PORT datad (402:402:402) (402:402:402)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (499:499:499) (499:499:499)) + (PORT datab (483:483:483) (483:483:483)) + (PORT datac (501:501:501) (501:501:501)) + (PORT datad (400:400:400) (400:400:400)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (500:500:500) (500:500:500)) + (PORT datab (488:488:488) (488:488:488)) + (PORT datac (504:504:504) (504:504:504)) + (PORT datad (404:404:404) (404:404:404)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (498:498:498) (498:498:498)) + (PORT datab (485:485:485) (485:485:485)) + (PORT datac (501:501:501) (501:501:501)) + (PORT datad (401:401:401) (401:401:401)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (501:501:501) (501:501:501)) + (PORT datab (491:491:491) (491:491:491)) + (PORT datac (506:506:506) (506:506:506)) + (PORT datad (406:406:406) (406:406:406)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (500:500:500) (500:500:500)) + (PORT datab (490:490:490) (490:490:490)) + (PORT datac (506:506:506) (506:506:506)) + (PORT datad (406:406:406) (406:406:406)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE ent\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~1) + (DELAY + (ABSOLUTE + (PORT datac (1140:1140:1140) (1140:1140:1140)) + (PORT datad (104:104:104) (104:104:104)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (79:79:79) (79:79:79)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~2) + (DELAY + (ABSOLUTE + (PORT dataa (1369:1369:1369) (1369:1369:1369)) + (PORT datab (126:126:126) (126:126:126)) + (PORT datac (360:360:360) (360:360:360)) + (PORT datad (184:184:184) (184:184:184)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[3\]\~8) + (DELAY + (ABSOLUTE + (PORT dataa (540:540:540) (540:540:540)) + (PORT datab (118:118:118) (118:118:118)) + (PORT datad (115:115:115) (115:115:115)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[3\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|_\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1290:1290:1290) (1290:1290:1290)) + (PORT datab (296:296:296) (296:296:296)) + (PORT datac (361:361:361) (361:361:361)) + (PORT datad (123:123:123) (123:123:123)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[0\]\~0) + (DELAY + (ABSOLUTE + (PORT datab (1375:1375:1375) (1375:1375:1375)) + (PORT datad (114:114:114) (114:114:114)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[0\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[2\]\~7) + (DELAY + (ABSOLUTE + (PORT dataa (183:183:183) (183:183:183)) + (PORT datab (118:118:118) (118:118:118)) + (PORT datad (115:115:115) (115:115:115)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[2\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~3) + (DELAY + (ABSOLUTE + (PORT dataa (307:307:307) (307:307:307)) + (PORT datab (303:303:303) (303:303:303)) + (PORT datac (311:311:311) (311:311:311)) + (PORT datad (302:302:302) (302:302:302)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~4) + (DELAY + (ABSOLUTE + (PORT datab (1193:1193:1193) (1193:1193:1193)) + (PORT datac (1141:1141:1141) (1141:1141:1141)) + (PORT datad (104:104:104) (104:104:104)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~5) + (DELAY + (ABSOLUTE + (PORT dataa (195:195:195) (195:195:195)) + (PORT datab (297:297:297) (297:297:297)) + (PORT datac (361:361:361) (361:361:361)) + (PORT datad (183:183:183) (183:183:183)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (133:133:133) (133:133:133)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst11\|count\[1\]\~6) + (DELAY + (ABSOLUTE + (PORT dataa (159:159:159) (159:159:159)) + (PORT datab (119:119:119) (119:119:119)) + (PORT datad (114:114:114) (114:114:114)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (184:184:184) (184:184:184)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst11\|count\[1\]) + (DELAY + (ABSOLUTE + (PORT clk (1022:1022:1022) (1022:1022:1022)) + (PORT datain (42:42:42) (42:42:42)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (300:300:300) (300:300:300)) + (PORT datab (287:287:287) (287:287:287)) + (PORT datac (294:294:294) (294:294:294)) + (PORT datad (286:286:286) (286:286:286)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (305:305:305) (305:305:305)) + (PORT datab (301:301:301) (301:301:301)) + (PORT datac (308:308:308) (308:308:308)) + (PORT datad (299:299:299) (299:299:299)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (383:383:383) (383:383:383)) + (PORT datab (406:406:406) (406:406:406)) + (PORT datac (463:463:463) (463:463:463)) + (PORT datad (403:403:403) (403:403:403)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (388:388:388) (388:388:388)) + (PORT datab (403:403:403) (403:403:403)) + (PORT datac (467:467:467) (467:467:467)) + (PORT datad (400:400:400) (400:400:400)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (307:307:307) (307:307:307)) + (PORT datab (303:303:303) (303:303:303)) + (PORT datac (311:311:311) (311:311:311)) + (PORT datad (302:302:302) (302:302:302)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (389:389:389) (389:389:389)) + (PORT datab (400:400:400) (400:400:400)) + (PORT datac (468:468:468) (468:468:468)) + (PORT datad (399:399:399) (399:399:399)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_12\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (298:298:298) (298:298:298)) + (PORT datab (293:293:293) (293:293:293)) + (PORT datac (301:301:301) (301:301:301)) + (PORT datad (292:292:292) (292:292:292)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (348:348:348) (348:348:348)) + (IOPATH datain padio (1378:1378:1378) (1378:1378:1378)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (353:353:353) (353:353:353)) + (IOPATH datain padio (1408:1408:1408) (1408:1408:1408)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (293:293:293) (293:293:293)) + (IOPATH datain padio (1408:1408:1408) (1408:1408:1408)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (341:341:341) (341:341:341)) + (IOPATH datain padio (1408:1408:1408) (1408:1408:1408)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1418:1418:1418) (1418:1418:1418)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1418:1418:1418) (1418:1418:1418)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1418:1418:1418) (1418:1418:1418)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1408:1408:1408) (1408:1408:1408)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1398:1398:1398) (1398:1398:1398)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (290:290:290) (290:290:290)) + (IOPATH datain padio (1428:1428:1428) (1428:1428:1428)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1388:1388:1388) (1388:1388:1388)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E5\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (217:217:217) (217:217:217)) + (IOPATH datain padio (1388:1388:1388) (1388:1388:1388)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F6\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1408:1408:1408) (1408:1408:1408)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G7\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (216:216:216) (216:216:216)) + (IOPATH datain padio (1388:1388:1388) (1388:1388:1388)) + ) + ) + ) +) diff --git a/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.do b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.do new file mode 100644 index 0000000..d049d7d --- /dev/null +++ b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.do @@ -0,0 +1,10 @@ +onerror {quit -f} +vlib work +vlog -work work YL_7SegmentDecoder.vo +vlog -work work YL_7SegmentDecoder.vt +vsim -novopt -c -t 1ps -L cycloneii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.YL_7SegmentDecoder_vlg_vec_tst +vcd file -direction YL_7SegmentDecoder.msim.vcd +vcd add -internal YL_7SegmentDecoder_vlg_vec_tst/* +vcd add -internal YL_7SegmentDecoder_vlg_vec_tst/i1/* +add wave /* +run -all diff --git a/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.sim.vwf b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.sim.vwf new file mode 100644 index 0000000..cbf6416 --- /dev/null +++ b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.sim.vwf @@ -0,0 +1,809 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 30000.0; + SIMULATION_TIME = 30000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E5") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F6") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G7") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 26880.0; + LEVEL 1 FOR 640.0; + LEVEL 0 FOR 2480.0; + } +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1500; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 16640.0; + LEVEL 0 FOR 3840.0; + LEVEL 1 FOR 9520.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 4560.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 720.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 490.0; + } +} + +TRANSITION_LIST("OUTPUT_A1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 8640.0; + LEVEL 1 FOR 5280.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 590.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 4080.0; + NODE + { + REPEAT = 4; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 240.0; + } + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1200.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 250.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 7190.0; + LEVEL 1 FOR 2880.0; + LEVEL 0 FOR 5760.0; + LEVEL 1 FOR 5280.0; + LEVEL 0 FOR 8890.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 230.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 5160.0; + NODE + { + REPEAT = 3; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1920.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1320.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 730.0; + } +} + +TRANSITION_LIST("OUTPUT_C3") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 2870.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 19680.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 4570.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 45; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 4080.0; + NODE + { + REPEAT = 17; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 840.0; + NODE + { + REPEAT = 6; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 240.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_D4") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + NODE + { + REPEAT = 3; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + } + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 6720.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 2880.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 10; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 3960.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + NODE + { + REPEAT = 4; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + } + LEVEL 0 FOR 960.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + } + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 10.0; + } +} + +TRANSITION_LIST("OUTPUT_E5") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 4320.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1440.0; + } + LEVEL 0 FOR 8160.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 1440.0; + LEVEL 1 FOR 1460.0; + LEVEL 0 FOR 2020.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + NODE + { + REPEAT = 11; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 4200.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1200.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 360.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_F6") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1430.0; + LEVEL 1 FOR 4320.0; + LEVEL 0 FOR 4320.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 11040.0; + LEVEL 1 FOR 4320.0; + LEVEL 0 FOR 2040.0; + LEVEL 1 FOR 1090.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 230.0; + NODE + { + REPEAT = 11; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + } + LEVEL 0 FOR 4440.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 600.0; + } + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 840.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 480.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 130.0; + } +} + +TRANSITION_LIST("OUTPUT_G7") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 2870.0; + LEVEL 0 FOR 7200.0; + LEVEL 1 FOR 1440.0; + LEVEL 0 FOR 9600.0; + LEVEL 1 FOR 2880.0; + LEVEL 0 FOR 2900.0; + LEVEL 1 FOR 3110.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E5"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F6"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G7"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vo b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vo new file mode 100644 index 0000000..df29a86 --- /dev/null +++ b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vo @@ -0,0 +1,603 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/03/2020 17:53:55" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_7SegmentDecoder ( + OUTPUT_A, + in, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G); +output OUTPUT_A; +input [3:0] in; +output OUTPUT_B; +output OUTPUT_C; +output OUTPUT_D; +output OUTPUT_E; +output OUTPUT_F; +output OUTPUT_G; + +// Design Ports Information +// OUTPUT_A => Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// in[0] => Location: PIN_L22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// in[1] => Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// in[2] => Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// in[3] => Location: PIN_V12, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_7SegmentDecoder_v.sdo"); +// synopsys translate_on + +wire \inst_|a~12_combout ; +wire \inst_|b~3_combout ; +wire \inst_|c~1_combout ; +wire \inst_|d~0_combout ; +wire \inst_|e~0_combout ; +wire \inst_|f~0_combout ; +wire \inst_|g~0_combout ; +wire [3:0] \in~combout ; + + +// Location: PIN_L21, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \in[1]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\in~combout [1]), + .regout(), + .differentialout(), + .linkout(), + .padio(in[1])); +// synopsys translate_off +defparam \in[1]~I .input_async_reset = "none"; +defparam \in[1]~I .input_power_up = "low"; +defparam \in[1]~I .input_register_mode = "none"; +defparam \in[1]~I .input_sync_reset = "none"; +defparam \in[1]~I .oe_async_reset = "none"; +defparam \in[1]~I .oe_power_up = "low"; +defparam \in[1]~I .oe_register_mode = "none"; +defparam \in[1]~I .oe_sync_reset = "none"; +defparam \in[1]~I .operation_mode = "input"; +defparam \in[1]~I .output_async_reset = "none"; +defparam \in[1]~I .output_power_up = "low"; +defparam \in[1]~I .output_register_mode = "none"; +defparam \in[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_V12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \in[3]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\in~combout [3]), + .regout(), + .differentialout(), + .linkout(), + .padio(in[3])); +// synopsys translate_off +defparam \in[3]~I .input_async_reset = "none"; +defparam \in[3]~I .input_power_up = "low"; +defparam \in[3]~I .input_register_mode = "none"; +defparam \in[3]~I .input_sync_reset = "none"; +defparam \in[3]~I .oe_async_reset = "none"; +defparam \in[3]~I .oe_power_up = "low"; +defparam \in[3]~I .oe_register_mode = "none"; +defparam \in[3]~I .oe_sync_reset = "none"; +defparam \in[3]~I .operation_mode = "input"; +defparam \in[3]~I .output_async_reset = "none"; +defparam \in[3]~I .output_power_up = "low"; +defparam \in[3]~I .output_register_mode = "none"; +defparam \in[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_L22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \in[0]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\in~combout [0]), + .regout(), + .differentialout(), + .linkout(), + .padio(in[0])); +// synopsys translate_off +defparam \in[0]~I .input_async_reset = "none"; +defparam \in[0]~I .input_power_up = "low"; +defparam \in[0]~I .input_register_mode = "none"; +defparam \in[0]~I .input_sync_reset = "none"; +defparam \in[0]~I .oe_async_reset = "none"; +defparam \in[0]~I .oe_power_up = "low"; +defparam \in[0]~I .oe_register_mode = "none"; +defparam \in[0]~I .oe_sync_reset = "none"; +defparam \in[0]~I .operation_mode = "input"; +defparam \in[0]~I .output_async_reset = "none"; +defparam \in[0]~I .output_power_up = "low"; +defparam \in[0]~I .output_register_mode = "none"; +defparam \in[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_M22, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \in[2]~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\in~combout [2]), + .regout(), + .differentialout(), + .linkout(), + .padio(in[2])); +// synopsys translate_off +defparam \in[2]~I .input_async_reset = "none"; +defparam \in[2]~I .input_power_up = "low"; +defparam \in[2]~I .input_register_mode = "none"; +defparam \in[2]~I .input_sync_reset = "none"; +defparam \in[2]~I .oe_async_reset = "none"; +defparam \in[2]~I .oe_power_up = "low"; +defparam \in[2]~I .oe_register_mode = "none"; +defparam \in[2]~I .oe_sync_reset = "none"; +defparam \in[2]~I .operation_mode = "input"; +defparam \in[2]~I .output_async_reset = "none"; +defparam \in[2]~I .output_power_up = "low"; +defparam \in[2]~I .output_register_mode = "none"; +defparam \in[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N16 +cycloneii_lcell_comb \inst_|a~12 ( +// Equation(s): +// \inst_|a~12_combout = (\in~combout [3] & (\in~combout [0] & (\in~combout [1] $ (\in~combout [2])))) # (!\in~combout [3] & (!\in~combout [1] & (\in~combout [0] $ (\in~combout [2])))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|a~12 .lut_mask = 16'h4190; +defparam \inst_|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N26 +cycloneii_lcell_comb \inst_|b~3 ( +// Equation(s): +// \inst_|b~3_combout = (\in~combout [1] & ((\in~combout [0] & (\in~combout [3])) # (!\in~combout [0] & ((\in~combout [2]))))) # (!\in~combout [1] & (\in~combout [2] & (\in~combout [3] $ (\in~combout [0])))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|b~3 .lut_mask = 16'h9E80; +defparam \inst_|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N28 +cycloneii_lcell_comb \inst_|c~1 ( +// Equation(s): +// \inst_|c~1_combout = (\in~combout [3] & (\in~combout [2] & ((\in~combout [1]) # (!\in~combout [0])))) # (!\in~combout [3] & (\in~combout [1] & (!\in~combout [0] & !\in~combout [2]))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|c~1 .lut_mask = 16'h8C02; +defparam \inst_|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N6 +cycloneii_lcell_comb \inst_|d~0 ( +// Equation(s): +// \inst_|d~0_combout = (\in~combout [1] & ((\in~combout [0] & ((\in~combout [2]))) # (!\in~combout [0] & (\in~combout [3] & !\in~combout [2])))) # (!\in~combout [1] & (!\in~combout [3] & (\in~combout [0] $ (\in~combout [2])))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|d~0 .lut_mask = 16'hA118; +defparam \inst_|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N8 +cycloneii_lcell_comb \inst_|e~0 ( +// Equation(s): +// \inst_|e~0_combout = (\in~combout [1] & (!\in~combout [3] & (\in~combout [0]))) # (!\in~combout [1] & ((\in~combout [2] & (!\in~combout [3])) # (!\in~combout [2] & ((\in~combout [0]))))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|e~0 .lut_mask = 16'h3170; +defparam \inst_|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N10 +cycloneii_lcell_comb \inst_|f~0 ( +// Equation(s): +// \inst_|f~0_combout = (\in~combout [1] & (!\in~combout [3] & ((\in~combout [0]) # (!\in~combout [2])))) # (!\in~combout [1] & (\in~combout [0] & (\in~combout [3] $ (!\in~combout [2])))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|f~0 .lut_mask = 16'h6032; +defparam \inst_|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y18_N12 +cycloneii_lcell_comb \inst_|g~0 ( +// Equation(s): +// \inst_|g~0_combout = (\in~combout [0] & ((\in~combout [3]) # (\in~combout [1] $ (\in~combout [2])))) # (!\in~combout [0] & ((\in~combout [1]) # (\in~combout [3] $ (\in~combout [2])))) + + .dataa(\in~combout [1]), + .datab(\in~combout [3]), + .datac(\in~combout [0]), + .datad(\in~combout [2]), + .cin(gnd), + .combout(\inst_|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst_|g~0 .lut_mask = 16'hDBEE; +defparam \inst_|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_J2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst_|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst_|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst_|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst_|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst_|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst_|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst_|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vt b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vt new file mode 100644 index 0000000..c25c29f --- /dev/null +++ b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder.vt @@ -0,0 +1,394 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "05/03/2020 17:53:54" + +// Verilog Self-Checking Test Bench (with test vectors) for design : YL_7SegmentDecoder +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module YL_7SegmentDecoder_vlg_sample_tst( + in, + sampler_tx +); +input [3:0] in; +output sampler_tx; + +reg sample; +time current_time; +always @(in) + +begin + if ($realtime > 0) + begin + if ($realtime == 0 || $realtime != current_time) + begin + if (sample === 1'bx) + sample = 0; + else + sample = ~sample; + end + current_time = $realtime; + end +end + +assign sampler_tx = sample; +endmodule + +module YL_7SegmentDecoder_vlg_check_tst ( + OUTPUT_A, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G, + sampler_rx +); +input OUTPUT_A; +input OUTPUT_B; +input OUTPUT_C; +input OUTPUT_D; +input OUTPUT_E; +input OUTPUT_F; +input OUTPUT_G; +input sampler_rx; + +reg OUTPUT_A_expected; +reg OUTPUT_B_expected; +reg OUTPUT_C_expected; +reg OUTPUT_D_expected; +reg OUTPUT_E_expected; +reg OUTPUT_F_expected; +reg OUTPUT_G_expected; + +reg OUTPUT_A_prev; +reg OUTPUT_B_prev; +reg OUTPUT_C_prev; +reg OUTPUT_D_prev; +reg OUTPUT_E_prev; +reg OUTPUT_F_prev; +reg OUTPUT_G_prev; + +reg OUTPUT_A_expected_prev; +reg OUTPUT_B_expected_prev; +reg OUTPUT_C_expected_prev; +reg OUTPUT_D_expected_prev; +reg OUTPUT_E_expected_prev; +reg OUTPUT_F_expected_prev; +reg OUTPUT_G_expected_prev; + +reg last_OUTPUT_A_exp; +reg last_OUTPUT_B_exp; +reg last_OUTPUT_C_exp; +reg last_OUTPUT_D_exp; +reg last_OUTPUT_E_exp; +reg last_OUTPUT_F_exp; +reg last_OUTPUT_G_exp; + +reg trigger; + +integer i; +integer nummismatches; + +reg [1:7] on_first_change ; + + +initial +begin +trigger = 0; +i = 0; +nummismatches = 0; +on_first_change = 7'b1; +end + +// update real /o prevs + +always @(trigger) +begin + OUTPUT_A_prev = OUTPUT_A; + OUTPUT_B_prev = OUTPUT_B; + OUTPUT_C_prev = OUTPUT_C; + OUTPUT_D_prev = OUTPUT_D; + OUTPUT_E_prev = OUTPUT_E; + OUTPUT_F_prev = OUTPUT_F; + OUTPUT_G_prev = OUTPUT_G; +end + +// update expected /o prevs + +always @(trigger) +begin + OUTPUT_A_expected_prev = OUTPUT_A_expected; + OUTPUT_B_expected_prev = OUTPUT_B_expected; + OUTPUT_C_expected_prev = OUTPUT_C_expected; + OUTPUT_D_expected_prev = OUTPUT_D_expected; + OUTPUT_E_expected_prev = OUTPUT_E_expected; + OUTPUT_F_expected_prev = OUTPUT_F_expected; + OUTPUT_G_expected_prev = OUTPUT_G_expected; +end + + + +// expected OUTPUT_A +initial +begin + OUTPUT_A_expected = 1'bX; +end + +// expected OUTPUT_B +initial +begin + OUTPUT_B_expected = 1'bX; +end + +// expected OUTPUT_C +initial +begin + OUTPUT_C_expected = 1'bX; +end + +// expected OUTPUT_D +initial +begin + OUTPUT_D_expected = 1'bX; +end + +// expected OUTPUT_E +initial +begin + OUTPUT_E_expected = 1'bX; +end + +// expected OUTPUT_F +initial +begin + OUTPUT_F_expected = 1'bX; +end + +// expected OUTPUT_G +initial +begin + OUTPUT_G_expected = 1'bX; +end +// generate trigger +always @(OUTPUT_A_expected or OUTPUT_A or OUTPUT_B_expected or OUTPUT_B or OUTPUT_C_expected or OUTPUT_C or OUTPUT_D_expected or OUTPUT_D or OUTPUT_E_expected or OUTPUT_E or OUTPUT_F_expected or OUTPUT_F or OUTPUT_G_expected or OUTPUT_G) +begin + trigger <= ~trigger; +end + +always @(posedge sampler_rx or negedge sampler_rx) +begin +`ifdef debug_tbench + $display("Scanning pattern %d @time = %t",i,$realtime ); + i = i + 1; + $display("| expected OUTPUT_A = %b | expected OUTPUT_B = %b | expected OUTPUT_C = %b | expected OUTPUT_D = %b | expected OUTPUT_E = %b | expected OUTPUT_F = %b | expected OUTPUT_G = %b | ",OUTPUT_A_expected_prev,OUTPUT_B_expected_prev,OUTPUT_C_expected_prev,OUTPUT_D_expected_prev,OUTPUT_E_expected_prev,OUTPUT_F_expected_prev,OUTPUT_G_expected_prev); + $display("| real OUTPUT_A = %b | real OUTPUT_B = %b | real OUTPUT_C = %b | real OUTPUT_D = %b | real OUTPUT_E = %b | real OUTPUT_F = %b | real OUTPUT_G = %b | ",OUTPUT_A_prev,OUTPUT_B_prev,OUTPUT_C_prev,OUTPUT_D_prev,OUTPUT_E_prev,OUTPUT_F_prev,OUTPUT_G_prev); +`endif + if ( + ( OUTPUT_A_expected_prev !== 1'bx ) && ( OUTPUT_A_prev !== OUTPUT_A_expected_prev ) + && ((OUTPUT_A_expected_prev !== last_OUTPUT_A_exp) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_A :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_A_expected_prev); + $display (" Real value = %b", OUTPUT_A_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_OUTPUT_A_exp = OUTPUT_A_expected_prev; + end + if ( + ( OUTPUT_B_expected_prev !== 1'bx ) && ( OUTPUT_B_prev !== OUTPUT_B_expected_prev ) + && ((OUTPUT_B_expected_prev !== last_OUTPUT_B_exp) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_B :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_B_expected_prev); + $display (" Real value = %b", OUTPUT_B_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_OUTPUT_B_exp = OUTPUT_B_expected_prev; + end + if ( + ( OUTPUT_C_expected_prev !== 1'bx ) && ( OUTPUT_C_prev !== OUTPUT_C_expected_prev ) + && ((OUTPUT_C_expected_prev !== last_OUTPUT_C_exp) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_C :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_C_expected_prev); + $display (" Real value = %b", OUTPUT_C_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_OUTPUT_C_exp = OUTPUT_C_expected_prev; + end + if ( + ( OUTPUT_D_expected_prev !== 1'bx ) && ( OUTPUT_D_prev !== OUTPUT_D_expected_prev ) + && ((OUTPUT_D_expected_prev !== last_OUTPUT_D_exp) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_D :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_D_expected_prev); + $display (" Real value = %b", OUTPUT_D_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_OUTPUT_D_exp = OUTPUT_D_expected_prev; + end + if ( + ( OUTPUT_E_expected_prev !== 1'bx ) && ( OUTPUT_E_prev !== OUTPUT_E_expected_prev ) + && ((OUTPUT_E_expected_prev !== last_OUTPUT_E_exp) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_E :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_E_expected_prev); + $display (" Real value = %b", OUTPUT_E_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_OUTPUT_E_exp = OUTPUT_E_expected_prev; + end + if ( + ( OUTPUT_F_expected_prev !== 1'bx ) && ( OUTPUT_F_prev !== OUTPUT_F_expected_prev ) + && ((OUTPUT_F_expected_prev !== last_OUTPUT_F_exp) || + on_first_change[6]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_F :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_F_expected_prev); + $display (" Real value = %b", OUTPUT_F_prev); + nummismatches = nummismatches + 1; + on_first_change[6] = 1'b0; + last_OUTPUT_F_exp = OUTPUT_F_expected_prev; + end + if ( + ( OUTPUT_G_expected_prev !== 1'bx ) && ( OUTPUT_G_prev !== OUTPUT_G_expected_prev ) + && ((OUTPUT_G_expected_prev !== last_OUTPUT_G_exp) || + on_first_change[7]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_G :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_G_expected_prev); + $display (" Real value = %b", OUTPUT_G_prev); + nummismatches = nummismatches + 1; + on_first_change[7] = 1'b0; + last_OUTPUT_G_exp = OUTPUT_G_expected_prev; + end + + trigger <= ~trigger; +end +initial + +begin +$timeformat(-12,3," ps",6); +#1000000; +if (nummismatches > 0) + $display ("%d mismatched vectors : Simulation failed !",nummismatches); +else + $display ("Simulation passed !"); +$finish; +end +endmodule + +module YL_7SegmentDecoder_vlg_vec_tst(); +// constants +// general purpose registers +reg [3:0] in; +// wires +wire OUTPUT_A; +wire OUTPUT_B; +wire OUTPUT_C; +wire OUTPUT_D; +wire OUTPUT_E; +wire OUTPUT_F; +wire OUTPUT_G; + +wire sampler; + +// assign statements (if any) +YL_7SegmentDecoder i1 ( +// port map - connection between master ports and signals/registers + .in(in), + .OUTPUT_A(OUTPUT_A), + .OUTPUT_B(OUTPUT_B), + .OUTPUT_C(OUTPUT_C), + .OUTPUT_D(OUTPUT_D), + .OUTPUT_E(OUTPUT_E), + .OUTPUT_F(OUTPUT_F), + .OUTPUT_G(OUTPUT_G) +); + +// in[0] +always +begin + in[0] = 1'b0; + in[0] = #50000 1'b1; + #50000; +end + +// in[1] +always +begin + in[1] = 1'b0; + in[1] = #100000 1'b1; + #100000; +end + +// in[2] +initial +begin + repeat(2) + begin + in[2] = 1'b0; + in[2] = #200000 1'b1; + # 200000; + end + in[2] = 1'b0; +end + +// in[3] +initial +begin + in[3] = 1'b0; + in[3] = #400000 1'b1; + in[3] = #400000 1'b0; +end + +YL_7SegmentDecoder_vlg_sample_tst tb_sample ( + .in(in), + .sampler_tx(sampler) +); + +YL_7SegmentDecoder_vlg_check_tst tb_out( + .OUTPUT_A(OUTPUT_A), + .OUTPUT_B(OUTPUT_B), + .OUTPUT_C(OUTPUT_C), + .OUTPUT_D(OUTPUT_D), + .OUTPUT_E(OUTPUT_E), + .OUTPUT_F(OUTPUT_F), + .OUTPUT_G(OUTPUT_G), + .sampler_rx(sampler) +); +endmodule + diff --git a/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder_v.sdo b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder_v.sdo new file mode 100644 index 0000000..66b7ebe --- /dev/null +++ b/Exp28_Decoder/simulation/qsim/YL_7SegmentDecoder_v.sdo @@ -0,0 +1,252 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_7SegmentDecoder") + (DATE "05/03/2020 17:53:55") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE in\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE in\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1006:1006:1006) (1006:1006:1006)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE in\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE in\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1036:1036:1036) (1036:1036:1036)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (2422:2422:2422) (2422:2422:2422)) + (PORT datab (2251:2251:2251) (2251:2251:2251)) + (PORT datac (2669:2669:2669) (2669:2669:2669)) + (PORT datad (2431:2431:2431) (2431:2431:2431)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (2420:2420:2420) (2420:2420:2420)) + (PORT datab (2254:2254:2254) (2254:2254:2254)) + (PORT datac (2672:2672:2672) (2672:2672:2672)) + (PORT datad (2434:2434:2434) (2434:2434:2434)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (2421:2421:2421) (2421:2421:2421)) + (PORT datab (2254:2254:2254) (2254:2254:2254)) + (PORT datac (2672:2672:2672) (2672:2672:2672)) + (PORT datad (2434:2434:2434) (2434:2434:2434)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (2416:2416:2416) (2416:2416:2416)) + (PORT datab (2259:2259:2259) (2259:2259:2259)) + (PORT datac (2676:2676:2676) (2676:2676:2676)) + (PORT datad (2437:2437:2437) (2437:2437:2437)) + (IOPATH dataa combout (541:541:541) (541:541:541)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (2416:2416:2416) (2416:2416:2416)) + (PORT datab (2257:2257:2257) (2257:2257:2257)) + (PORT datac (2676:2676:2676) (2676:2676:2676)) + (PORT datad (2436:2436:2436) (2436:2436:2436)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (2418:2418:2418) (2418:2418:2418)) + (PORT datab (2257:2257:2257) (2257:2257:2257)) + (PORT datac (2676:2676:2676) (2676:2676:2676)) + (PORT datad (2436:2436:2436) (2436:2436:2436)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (485:485:485) (485:485:485)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst_\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (2418:2418:2418) (2418:2418:2418)) + (PORT datab (2258:2258:2258) (2258:2258:2258)) + (PORT datac (2676:2676:2676) (2676:2676:2676)) + (PORT datad (2437:2437:2437) (2437:2437:2437)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2810:2810:2810) (2810:2810:2810)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (520:520:520) (520:520:520)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (860:860:860) (860:860:860)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (863:863:863) (863:863:863)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (872:872:872) (872:872:872)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (877:877:877) (877:877:877)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (869:869:869) (869:869:869)) + (IOPATH datain padio (2850:2850:2850) (2850:2850:2850)) + ) + ) + ) +) diff --git a/YL_adder/YL_adde.vwf b/YL_adder/YL_adde.vwf new file mode 100644 index 0000000..611b0ec --- /dev/null +++ b/YL_adder/YL_adde.vwf @@ -0,0 +1,1005 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("isAdd") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("overflow") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +GROUP("INPUT_B") +{ + MEMBERS = "INPUT_B1", "INPUT_B2", "INPUT_B3", "INPUT_B4"; +} + +GROUP("INPUT_A") +{ + MEMBERS = "INPUT_A4", "INPUT_A3", "INPUT_A2", "INPUT_A1"; +} + +SIGNAL("i") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("i[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("INPUT_A1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_A2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_A3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_A4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_B1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 3; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_B2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 800.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("isAdd") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("overflow") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("i[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("i[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("i[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("i[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "isAdd"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 8; + TREE_LEVEL = 0; + CHILDREN = 9, 10, 11, 12; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "i"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 13; + TREE_LEVEL = 0; + CHILDREN = 14, 15, 16, 17; +} + +DISPLAY_LINE +{ + CHANNEL = "i[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "overflow"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 25; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 26; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 27; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 28; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 29; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 30; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 31; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 32; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_adder/YL_adde.vwf.temp b/YL_adder/YL_adde.vwf.temp new file mode 100644 index 0000000..8bdd95e --- /dev/null +++ b/YL_adder/YL_adde.vwf.temp @@ -0,0 +1,862 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("isAdd") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("num") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("overflow") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +GROUP("INPUT_A") +{ + MEMBERS = "INPUT_A1", "INPUT_A2", "INPUT_A3", "INPUT_A4"; +} + +GROUP("INPUT_B") +{ + MEMBERS = "INPUT_B1", "INPUT_B2", "INPUT_B3", "INPUT_B4"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("INPUT_A1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_A2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_A3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_A4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_B1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("INPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("INPUT_B3") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("INPUT_B4") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("isAdd") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("num") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("overflow") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "isAdd"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 8; + TREE_LEVEL = 0; + CHILDREN = 9, 10, 11, 12; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "num"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 16; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 17; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 25; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 26; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 27; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "overflow"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 28; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_adder/YL_adder.bdf b/YL_adder/YL_adder.bdf new file mode 100644 index 0000000..93902db --- /dev/null +++ b/YL_adder/YL_adder.bdf @@ -0,0 +1,1868 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 64 48 240 64) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 64 24 240 40) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 9 0 33 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 160 192 176) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "INPUT_A1" (rect 9 0 60 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 8 384 184 400) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "INPUT_B4" (rect 9 0 60 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 176 192 192) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_A2" (rect 5 0 56 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 192 192 208) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_A3" (rect 5 0 57 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 24 208 192 224) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_A4" (rect 5 0 57 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 336 184 352) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_B1" (rect 5 0 57 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 352 184 368) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_B2" (rect 5 0 57 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 368 184 384) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "INPUT_B3" (rect 5 0 57 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 400 432 568 448) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "isAdd" (rect 5 0 33 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 1288 72 1464 88) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 104 1464 120) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 136 1464 152) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 168 1464 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 200 1464 216) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 232 1464 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 264 1464 280) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 344 1464 360) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 376 1464 392) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 408 1464 424) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C2" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 440 1464 456) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D2" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 472 1464 488) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 504 1464 520) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F2" (rect 90 0 152 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1288 536 1464 552) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G2" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 848 424 1024 440) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "overflow" (rect 90 0 132 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 952 -8 1128 8) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "i[0]" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 944 8 1120 24) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "i[1]" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 936 32 1112 48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "i[2]" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 936 48 1112 64) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "i[3]" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 632 96 736 256) + (text "74171" (rect 36 0 77 16)(font "Arial" (font_size 10))) + (text "inst1" (rect 3 149 21 159)(font "Arial" (font_size 6))) + (port + (pt 0 40) + (input) + (text "CLRN" (rect 20 33 51 47)(font "Arial" (font_size 8))) + (text "CLRN" (rect 20 33 51 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 8 40)) + ) + (port + (pt 0 72) + (input) + (text "D1" (rect 20 65 35 79)(font "Arial" (font_size 8))) + (text "D1" (rect 20 65 35 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)) + ) + (port + (pt 0 56) + (input) + (text "CLK" (rect 20 49 43 63)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 49 43 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)) + ) + (port + (pt 0 88) + (input) + (text "D2" (rect 20 81 35 95)(font "Arial" (font_size 8))) + (text "D2" (rect 20 81 35 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)) + ) + (port + (pt 0 120) + (input) + (text "D4" (rect 20 113 35 127)(font "Arial" (font_size 8))) + (text "D4" (rect 20 113 35 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 16 120)) + ) + (port + (pt 0 104) + (input) + (text "D3" (rect 20 97 35 111)(font "Arial" (font_size 8))) + (text "D3" (rect 20 97 35 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 16 104)) + ) + (port + (pt 104 136) + (output) + (text "QN4" (rect 60 127 89 142)(font "Arial" (font_size 9))) + (text "QN4" (rect 67 127 96 142)(font "Arial" (font_size 9))) + (line (pt 96 136)(pt 104 136)) + ) + (port + (pt 104 88) + (output) + (text "Q3" (rect 68 80 86 95)(font "Arial" (font_size 9))) + (text "Q3" (rect 68 80 86 95)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)) + ) + (port + (pt 104 104) + (output) + (text "QN3" (rect 60 96 89 111)(font "Arial" (font_size 9))) + (text "QN3" (rect 67 96 96 111)(font "Arial" (font_size 9))) + (line (pt 96 104)(pt 104 104)) + ) + (port + (pt 104 120) + (output) + (text "Q4" (rect 68 111 86 126)(font "Arial" (font_size 9))) + (text "Q4" (rect 68 111 86 126)(font "Arial" (font_size 9))) + (line (pt 88 120)(pt 104 120)) + ) + (port + (pt 104 40) + (output) + (text "QN1" (rect 60 33 89 48)(font "Arial" (font_size 9))) + (text "QN1" (rect 67 33 96 48)(font "Arial" (font_size 9))) + (line (pt 96 40)(pt 104 40)) + ) + (port + (pt 104 56) + (output) + (text "Q2" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)) + ) + (port + (pt 104 72) + (output) + (text "QN2" (rect 60 64 89 79)(font "Arial" (font_size 9))) + (text "QN2" (rect 67 64 96 79)(font "Arial" (font_size 9))) + (line (pt 96 72)(pt 104 72)) + ) + (port + (pt 104 24) + (output) + (text "Q1" (rect 68 17 86 32)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 17 86 32)(font "Arial" (font_size 9))) + (line (pt 88 24)(pt 104 24)) + ) + (drawing + (text "QUAD D-FF" (rect 26 145 92 159)(font "Arial" (font_size 8))) + (line (pt 16 16)(pt 88 16)) + (line (pt 16 144)(pt 88 144)) + (line (pt 16 144)(pt 16 16)) + (line (pt 88 144)(pt 88 16)) + (circle (rect 88 100 96 108)) + (circle (rect 88 132 96 140)) + (circle (rect 8 36 16 44)) + (circle (rect 88 36 96 44)) + (circle (rect 88 68 96 76)) + ) +) +(symbol + (rect 1240 64 1288 96) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 96 1288 128) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 128 1288 160) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 160 1288 192) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 192 1288 224) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 224 1288 256) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 256 1288 288) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 336 1288 368) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 368 1288 400) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst11" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 400 1288 432) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst12" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 432 1288 464) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 464 1288 496) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst14" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 496 1288 528) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst15" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1240 528 1288 560) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst16" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 1024 88 1152 264) + (text "segment" (rect 5 0 47 12)(font "Arial" )) + (text "inst17" (rect 8 160 37 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(symbol + (rect 1032 360 1152 536) + (text "sign" (rect 5 0 25 12)(font "Arial" )) + (text "inst19" (rect 8 160 37 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "sign" (rect 0 0 20 12)(font "Arial" )) + (text "sign" (rect 21 27 41 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 120 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 95 27 100 39)(font "Arial" )) + (line (pt 120 32)(pt 104 32)) + ) + (port + (pt 120 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 95 43 100 55)(font "Arial" )) + (line (pt 120 48)(pt 104 48)) + ) + (port + (pt 120 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 95 59 100 71)(font "Arial" )) + (line (pt 120 64)(pt 104 64)) + ) + (port + (pt 120 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 95 75 100 87)(font "Arial" )) + (line (pt 120 80)(pt 104 80)) + ) + (port + (pt 120 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 95 91 100 103)(font "Arial" )) + (line (pt 120 96)(pt 104 96)) + ) + (port + (pt 120 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 96 107 100 119)(font "Arial" )) + (line (pt 120 112)(pt 104 112)) + ) + (port + (pt 120 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 95 123 100 135)(font "Arial" )) + (line (pt 120 128)(pt 104 128)) + ) + (drawing + (rectangle (rect 16 16 104 160)) + ) +) +(symbol + (rect 800 112 928 224) + (text "encoder" (rect 5 0 43 12)(font "Arial" )) + (text "inst20" (rect 8 96 37 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 21 27 26 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 21 43 26 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 21 59 26 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 21 75 26 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 128 32) + (output) + (text "sign" (rect 0 0 20 12)(font "Arial" )) + (text "sign" (rect 91 27 111 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "o[3..0]" (rect 0 0 31 12)(font "Arial" )) + (text "o[3..0]" (rect 81 43 112 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 112 96)) + ) +) +(symbol + (rect 376 112 496 304) + (text "7483" (rect 47 1 80 17)(font "Arial" (font_size 10))) + (text "inst" (rect 3 181 18 191)(font "Arial" (font_size 6))) + (port + (pt 0 168) + (input) + (text "B4" (rect 21 162 36 176)(font "Arial" (font_size 8))) + (text "B4" (rect 21 162 36 176)(font "Arial" (font_size 8))) + (line (pt 0 168)(pt 16 168)) + ) + (port + (pt 0 24) + (input) + (text "C0" (rect 21 19 36 33)(font "Arial" (font_size 8))) + (text "C0" (rect 21 19 36 33)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)) + ) + (port + (pt 0 56) + (input) + (text "A1" (rect 21 51 37 65)(font "Arial" (font_size 8))) + (text "A1" (rect 21 51 37 65)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)) + ) + (port + (pt 0 88) + (input) + (text "A2" (rect 21 83 37 97)(font "Arial" (font_size 8))) + (text "A2" (rect 21 83 37 97)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)) + ) + (port + (pt 0 72) + (input) + (text "B1" (rect 21 67 36 81)(font "Arial" (font_size 8))) + (text "B1" (rect 21 67 36 81)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)) + ) + (port + (pt 0 104) + (input) + (text "B2" (rect 21 99 36 113)(font "Arial" (font_size 8))) + (text "B2" (rect 21 99 36 113)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 16 104)) + ) + (port + (pt 0 120) + (input) + (text "A3" (rect 21 115 37 129)(font "Arial" (font_size 8))) + (text "A3" (rect 21 115 37 129)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 16 120)) + ) + (port + (pt 0 136) + (input) + (text "B3" (rect 21 131 36 145)(font "Arial" (font_size 8))) + (text "B3" (rect 21 131 36 145)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 16 136)) + ) + (port + (pt 0 152) + (input) + (text "A4" (rect 21 147 37 161)(font "Arial" (font_size 8))) + (text "A4" (rect 21 147 37 161)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)) + ) + (port + (pt 120 88) + (output) + (text "S3" (rect 87 83 102 97)(font "Arial" (font_size 8))) + (text "S3" (rect 87 83 102 97)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)) + ) + (port + (pt 120 104) + (output) + (text "S4" (rect 87 99 102 113)(font "Arial" (font_size 8))) + (text "S4" (rect 87 99 102 113)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)) + ) + (port + (pt 120 72) + (output) + (text "S2" (rect 87 67 102 81)(font "Arial" (font_size 8))) + (text "S2" (rect 87 67 102 81)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)) + ) + (port + (pt 120 136) + (output) + (text "C4" (rect 87 131 102 145)(font "Arial" (font_size 8))) + (text "C4" (rect 87 131 102 145)(font "Arial" (font_size 8))) + (line (pt 104 136)(pt 120 136)) + ) + (port + (pt 120 56) + (output) + (text "S1" (rect 87 51 102 65)(font "Arial" (font_size 8))) + (text "S1" (rect 87 51 102 65)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)) + ) + (drawing + (text "FULL ADDER" (rect 29 179 103 193)(font "Arial" (font_size 8))) + (line (pt 16 16)(pt 104 16)) + (line (pt 16 176)(pt 16 16)) + (line (pt 16 176)(pt 104 176)) + (line (pt 104 176)(pt 104 16)) + ) +) +(symbol + (rect 184 312 320 456) + (text "operator" (rect 5 0 45 12)(font "Arial" )) + (text "inst21" (rect 8 128 37 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "b1" (rect 0 0 11 12)(font "Arial" )) + (text "b1" (rect 21 27 32 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "b2" (rect 0 0 11 12)(font "Arial" )) + (text "b2" (rect 21 43 32 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "b3" (rect 0 0 11 12)(font "Arial" )) + (text "b3" (rect 21 59 32 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "b4" (rect 0 0 11 12)(font "Arial" )) + (text "b4" (rect 21 75 32 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "isAdd" (rect 0 0 27 12)(font "Arial" )) + (text "isAdd" (rect 21 91 48 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 136 32) + (output) + (text "o1" (rect 0 0 11 12)(font "Arial" )) + (text "o1" (rect 106 27 117 39)(font "Arial" )) + (line (pt 136 32)(pt 120 32)) + ) + (port + (pt 136 48) + (output) + (text "o2" (rect 0 0 11 12)(font "Arial" )) + (text "o2" (rect 106 43 117 55)(font "Arial" )) + (line (pt 136 48)(pt 120 48)) + ) + (port + (pt 136 64) + (output) + (text "o3" (rect 0 0 11 12)(font "Arial" )) + (text "o3" (rect 106 59 117 71)(font "Arial" )) + (line (pt 136 64)(pt 120 64)) + ) + (port + (pt 136 80) + (output) + (text "o4" (rect 0 0 11 12)(font "Arial" )) + (text "o4" (rect 106 75 117 87)(font "Arial" )) + (line (pt 136 80)(pt 120 80)) + ) + (drawing + (rectangle (rect 16 16 120 128)) + ) +) +(symbol + (rect 728 400 848 512) + (text "overflow" (rect 5 0 47 12)(font "Arial" )) + (text "inst23" (rect 8 96 37 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "iA" (rect 0 0 10 12)(font "Arial" )) + (text "iA" (rect 21 27 31 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "iB" (rect 0 0 9 12)(font "Arial" )) + (text "iB" (rect 21 43 30 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "o" (rect 0 0 5 12)(font "Arial" )) + (text "o" (rect 21 59 26 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 120 32) + (output) + (text "v" (rect 0 0 7 12)(font "Arial" )) + (text "v" (rect 94 27 101 39)(font "Arial" )) + (line (pt 120 32)(pt 104 32)) + ) + (drawing + (rectangle (rect 16 16 104 96)) + ) +) +(symbol + (rect 536 16 584 48) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst25" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 528 320 576 352) + (text "NOT" (rect 27 22 47 32)(font "Arial" (font_size 6))) + (text "inst26" (rect 16 -1 45 11)(font "Arial" )) + (port + (pt 48 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 35 13 46 25)(font "Courier New" (bold))(invisible)) + (line (pt 48 16)(pt 35 16)) + ) + (port + (pt 0 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect -1 13 16 25)(font "Courier New" (bold))(invisible)) + (line (pt 9 16)(pt 0 16)) + ) + (drawing + (line (pt 35 7)(pt 35 25)) + (line (pt 35 25)(pt 17 16)) + (line (pt 35 7)(pt 17 16)) + (circle (rect 9 12 17 20)) + ) + (rotate180) +) +(connector + (pt 1240 80) + (pt 1176 80) +) +(connector + (pt 1240 112) + (pt 1208 112) +) +(connector + (pt 1240 240) + (pt 1184 240) +) +(connector + (pt 1176 80) + (pt 1176 120) +) +(connector + (pt 1208 112) + (pt 1208 136) +) +(connector + (pt 1208 144) + (pt 1208 152) +) +(connector + (pt 1200 176) + (pt 1200 168) +) +(connector + (pt 1192 208) + (pt 1192 184) +) +(connector + (pt 1184 240) + (pt 1184 200) +) +(connector + (pt 1240 272) + (pt 1152 272) +) +(connector + (pt 1152 216) + (pt 1152 272) +) +(connector + (pt 1208 144) + (pt 1240 144) +) +(connector + (pt 1200 176) + (pt 1240 176) +) +(connector + (pt 1192 208) + (pt 1240 208) +) +(connector + (pt 1240 352) + (pt 1176 352) +) +(connector + (pt 1240 384) + (pt 1208 384) +) +(connector + (pt 1240 512) + (pt 1184 512) +) +(connector + (pt 1176 352) + (pt 1176 392) +) +(connector + (pt 1208 384) + (pt 1208 408) +) +(connector + (pt 1208 416) + (pt 1208 424) +) +(connector + (pt 1200 448) + (pt 1200 440) +) +(connector + (pt 1192 480) + (pt 1192 456) +) +(connector + (pt 1184 512) + (pt 1184 472) +) +(connector + (pt 1240 544) + (pt 1152 544) +) +(connector + (pt 1152 488) + (pt 1152 544) +) +(connector + (pt 1208 416) + (pt 1240 416) +) +(connector + (pt 1200 448) + (pt 1240 448) +) +(connector + (pt 1192 480) + (pt 1240 480) +) +(connector + (pt 1152 392) + (pt 1176 392) +) +(connector + (pt 1152 408) + (pt 1208 408) +) +(connector + (pt 1152 424) + (pt 1208 424) +) +(connector + (pt 1152 440) + (pt 1200 440) +) +(connector + (pt 1152 456) + (pt 1192 456) +) +(connector + (pt 1152 472) + (pt 1184 472) +) +(connector + (pt 952 392) + (pt 1032 392) +) +(connector + (pt 1152 120) + (pt 1176 120) +) +(connector + (pt 1152 136) + (pt 1208 136) +) +(connector + (pt 1152 152) + (pt 1208 152) +) +(connector + (pt 1152 168) + (pt 1200 168) +) +(connector + (pt 1152 184) + (pt 1192 184) +) +(connector + (pt 1152 200) + (pt 1184 200) +) +(connector + (pt 536 56) + (pt 536 152) +) +(connector + (pt 584 32) + (pt 584 136) +) +(connector + (pt 584 136) + (pt 632 136) +) +(connector + (pt 768 120) + (pt 736 120) +) +(connector + (pt 760 152) + (pt 736 152) +) +(connector + (pt 768 216) + (pt 736 216) +) +(connector + (pt 952 144) + (pt 928 144) +) +(connector + (pt 952 392) + (pt 952 144) +) +(connector + (pt 768 144) + (pt 800 144) +) +(connector + (pt 760 160) + (pt 800 160) +) +(connector + (pt 768 192) + (pt 800 192) +) +(connector + (pt 760 152) + (pt 760 160) +) +(connector + (pt 768 216) + (pt 768 192) +) +(connector + (pt 744 184) + (pt 744 176) +) +(connector + (pt 736 184) + (pt 744 184) +) +(connector + (pt 744 176) + (pt 800 176) +) +(connector + (pt 240 56) + (pt 536 56) +) +(connector + (pt 496 184) + (pt 632 184) +) +(connector + (pt 496 200) + (pt 632 200) +) +(connector + (pt 192 168) + (pt 376 168) +) +(connector + (pt 192 184) + (pt 312 184) +) +(connector + (pt 312 184) + (pt 312 200) +) +(connector + (pt 312 200) + (pt 376 200) +) +(connector + (pt 264 200) + (pt 192 200) +) +(connector + (pt 264 200) + (pt 264 232) +) +(connector + (pt 264 232) + (pt 376 232) +) +(connector + (pt 192 216) + (pt 232 216) +) +(connector + (pt 232 216) + (pt 232 264) +) +(connector + (pt 320 344) + (pt 328 344) +) +(connector + (pt 328 344) + (pt 328 184) +) +(connector + (pt 328 184) + (pt 376 184) +) +(connector + (pt 320 360) + (pt 344 360) +) +(connector + (pt 344 360) + (pt 344 216) +) +(connector + (pt 344 216) + (pt 376 216) +) +(connector + (pt 320 376) + (pt 360 376) +) +(connector + (pt 360 376) + (pt 360 248) +) +(connector + (pt 360 248) + (pt 376 248) +) +(connector + (pt 376 392) + (pt 376 280) +) +(connector + (pt 568 440) + (pt 592 440) +) +(connector + (pt 184 472) + (pt 592 472) +) +(connector + (pt 184 472) + (pt 184 408) +) +(connector + (pt 592 336) + (pt 592 440) +) +(connector + (pt 592 440) + (pt 592 472) +) +(connector + (pt 512 336) + (pt 512 96) +) +(connector + (pt 512 96) + (pt 376 96) +) +(connector + (pt 376 96) + (pt 376 136) +) +(connector + (pt 416 312) + (pt 416 368) +) +(connector + (pt 416 312) + (pt 280 312) +) +(connector + (pt 280 312) + (pt 280 264) +) +(connector + (pt 376 264) + (pt 280 264) +) +(connector + (pt 280 264) + (pt 232 264) +) +(connector + (pt 320 392) + (pt 376 392) +) +(connector + (pt 376 392) + (pt 688 392) +) +(connector + (pt 688 448) + (pt 728 448) +) +(connector + (pt 688 392) + (pt 688 448) +) +(connector + (pt 728 368) + (pt 416 368) +) +(connector + (pt 728 368) + (pt 728 432) +) +(connector + (text "i[3..0]" (rect 938 144 966 156)(font "Arial" )) + (pt 984 160) + (pt 928 160) + (bus) +) +(connector + (pt 984 120) + (pt 984 160) + (bus) +) +(connector + (pt 984 120) + (pt 1024 120) + (bus) +) +(connector + (pt 944 0) + (pt 952 0) +) +(connector + (pt 496 168) + (pt 632 168) +) +(connector + (pt 728 464) + (pt 616 464) +) +(connector + (pt 616 216) + (pt 616 464) +) +(connector + (pt 496 216) + (pt 616 216) +) +(connector + (pt 616 216) + (pt 632 216) +) +(connector + (pt 536 152) + (pt 632 152) +) +(connector + (pt 536 32) + (pt 240 32) +) +(connector + (pt 576 336) + (pt 592 336) +) +(connector + (pt 512 336) + (pt 528 336) +) +(connector + (pt 768 120) + (pt 768 144) +) +(junction (pt 592 440)) +(junction (pt 280 264)) +(junction (pt 376 392)) +(junction (pt 616 216)) diff --git a/YL_adder/YL_adder.qpf b/YL_adder/YL_adder.qpf new file mode 100644 index 0000000..4fcc642 --- /dev/null +++ b/YL_adder/YL_adder.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 12:07:53 May 04, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "12:07:53 May 04, 2020" + +# Revisions + +PROJECT_REVISION = "YL_adder" diff --git a/YL_adder/YL_adder.qsf b/YL_adder/YL_adder.qsf new file mode 100644 index 0000000..c2f2785 --- /dev/null +++ b/YL_adder/YL_adder.qsf @@ -0,0 +1,95 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 12:07:53 May 04, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_adder_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_adder +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:07:53 MAY 04, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name BDF_FILE YL_adder.bdf +set_global_assignment -name AHDL_FILE YL_7segment.tdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name AHDL_FILE ../Exp28_Decoder/YL_7segment_sign.tdf +set_global_assignment -name AHDL_FILE YL_7segment_sign.tdf +set_global_assignment -name AHDL_FILE YL_sign_to_unsign.tdf +set_global_assignment -name AHDL_FILE operator.tdf +set_global_assignment -name AHDL_FILE overflow.tdf +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_adde.vwf +set_global_assignment -name SIMULATION_MODE FUNCTIONAL +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_L1 -to clk +set_location_assignment PIN_L2 -to reset +set_location_assignment PIN_M1 -to isAdd +set_location_assignment PIN_L22 -to INPUT_A4 +set_location_assignment PIN_L21 -to INPUT_A3 +set_location_assignment PIN_M22 -to INPUT_A2 +set_location_assignment PIN_V12 -to INPUT_A1 +set_location_assignment PIN_W12 -to INPUT_B4 +set_location_assignment PIN_U12 -to INPUT_B3 +set_location_assignment PIN_U11 -to INPUT_B2 +set_location_assignment PIN_M2 -to INPUT_B1 +set_location_assignment PIN_D1 -to OUTPUT_G2 +set_location_assignment PIN_E2 -to OUTPUT_G +set_location_assignment PIN_D2 -to OUTPUT_F2 +set_location_assignment PIN_F1 -to OUTPUT_F +set_location_assignment PIN_G3 -to OUTPUT_E2 +set_location_assignment PIN_F2 -to OUTPUT_E +set_location_assignment PIN_H4 -to OUTPUT_D2 +set_location_assignment PIN_H1 -to OUTPUT_D +set_location_assignment PIN_H5 -to OUTPUT_C2 +set_location_assignment PIN_H2 -to OUTPUT_C +set_location_assignment PIN_H6 -to OUTPUT_B2 +set_location_assignment PIN_J1 -to OUTPUT_B +set_location_assignment PIN_E1 -to OUTPUT_A2 +set_location_assignment PIN_J2 -to OUTPUT_A +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adde.vwf" \ No newline at end of file diff --git a/YL_adder/YL_adder.qws b/YL_adder/YL_adder.qws new file mode 100644 index 0000000000000000000000000000000000000000..35cd50d3ef7d30c72b81d1a3158ec0725d3787c4 GIT binary patch literal 6154 zcmeI0&ubGw6vw~2wOB9WNd%KDK`3dmX-jKUlGrNR_U=t@ zdh#ZoJb3HB;Ki$61pf-bYW=*)hPG?kLXEpNbeEZ(H~V(x&704>ndC~Jn%5c4si2zb zn$VIes%jQ#fx4msl#Y^~X-uQa=q_&pG-{L|kxy%eFEYBNdFrq6W=4yYGQ6v!QPLBq zS)q@Nu4|k$rkt|e8Qn*opq~=AwHmES)7Fy8^uLlw|5c?miEVLOP{H%&ivs?$xk&SD zSP<771k7cTz8iD0*?(MfP;mrR@%kKAEG6IpV|+>v$>;I@rUw>dqOis)nxgN`o_kmW z<9f=f8HS8JE2v1isD8>>N;y{wkVYsKpf+%CN2sxR9MS+^3{Y1ldP3!GimShr;n~7=?kw3uN=?8tQQ(yTkDS-^zPVwiQ{wO640W zI|JFj3a2ND!+{>VP`(GS?Pih*2rqw?3HjQTAaT2FVYHo0CVF5zBy!7H#7%i5^d(EA zixZr^0huIhg)m-qsmQib0IrHN;Z&%MntyKPT5*p{u6OX o[4..0]; + 0, 0, 0 => 1; + 1, 0, 0 => 2; + 0, 1, 0 => 3; + 1, 1, 0 => 4; + 0, 0, 1 => 5; + 1, 0, 1 => 6; + 0, 1, 1 => 7; + 1, 1, 1 => 8; + END TABLE; + ELSE + sign = GND; + TABLE + a, b, c => o[4..0]; + 0, 0, 0 => 0; + 1, 0, 0 => 1; + 0, 1, 0 => 2; + 1, 1, 0 => 3; + 0, 0, 1 => 4; + 1, 0, 1 => 5; + 0, 1, 1 => 6; + 1, 1, 1 => 7; + END TABLE; + END IF; + +END; \ No newline at end of file diff --git a/YL_adder/db/YL_adder.(0).cnf.cdb b/YL_adder/db/YL_adder.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e480efe735dd8258a9cf4420a53d064a7f883574 GIT binary patch literal 2326 zcmV+x3F-C`000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I~r00000005x}00000 z004ag00000000&V00000004La?3&4M97Pny+hz-dRUmoyxk0SyBHv|VHIDpvq4Da`%s1H9=uoFbn(jN;I&D|-!J+VC74TY$|TN*rUCE-t3hi@f@5t7j<+Q^(U#!kR0I!+ zmTkS+EVPZ;DC>qHVpz!-CK-o_VYM~GvDOU7TQi(!&2Vx$26pwq_1?~X(`@;76)n2x%1uDt#X8me7+>d4Chc6c;Sy`q}nw6S!cOsr|7qMLX!E&ez*dOET zu+-hDc;AQ8cR{f~Mf;f}MNC{S;^Vri{IvL4+8^6FPJd&3Q=a}dVdDA&eC&;K{=h$Z zpB#G8Eot^~JB!5#{AF@mL)6~_x$X<2#^WxMOIP#$q--Jfv&l#g8+Ok619&#(poCXe%$IT?2$umYHh$jNxR^m5dF^!cEW*wDtt7N z9RA0=ea}kziIlPMcYW%7PkcM!&Pd7{xGL#y@9r(UuJ<19#FBk>a3f?U#wjOOwzfFJ z_Zklgk4qd}>+P)X4R+pA{ti6ujtaY)B3KI;abvJ^8C$t*a6QtDl6u2N_w5bsn;W*Q zZ+zu5#fd-5&_ENHO-}Q1KP`^|)?YsOmzRz%YG0{Hl1C*r^>S3b68J~l`&Z)%$unHB z*|?al05j8cDEwi@4TZnuU<``}plBg(m?>p)Qij2N^`kUsc~Y(_%|}(~cLf6<7X0#3 zXSt)+`Qy?oyyy1|Y0d>4@RJxLHiyU@t1{YTXqsnb%_Y0Nt*gE5J!kqdwMlYVV$rd6 zWmWQz*y-fsfV~pKB*ocauXxH!PD=!8LW}(_qEd_f6~sCF;20pyftmehP6xl5G3wVdVa!m` zCLKH{htk0VO97r6o(x_dW5=G8XYjznPN&AON>@+4e;Q1#Q%tyHiqDCmUp@5>aCTSH z;74~eXYcz=tbNL{D7ktdC&s<&P!gUre<9sakvzaFGt!Hd(#=X~uTpxcQo2==;->z2 z_d^aFGl1Z~{(1NFknL_!h5ON|qQd=@RjSzSZ*5mLKJblG$&MP@82HAifG(=Ye(@?) zWWS?@ir{(~;1n+9LApW7=5&y%>q~>DuFpwPCdeCM|7lPCn|GkAk@56$U$%s|i8|T@ zP53GvWJGxr6}eKGg{gcVr7=HP`5Y@^ft0XbFO^?rEAckEg?^My1@MTcNAef+eX~i5 zjunb2UlboYyA&h+str03giqegW8sA?{Z#l3UrX*PXNsr4{(Y?WOL16d6L`j2Fp96k zQg?u__t4$upYLVvPcnbN@v^zv9F!UMK3WGNhvd{Ci8JB%@70;`W4p`+-VtN-$9U0e3OW8Ju+;yr@kM`* z>MuC^#Edb>iLs3Q?UHjP7u&=8EuQ64m++lPYB$g133`g>=2DMz&p2Utf|5vYlj81Z znv1GAA9P$M)`S5mGJKxKNIlS8~Jp-_Am9nVac^T=3NyX0Fb;S@J|5MD-V0BIg`?YT$$*m=F7jj8J-@NGSSNXa74873z8>l5c@TVt_ELp z3?H!+nD}EvQ>3LIM348mFz#FA25=mnDvWVsHUGWvig58A_(jA|J1==TXP&PmKIhBi zi@e-BeOn<1CvWHU8>yQll?^P#wn=noL*CJLMoaz$00960cmZ@#J4?f06uoJ!_0?Lc zlL&SY5p`%mu~L=h@zDg@q$Uxo2p?_^ZvKG|4&4+4E9l_j^h4n1`Jakc6l)!XHRcFH~c@JGa^)jeLgbR zcz|&rY-d`+RbAZ-ryZmQWP6XTF9?IpLiNvn90)cWp$y*cmL^;5nF2zs?o_I(Z)s&A zT~Wf2!u41cJW;v=pKTo$I4J>yiI#&;q5=@76lSS4-(Gn2?#a2nW8+UN12l_h=d*JS zq6r`@#wuBL+`l*3fuf&IV5jTt`4o7xH%C(v)PVE$-E4w-1bi|jM*9fdU%a+`Fjon? wI#{*>4-xn3qay5aapj3O>sDG_%4Bfq+{euUgfs?l&EFeIP4$HG4FCZD{|rrhVgLXD literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(0).cnf.hdb b/YL_adder/db/YL_adder.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f793fb62a9c794bc2000f689dbe7a4566788932a GIT binary patch literal 1754 zcmV<01||6s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IO200000004Xi00000 z0018X00000007zs00000004La+*dnn8%Gd5B~Ic5`KT09oecy?VF-6eQj}Ba_%#7T zRvbH4AP5vC(H3EfWJo$eI+iJ2x>T9crAw7AU8+h_stVGD`6}hc`kN?!nHU-8`;a^L@2%ax*NoqV z-sz3T(I-$w?MM6V-uMdwVeuVyZR9qSIx=-=>R^*2+P$6rZhI&rqToXy#e7%{6ASOr z0BslOW!N6I#~%n|IVXg9H2Q<~ur=-*3n8c0Smn%0T8|W-Q+i&eKZn5RkHndb7k6Sv!O-_Y&D03FGywBJ(h49?0^R&Rn1acLC z^&J-3fwDr@0>sA%$`Qn}qJR_>VZDU%3?J_U$T9Sk6_SD?EPC`Y!+FRu^pwTVKZ~$l z;6V_8u?Ja)eia?|5)+o;EpvMKs8XntXHTQ%an>HcHW2FSz{HUz`li8(x+0bw;sl+x zOrR)Y%^~`hg_^n|)*a#k;+!`^oy7DOfX*Vxc}fjQp5k>ueHL`=B#{@JEz}h+Z*Z~q z3<>!i8(<2du998SJl`}}QCGY&F%+@qi<3W~5+pxlC$3FH96m9V!fR2Jd=guX-UrWX+E{o zOsqq=3+;Z1(&#ws7_0+2!-+hCE~j=Q*rX-ySz4h`Px?aXTTp$}lfEb&2T00nTu%D7 zfYROsLS0@V8@a{B#3hgw%*Ny5^UD}Om@1o3XXg#j$+I8eTH1mxB)%13!21aD&FyAu z7yrf9z(Vzt!uH_u4}r->e({cDKLt_=evf`lBEAxBFeX zlR=+_*GAVaJ4)sOFFr!?jdFSiu-P`e6^Fe%fwXs_N_?O>) z{ua|`Vf6>%#cFtSe7}DAq%|Br8TpNd2UxBhpnQVr;P^NKB*P_t6YPow7~!%~PnIWH zg8u;k0RR7Z0bG&4O9L?!$KTaoty+{)rKpJL;v@)ef^xR$HQ?QaB%+-nqM-kQqvGP~ zrUhpwog4}-3U2lvaPmKJa+8AJ7rmk$e3N(Y`^ii4Qlx-Ri0J0@=l~OyAQL5SGp&YJ zrU<05Y)}pwpghLT?7ia548*Jw+JF*?b;fF$L=K^DGD53RAB|&NcrCR#lkE@-W5P)M zWBX|i%+lV)C$kd}?-1#9OF7$Azi~d$pvSxA&Mo6p&_-y)j%!GvLtSk&ol3QJGO4Ew z_)Fxd5)ic?KAth50#R%tx6QWqhrJ)2x2`!;fY?{5vB3!O71k=#kC=KEZy6Nm5UIPT zmakew%&W3CGVY5H(ITStH`QIiT!9#iM6HK9Q*DSig!A3KQQAeMFVDDfREGYn5KdYV wv*o{{@Ab>_)iM8ObasrN+CkpGc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EHv00000003_T00000 z002V*00000007Pe00000004La?3YVx6hRQjXX7i1ig@r7h$k-_jp%J4-Vy@q7n;v1 zB7%sBulU^Y|Eubn?P+IcZSmkC6?&_?`d`m}P5Qp?*J?MLruixUH%+Po-B)j+zx^ax zi2smX_;+!{7x)puR}Zbr^q%!LKXa;=1Uou;c53Kes(^EvQ@$wR(aCXw_f@*Y{M2Li zM02M|wx^5R)oJ9ruFBVS9}Lc-gdv#oYazR8ZKZ65Ty@c`s|&R{i1@0KwSZlk@Izo^svg5OQ^mU9~o3tFfyRaZWlf{fEBqavA;pUMbm(wG&zn91SU1%~6S;!!j3m zbz8<<81QWbKA#i33ZLsv^~-oPo~846X3Jyin-GElKDYStdYYMUax%X zZ>oJfbkqm@jm%EuD|6i^^F6N5F1&2^e5`yCCx)wP=#VFk+DDh zBX)Z$J~!3p zKyr0zu4VpT$FGO4(|^P5$1U++#%UrR4aFaH=A~?b&|=Jz&|=I#+ccxlCl4s(W`RVb zKqMY5Kv*SE78RVvzwyB0k*_wAgNI#s$lxrXo|0jn=R=9w_?r64qJvW?u;}CC7XSbN z|NnRa;$>iDXkcJqn6qng43J_F0^(qIzj!~_5FgLrka$z#C?070TqIM zhENUlA4mf1Gj0Y2AZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwOg3=4qt zwD4kUJ|N8t#0ZU^{y;7Rg9H$>F-!oG*%RW6Sb#K0DMFcxn;RoLSYD;!g*Hf@A4MK$ zzY`-5LkCcB<28@zKnhI(DBu}c88UzpJ7!;aAqJ!cfEZzeKhVj(KoN*rSQs3DtbPZ} s{boQKRi!6fr8Q8F7aU)Pw^ru>ISj%;3^EfQ((z7?!LEz|00030|H?EoM*si- literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(1).cnf.hdb b/YL_adder/db/YL_adder.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..fc230af248507b36bca56cb6805e66b83ea0ba19 GIT binary patch literal 820 zcmV-41Izpo000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DmS00000001=t00000 z0046V00000001-t00000004Lav{SoI0znkTS7Q7C<0nuVW?5kANZ5h|LmVs1jWLGO z(sDn+(vp(WlG2irlG0DGG`{ehGmq?i0F#`Vd(WIX_s$ONcDr2*;~e-yybsWygEf9p zV;}hO_h6kPz~-Dy{{-{+>SlJVbxN|#nb?_A3|-QdJk#8hN{n@Lc8C*&=*;Pz(zwKM zyT{2$quGirzR{R-jgg5_7NdTM%5IGE7)i%kP%`ilz48u(WYsLC*Nvg@XD@(OZ z%HJdIs*HM z1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^1Q0VZ2mndtn?gH4A}||#U4w%i-Cfbt zvM?wB)%+DXvlgUQ3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#*nWzYb!?C%Hs z6$8>B8-0V_05OUV*LcqmS6`467;u2y yf7)c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Hj|00000002t{00000 z002e;00000006KD00000004La?3l@p97Pa@+rwt|U994SI3joVv^~D%j32=d)USsG zLP9JT5JCt80>r*=#s5WSR7GXi(mi+%mYl8X%#8eF%`K{$rfL4w^Vnjs_(%159c3P&zK1^*>Kn(_WcXfdb$@fLXPLDzeH|OEIo8w63e=6EO_^go zTW4H>ww*m#PdnE8BHtdYXRDS017n#p__Sj^*w!H5hxN>f-H+?lV?E-XJ zb78vKP0j<(Q|ejPF2eU8%G!k~a%!VAKQ+xZ(r7oY)s(I;D-%172kKEbG}x-~gP=gX zix0sVXnK4!lo)0XsmBWVICdyL@(#6Y`9qjUo5Npz)y~ER>(Wp1!f$?#@!!F8nQj+C zs{A##@#}Wb|J1~PSN8f3Vb4RCqNHkT3ds_YGr$)zBt6fF)+<~6(N>;S)=^H%B)RlU7FVVe%(v! z#`L%ku6Zx5SI+NizMjc3T_g2AT9?<)?`yu@%39n z#L}+u_2QeuU$p(T{<>e=ufED|h*$?YvbKzVUuxRWRZU(Co-#&!q(PUM_S%VKE*~A> zyo7aWFMyG*wyhDTQP0VbI>F!R@|i20C0ovt=$qaklH9YWoHOcn{<0o;jF{;*FTPF! z-R2$HpGilgyNoCLa@uvmbravJonL{Qxp+SpG`EZYG!IO|T<{SPrOh!#&|$u@qMfew*4 zXRRRPc(VZJ-+=N!zUN_^WIZ<4p-gI)b#1KmEBIapuZ;Cw8Ry1QBkC>1$Ti0rf9tdX zRXG!UQLR`&zadFOTtsZSvfwjWkqv{Zt&VA3=gjEAiWT^q z6B?ImGgiB}XDoi8@ew^`m+nLe%qD*>dA`z$!IEgf9yK_BE~yt5@VBQ7J~o4IRR)tf z5M6~X{VeuhCPb{So4NJ8?i1xzX04YrM!z$mak(+0b$0in%$mgD--^M?{*!W=?c=J) z!gid$sJ&z^bblC6s^I&vUd~L`>YCw!#)78zri{O8#(!NI?-Ac;BjuMHY={L3A4oAF z;F@}P!tM2man>0nzHm}MJ;j>WXgz0Gg_&RFz^`YGs+ZgLQ&y~M@ng{wr zGuHw;glk}oeUrEJqs~`qG>c{OG+3Shu_toF`k^$c9$jFo9BJN-A}dDgaIxcS>ImC( zpW`DtUqk%*gPzwXHVzjYB)l5sDWzwT6Rid>nOk*IZ(yVYzFfh3<9F>D5iat1>>+Is z>)!TgVSwEme`tJ2OAe9GWpM}|dx(>Gc2+91SinVlv^vKZgBZaV*(u5yYuEBKPSlYC z{;6zx>LBALfoIzY8}J=x-2z`y_kh2>_HflmZ;)SG(e<&1^t+Frw&%$#JIMe4@zK4% zRc3`9y@Gu@qEe5TJBfRxrh|_uco@HMJi3EEYeFMusp;yE`ZeaS(<}cHvbb}i4zTsB z1LM$LPK`f=ek3{NH8_f)Jt!Z#ZsnehfYDj*54y38;-j7M_E%gQ#oLRruSV@e>dfPP zPY%5Qy2f~{IKOzUINckq|_jkE4-|_ngMb4>=U*gMGQ`v(Pz| zNCX*o+$)9VeQ?j{`L@Dw!4YH*DlQi$k03H3pXg!Sa{$J%hp~^#7;>E#{T~%NSm-_> z{{a91|NnRabWyuX13?tMn;7FOKBA>yieRA@2`WaSlAX;YyRg~S-3=NL2C=cQv#?EJ z5i12j16tTy*@#F``~z()`~Zo6;h9x3B;dlCGxy$eU$Y6)s7^#TPZzr&N&!tG&sx+Og`fYKUnWm69{3GKS=yFIC|erCadj_ECNmoB&j!<-#P&1O0T4 zGhJ`br@>=@lA%7+;?#um`1_s7)h4^ zdQX3TWNKar-&uOUzYv6JA^@#fUMbhY{7J&Yd-)00RR7y CLCp97 literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(2).cnf.hdb b/YL_adder/db/YL_adder.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..2fff91f1eb14a44208253f2729d60a0590825ef9 GIT binary patch literal 1247 zcmV<51R(nn000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I5?00000007_v00000 z0046V00000007?v00000004La+*U1b8$lFITavQCz(S+S`*HS#`OYNJl1ZRdRaIbM zeuAN)p`oE+U|?XNVPIgO)h~BuZgMzKuZ#(zi?7WXX+c_SO$1|(|`wRSDj6HF& zuRrMPz1Z(`U+nD@Y#O=O;UjiW=(V?UH`;@C?-*?Z_eQ%P5m()*N@s`S$kS*KSM)gT ztrQyVUYb#SFEuwNb1Kigi%K(eQO^Q(G)TCTJJdWh7mi)A;y8IK;i~g@!a5rj^<8O- zI_daMO{b!mUPU<-;?%Am|H1^BHTsNvossKN%szjWQ4AS{VMbNqRWAEn&nv!Ic%kH| zdS0m|6kn{e-n3+MrF!43ICBkq0#?Uk z1h^(-T*7wAh{=c^8t#K;fy5tC7wI-YTE0WXbM42K6UWqBY2 z#w@$UaHftocqgFGV7ZrIH2&L*spCFnV3u{t63k5Z@FIcMLt<2>j#CD)=3(xZcab&n zy#%9ij_@uSF*Ra^jZ5>`!ZpJW&I4=(OvW@1T8sm-?BQL&vW7En;WE{60mdp->P;QY zFu)5-TLG&MljRbwJ$}$-V3Fa?OgASQk4Yn#cWiy4aStpFlch!m2x}3GVP<*@*DK=c zIB7UDG1ieJ&WNeujK&hK3A{97{5x|aY+Rb@0zZulJlw&y!2B#52@Zq=4(wdp>aOl8; z=!2MWZnA7}S#W9*lQA_Kd%DshmWJu}8M$9ztmie=;mzy8+xmio{6G$YrD3vMU

+ zi(&4To!-I@m?yvRc)+x>Mqq;g?LG}Xm?Zqd+QBxkTt;n@?4dfq*&6ne%J9na8E3|^ z4qv~0|M3q10RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9-`O$5(;vw23=RQFFfaiz zGXn>ZJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC|^A5Hm3d07>PWLOVbr zFdKYbgM%I2UD4FCFem`k{1rK~7Nk}Rh@BjRT_fT>JY9nvgPc7g;{*JILgGFB-26cb zz^-Ct&;YXR?+5%f2GSBh>>KPJ@9ye?@I|n*hpVq6PzK^6c7_BXD`-YD$T18eK#Zcp zHQqDC)fXfM1{`4bpEjIX0ph|`!$SySHX|E2Y{XLDDS=e+12M=c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I^t00000001Qj00000 z001Kb00000004Cf00000004La0Nwy` zm|eUC{Tdt)ufPe!4UhnVW&izCxlg?V`a2jU2h{#4U9^9wMSKbWR4!9}?2*Hdh5`Qo z`7%EF{&gllu+HQo(lZjNWU8g#~+oSq@MY!|${?*mdUf{jeAEF;#=KJvRDIJF~Ns&f7uHze)bP^5^>)3)>U$MXn~~zUPnJV&U-;6VGbA?l4Vw-Gz#HuKlR$ z`FQ@?Bg_rO_~XMmc<*nGe(!IJhyS{KTK)C$O!>ei<)c_F#AIXk+QQcI2_4z8@VI_tIa+isRda=$hNM*{*$u9zfGKCA zHTo0)s+>0YNm$jKKvCUL0P8V$1C#hD;tT$9ld$^AP=J_t*w0qK%D;Cq{*4BOPguS{ zRrHrj0p(&;nV7RWngCMTz7*Gbp6XYv-|fjHpKAE_`q)x@oKW>^sWc1^3xff=GhqOj ziN#6e>V?EAVAT!eD%O1Q{=Frd@!-gBD<CuSb)AstX5@B zDOYzQRVWXx<@Fh=nlQYr^pT(!pem~AVMSCqSBdC{k?tT>F8adw+>n0+XA)JY`GTd< zT-EKmSA4GGBTF*q-!Dm2E$wvPhBF5xg9>=B=nLRMs{}|&SJraW*OV%jREM)hT6@L( z`y%=`damYJvOR>0>~GFf=?{ARWQW|Kby=>XR&SU+D^K%aw6_MkQQQphw zhdX5|M1P5YP7io&r+Uzz!{cv`Klof6hSo*Nl#TyY89p4*IsGNO19Dwjy#9J>VZUQc zat{^z*d9={MN#I-g@a91JsH0^He>jivHpA#Y-{|jF zBmdU;c>Xo(<@0CE?*HUue>a^!7aH@yuh%C0WzCeY)X_3!zqIC0eBS9s z$q110Ngt9^9pFE5@wW%d6lY`bt$fzWpBnx?AB<N{&fjQrg+KmoHTs*= zKg7Qk-x?pwt99ZZV-VJuwYNh4#8_A54|ra>zN~sE#uZ&YKjXO(On~QHCVrm3=fg{Q z#8aJ4ugim-^vf36oS}#Mys)PCRrT51jH#UG*ar7v zY@bsb@24}~8(>hrgrXSCjy`@z7@I6!3P0sT&oi2HDC*bO6+t)huhl0eobhJU@U&EP zfkO*x=_e_=ca1?^P?^!6s(~cI+F-A)CiXY%=##>C?AILFeac$u;EP zs*j)aIsfqY23^xS1zE|@6BRZ&%h78U4)i~Z?RmBAb9k}7H_0w%Qpx7Y3Y%u@CF!x) zBIB&K^~9EOcvgLUF4;U)Ve?7NH(S(lLn?08Ic@n3+;n}xKA}?Of?^k^Kd`NB%_|50Za``LQz0Wh|wUq2v+!p*}o-dto zozC@rw@S_dVMV@%n^YXfpKtNZIiuQG=Sg^HZsUhEriNls;+&wEJZN}9i;g2!?IIdY zmTL`%6@FZu!{lU2GRih5IW3iwlsg&K#`ic*sh$snw-Z#Sad^UDE_&=~gHzSUTw}~N z=CSeUD}upqaM-1?2~0PAY%|y7w1%g@rRyd`FL9Bci$T^{I>OTttuWyl{lW5}6nlV- z^pv`5J={M400960cmd*JU}R`uU|^WDYjO;bVh{r2V0XWGKi3c+&)|@FSHF;;NRR{r z6A*JSSTHj%%usM<1c|5tabSo~yi=&3vxlooyq~{|tBtdZQ+%+yV~8u5tEFRTU|$N?fijIhqb(>2I3$k_woT6TsG zpt8h$d!7Lmf_;Wi4fP*L0_-y`1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra z6bw=Y2Fwf#fb_KRVry<7%?rc`jh_BME(1dp5VJ8%0Fo*VFSJ1+zz@U-WkBe=XfMLS}jt|3It8;(~8H9ltWF|Z$R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRW`Ilsg1-=op%lvh2c;_*Tl&5EnhgY; z7jmrZp108b0Z)voBIl_^IVbK4ewlpB+3hWt+osQM5qu{tpK}$r+C5OddScK2Px>Dw z&Qm@8k6R?OEiIGz>_r>h3vN+QT<4_OA9;GX&8<_Dg?0S{k)Ebd2@dTmizb@RnRhx? zfZM=SI1x2rO!C@~*V*vh!h@t{NKx;n*=2NfOX z)xG=ZkQSig!O5&HU~I_2;Q4g6leL4Afpkr5bF=K-*o#+;j5QdpzEJi3!yBZ{bwok> zPV*mU=10y-%oCrkCLkY7LhYD92C@%j02LQ`1#A5&e literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(4).cnf.cdb b/YL_adder/db/YL_adder.(4).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..f0708107e8df446ccbe83f45b6597a8073d2b13c GIT binary patch literal 3039 zcmV<53n26n000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Hqa000000055)00000 z001Kb00000007?$00000004La?3-J!B}EyA*9?e26bPq)$B{7@45xu$L=!EOG4U68 zgM+4lrs}9|3 z?L7=QwNtCAzIwl7RdrWa_nyz^^S6~xn9XKyscdDz`t*I(w(0NqK-s49f09A@rb@`i z@T0U{d5^F010qf>&Z~RyRL*Nw=Dc<#&JT#|x^I8$yPg?irx*_ zFki9`^Q8;s-hNdy59Y7W=Nn86GJU5&KbKlQ$!!iB8uDYxp^5mI zH&;*P=P)sCv+>7&x|7a0#d@q>(l>!FsGrWxE(|97aL^gwmxFIM2hkhG-Oshy9_Z%V zI(Ug8o|__H7v_x@l}q-#5d1U0u%EmRKDK{d`Pjd%J@I(0YtPj99JPPAUN$TK+IToy zdp5qzyEl^g+=~B83BEPH>*BR(+R)~vxV~PQXwSNM?7`;`7UHSvZhvjJ>)U4I+2nDD z*L_64-S*{Ion8HgP&yZh-QhPEOEnY&VPnBgh+r7V0DbKW}N<GF3tqx(N+|HpE0o+kgp}0EFd=A#Wk=u zqwRMs6L%-tMh)}>8BI;UQcd`UPk*SHh;~>p;*iwPW&1@W@o}3B^dXQ8MA*S8VNs))iFy~^=LD- z^q0o%$(FVYBEP7P)|R`DXpYu>`)s0Z)O`G7;@4;sx#`3S#)D(VE$s7pUd)8CI&Pop z;az2vZ<4w+W@4=0)6PjWjSfgo+h1FLeKOHD%CEn#;@4S;rqO==Go$V4mS3kO+D7^H zpNyvFc&(&K&(hOphMjbpYomP9lg#^0lLg?Hng#6et!f*AzR6=f5-Z zm*Z?2x>DEnjh}RU4vOrrCVMgz$W?)%^GL)0Oun+!8*4w~xz+gRqWHIBy4ChD7q{Bq z_k;Z4gMY5BjafQg>9s!PP4Q`e+Fk{(y#d!Vm&(euSCP+-W~zLPr}R}*YLAvOYq^+G zd=VHr4hQ}(E5t|Uxt8tp9}fE&D;qCeFRCfENB87+eZ2N>ar{@2Z}C*Ts>$MM_$pYP zhF8^reJ`$;;H$SEA8HAIIXn`^)o|9A#>eQT@+iI(nU4Pd79aMv_fME_;C0P(iWa$< z!1F91Ai0@V*>7*(V);jc{lw*=Zkz@&jN&z#9^y5?^R|<@KOm~1FLpRfTB1+YEAzAR zOLBBh(!|I25Xte^%$SBRCHbq+b8&lCdX2unvjMf-r@TF(^fZ2$OSyP%R-Ez6?CF)N z!dXI2FZSo?V(IOSV;asR9R13DS|y%2y(RnElbc^Uts}NRnLTNo!J7QAem%Z4E+0sAR{M7b8mzF5c4#ihsamF z{ImXV)n~DLTy=eY=T1uGf#(|ck-%g4aOULY;JeYoR|bx;iRX{$#Sijt^kY1feEFyM zMBY~H#%9jVD0!Dc*~*H;%)UOMPU2MrUrt`XV+Ki5meeAaqcBW26&#P99<`00E0L@0 zRCpbfo!VV3wI=STK|N86zlpT|Tv=9o$yl|i*ts^D%d}t1dD+A zl%Ar)_@iJ`PH$kF%|6uEx=N~1%#I#}4ARa)t9uJ~{}_#$n}dmj#+$}4=H6+>!6}-m z?~;}@j$&jk#tBpPb%tM3)u`$kI7l$wD@K*B%6MO5D&Xe#5!ViMbwi8fsC0Vm9^E(ysgHFHoZ0*B!bZlO78Xfs4G|cnF>KcTQevp z4~$$~h??{AwIrHoyhlN4YRui58HOg~$1|qF)HL<^jH$Y@wAUX0u@onK{P7sZn2GvR zVzPa1+LvoHbnHK$QKlcowFI6p2;;!%$}(7y(BhQhS@&L)T8G4_P7(mfuYTEZS4Duf|76Zn@Re_IUTwJx1- z=e{PvB_mCqkJ|(|O^o76LhULc6-!I|jsiv1AtUjIe z_zw^He0;!lbLPDspWb8dYu{Q_ju3h`j>KHo#CV*{Qts>hU>gy9iEeu&!-mprAC9*t zBZsr$1ncL3t;e_zeEi>gQJ*Wx*MmvcFCNh&eqTj?j*iZ7{~NG?4g0DkdQOfV4Gh3) zfX(628TNSt7OqnDU$QZfbg$}Oc4K+ zZ*3rC+GI{kzoYDv@r&-0(Y=IlI%Zxw>kJZmy4t>O{a2|XP5w4xeWjA2{Ijt7C##LG zN)F|2mE0a5SN#{t8a7A%iZZXdWr*W-4gEUR+qg(|iP^Bs>yrHM~OeEy9#b!x@q0K8w;zFB@-MsHHdA7wSKDX-U zRQ2B-`q_>WtG%I3UB^A{7PXPZm@uxoyv!*rX*~V87^Pi;saMmEAk09LIS?8xC}$YT zcK`qY|NnRa;$dK9XkcJqn6qng43J_F0^(qIzj!~_5FgLrka$z#C?07 z0TqIMhENUlA4mf1GcE=NAZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwOg z3=4qtwD4kUP9V(-#0ZU^{y;7RLnjckF-!oGDh)5R(}6TU5F?ZU&39ttX6OJ4ZoK9( z9Y~=m00k@~D?k$9| literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(4).cnf.hdb b/YL_adder/db/YL_adder.(4).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..58f684eb7ddf91d7995ca03ae2036118cea64ef1 GIT binary patch literal 509 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRYJf}wg5MB|p%lvh3#BU5U zK4w<0jCx#EvZW*l_<|*b_GvPJClgm=)^sq+u76aS4}u#pU~V#jRS; zmmS_C9569!lIl+Gj5oIqEbKp$61HvIiHjA=UguXnt`?oz71g@5f-&9YN%V}JjxXk) zVSac1;Zb4kGUrSDZ=4&~@^34z(|Erw66mu3|0@_DB_yO|BqSt6+?z3zYl%clgT;-z z`uC3CziD{nviyk;Jba9v1_q3V+|29~Y?QaFGN>pqA5qxKxX$sQL+H9X#g7LS9p}}( z`{A?s?A_RlSB#7`7_Poh_5H&eq|J3iLHbVf zA7|!A&PvP^rZ&qQ;R^c~{^U&5gd$CavLc?c-j44dN_dNSioSL9@PFXv>iqtt_%pjE zLv<7Hn)FNauBqlY2zn}f<1OpwFYM)T{9$$D=HaW?1(z_tVdmSw?t<8 literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(5).cnf.cdb b/YL_adder/db/YL_adder.(5).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..bd75a9c23372954500d116362fe7591971731079 GIT binary patch literal 855 zcmV-d1E~BF000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B|-00000000RB00000 z001Kb000000037500000004La+?7dA1ThRn(@bh{3Kob3r^r#CT+q0| zTFS)0VtA>7Wd(wnYsC>BcX*Bm&Ms<~wyL%jjS>HRl2A>=HH>j`C!E&ye4s{1tDcWJ zx4a(!00960cmd*JU}R`uU|^WDYjO;bVh{r2V0XWGKi3c+&)|@FSHF;;NRR{r6A*JS zSTHj%%usM<1c|5tabSo~yi=&3vxlooyq~{|tBtdZQ+%+yV~8u5tEFRTU|$N?fijIhqb(>2I3$k_woT6TsGpt8h$ zd!7Lmf_;Wi4fP*L0_-y`1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y z2Fwf#fb_KRVrvc{%?rc`jh_BME&~HU5VJ8%0Fo*VFSJ4701`nc1Dfx|$j#6J6x?{t zV>*yRQveEBMplLlpu~>Z7hZrY5ddO@3I0GQ`2s~C8d(?|fUJH8%l(2t8daqyT%`n1 hjt3kchPPJd067f8KnyYy9+L4+j=`>s00000|Nj(_YoGuC literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.(5).cnf.hdb b/YL_adder/db/YL_adder.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..cb5d0105f7ae882d2a622835cb271f39a0aba847 GIT binary patch literal 475 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR`hiRXg0B#Y!41m44W%m>YbJPeH5l-? ztX6n&t+}Et&h;(3dES>#b+3I47D+Q3@0;Se!B~tnzgtxYJqESp2T$K)&&nl=Qyptp!uam^pVxN#G6vnI2T36{ZBvmi9_hO z$(~2HNo)AL-(+fS*%NELy8!5h|Nko(lhPAXG7=IJBJRzY$+bkHrNQFHUHyB<@82{$ za#{Yw2Od5~PXhx+Lt|$42{y{xRT)&2n2#uIWnAZY&>?hPo#MxXijMQ@-hFgP3sCXk zWL6h2HsoONd^+37+Cj-cx+b={S@v%1#VeZ(G#IY_5w+Ybwj_+JW3EhdtnwpUo7iTV z<~g=XkD328J3X@fd*_cM*MW6OtgmNoSzgL{qI8nO8|gQ4@{gnhn?AU0*xbH)y|M@U z4bL|T>T}KJL^28qGA2zB+p%16mE_F>_e8xbm$L*Nc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H6q00000008^~00000 z001Kb00000002n@00000004La?3cN66fqEnWx&{A$HW9K2V_1TK)ed&#L)!g_<af1yW)4drc0@|C_rzH%47)A?B0Sy!-M z?S{(b7y3h1Ok~njd#ZzaQQj!+V2Lz)!;y7sX|%@(*s;+z_nx9L;-@QLTd9b(7UbZW(~t*YE;*YEOr=8IWwC|6H@ANRskjB ztMJGWZSqFO8ldKOXP@4dL~SvvDvZqTz>4{NhB-=ZGGELv^SrKhlljt^r-cifX?oW9 z+QkdwTlUgB7BT8aOjb0_7v`2k`YO+hSDS4PRi^-h-YyHzC_lZJ*8vVRC+2lD5&u;5 zxRw}>vRDUs)zP+i{|O1RWRzI9i>!-gLY?7zQ5Eq08=Wx()<1zy{lGvb#SnZE%50RR7Z0pej`WN2Vu zV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05OXkCFf%aBP;h1hiKqc_V2Dq= zQ>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdUHAr+(d{SB!aFVWFc<- zARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHPc7_h1vc!FRo&gnteTGmC^&dzA>@zL~ z1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|Yfd1|3&aSG zp8h~C#Gh;o6M!t0h8Nm`K$;(j5z2t(J27%IbN~f6Uh|j^q|g+A0+x}LAp8{-YoHttI6e$-tR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRwu4Lq0xl@+2Bkki=?cb{3E5nS9C%t* zi#Z1D@m$!?oYTK(P1Am*t;@epJ(qI#%Y0rH>&bs7Ee#FT6|jCHUZr``=tTVi{inaT z&o`ESvUA(5h}^Yh(O>fW?cAaa9e4zoQ`q8eOiPQ|x=t#*H0;vOS=Hw{&)RL=eB^Mp zit>Tib}yAaoVowuxJ={P6G}|~JtrCL%r?*C+fcNhXL(>-R?l@#28RFtD;Sf~6H+n~ z5)vZr&6vrxM53j^;>KP5d&lqJG(2)y{=^3!K1NRi14ct*X7&j-%G*^LRFs&HC~Re1 z=XlT|bX}d|$AgNF^XlGxbVv(O@!({(7ce&DVDNl8+sWEN$w0a$wz*mMZtTS?n+!A< zuKp3V+$^>vjH_d=OmnRABU_u;W|`(Wwn~qg|1>*2vi*DKk0aNCbxEwRXKqc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CC@00000003VC00000 z001Kb000000065400000004La)Rj3>12GUqWwVrvfHM$KoI`L0PC-QG5FeU^ef(cb zEw{&-F*u_sS<=_WS*b{pz;t zh&$rG#-Fd_+`$D|`Lb6y@;}O*m=ERKe1VIXH8l)9_U=O+lEIKEKKO6=Ru6f6D}EDu z;?bN*z+<;$aOgtIM}bg->?_gNV=L@uJ)y)$rKt%@8q?vSbkXQ#QS*{1yT^WrBb{#H zoWlicP^1htP7N%IF48^(VL9ScYci}K&A;SxKjf_ZX5$aMy{o8&>giJX1H5*`0gnC1 zr#Yf5webf>JQ{vj%5YvsxKg&x-mk&k`oeyafON|NnRa;$dK9XkcJq zn6qng43J_F0^(qIzj!~_5FgLrka$z#C?070TqIMhENUlA4mf1GcE=N zAZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwOg3=4qtwD4kU79hF-!oGDh)5Rxq&o45F?ZU&39ttX6OJ4ZoK9(9Y~=m00k@~D?R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR9swEx0@ooFgB!?nAlM6~D;R(M|Np<9 zO(B8tQN4qi5nE?7gU37l06xk8jjxzb8Z#FzQ=UuhJ`clo-=3n<5fK z6^`kzVA5M4CQ!g2XmB{t@{NO}+XNGRf#=hgiY!VQnOsXGS{f{F+||E#{QgbDBbVh*eBj|@^fWMFG&E*rpJ1cBU6nyaiTQ}aR>pOX z2OUD!)hT{FsOUJa?%hX+v;Y+kPG)TZV?z!G&!@AUtR0jLq-$cEn`Q6DUc9o&K!f4x zA5qKAVoSofI_AnW$0|RvwTW$(X`W-N^qBciv(qEnzjyvPavfNg#QJ*XmgS|K+yO2^ z9ktDOA3Mh=I|c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GSV00000001Hd00000 z0027y00000008<000000004La>{o4X8$}Ryfb#w#Kp=sT7$gLZMB=kCG(>!;b9UOK zF-c=L5`3xFcYDdT_u}sErE$OTzky<&xjn~sZk#AlRP_TZt#@Z`_nFz9XJ^-w$z<{` zYOPkgjapk;s=b4zt+kAYkyNakFH3Ji^Bj}@nX7+8{%bP%6CfXB$SDZ*vp5!NJP*Y3 z4b-LA@Pmmwd2$s25R6s34a=XPEm@Yvgvp_P1KRuTeve0$%NG!qUdPYWeD1>kidS+! zY~VP^9JX1I@5n?dVWdx+VI(z2?~G8h8dGhkU+mxm3WEn|XW$)q9D0&=M)K@gxSpj^y}Q$On~g^O_nn8r_ob?*nHgo~VZhFvYFBj{ zEbx@cdbMNll9w=AxP)su*3}<#I!(t8{}9bS=%K+3`c1^rsyTs9&0Edq zXa?zMC6dQ7qHbbBGd_31TbQsZ;xH<5=6jIcu@|L@q^&Ir%3~}!t^5I+?q1VvI-9Mv zmV0Y9%5vArZ=>nkq-Yhqh>i?I78yDaQC2L1T?WrdBXJw@(s&d`f{%cm#T4BQ_@tmz zgnLkQ6M@TvMnZ`w!is07NhCfE!dTwVq&D0`a;A17qVY4S$j=nZFIeMqvRha#_rIFi z;yJ=Iq~s`t!-gHeSCoo(72#`qo#u|*s6yb8MTVi#MoAGDv?!Y__nAm8?D90GK%Dv) z+GL8kQR+P=on{J&B}f%)Cqa0aA%`)HpJyD5Lt!p$B}OW2QLdcWjo$xsH0g}5r!yXo-}w8eW+9$oH#hMDfdox4%^fd-{2eGAQ5GfAA`c!e?*p#_*z z{tU!0i-e+qJTdt_L^oHB36^OS0Uii-C=Hd5YXzwyG|RC2;wf!}30_ZAP&K>?=uR2* zt~?RG^uky~bd%{7t-#Azm}T0{@2aV-BYA?$YdI(BrPjbSFIy?YYQrf7S0kSrj6-4m z6iKzzy$S6i5HHOVLw=~FH_J-Qjt3+Bpp^UHK;D;;6wEU;O4Cr{;7KwnT!BAE7LHT` zQ4zie2J?CaUgry_5>^B_q$HI}i#Kzbspb9}aC#z43@UNGmt$oGUeI>8({-soXz%UJ z!9No572qrU6vzfPts)z5i@30}F*XughLqG?b*8I%H1u8-bLB9X`ECKo9&FVpC)`$Y!BLZ@|%J$3bLd5vE!ULPGh6h z?sTp0T{P~I^d9RhCaZcM&1_hqyu5Ebqr2z!8$Vmvi@b93V#l80m36++>8y2s+2jiX zvaqn+T?qsKQl8L5DlL&+&1bxs{~I*L?8D<<|TD!Qti(Iz}oeZqRk zW9oSAD+2xT;kHLPf_+*JeSNnx%LhHicTaiwjy>8;d;NLP!2*tFtcRtti|FSUvr3}z z2MiTIdZmZiYRkx^`4W{;0WxHsuuwg|r<`m_yk6SQTTjH1_Hjx}bQ7!oc=@>UeX-vW zEtWBcx)3_TBB5eCf-M-8;9h%udvoX(>&yl}qI)j{?1ADr6N0#iVf$)$QGAzPS%p1nG(y)9JTjUk@;_k5HNv7rPu9Y#njrK@ZMq)$t z%xSkCbT))K>MFfh{eJMpgA1^mDQe9@@}_K(C<*=+zou#*Pd?@H>Q<*$YNEG=_83;e zqLedE31F9*A1|&>YmN2AgSCl$l@Pt1W~;5C-ni~?wrca&Fgjx(q;&3ZO5m>g=JZ>} z_)CPh0k>oIl#Xk$qa(-_)X$p>UcKLljY&%parH?)XaSQ%-DImlr`4ioABrFtEUdgG z-_BK~yE;`un%~|KrJMZTv1~hn*3+?5JU-3|zsg=y!#=zGEOa!uW{%NodZs$QhL!E> zCh8-LSyPWb73J~LhDr=p>qR-x=W*umhV_bi8zT*qK2eVM{`kVQ^`sv^%D9EWj{*-v`a9B%ex6>gA9IwF;0uHC>y%9Oae zXyVN`dBkS%hpjuT^tQ1gTh)|NYL4@@iY9|&$d1Fh99u1sm6pOOS8MzM8;Leug|Tht z3ROpP*s{T$Nip0(TSRZ?`Yf%QgA=AF7u3{kxWs0*;?VI6D-Q~Z82eC;aa8J(`8a8z zjvLogvB;kS$an`iKPh?JEg>E@C8y6GcjEuv>Y^p0?+V&MwK=xvm)Tc*cl6D;Vr z7^+JS`+Li0L^Ct4+DmNUl3JD5%5^;p+J! z&nrp@CDAaFJZi>(D}MbkUfM35=n~fy$Fe`wn#5f*r0}Wvk7=se4_zQ-?{LMp_(fj_ZHG%`w%vDiM~I4e?E2la zh=*_ZDL&>1MpqnyB0!UpBbkb;C9}&2B^~ z+h?*vCD1O00@!10JmQ)0y?oPZYD;j*_*LbY%s|-9hxjstH=IBE3dcJ#8sVd(U$fES z3i`(c<%XYcgy|~_q@L@ulmyXa?H-jLB(t$W$r!q1ZWHo=n=KAtve7fJ;HGJ0UHlcr z+^-xlqJOzdW(3G^w!qvFP=6GIF0#F!YA2vP%fyc|mtnAmt8&Nx3gZwRW8|tjYko0W z*moymF;A}thqp0!EvK${5^XV_cPsVI!n5L-bOZo4LI9$Puz1qT`Zs`AJK0GmYqmPX z46CbcD2>_^4Yd~p@d|3oU|=U_S^FlTaCX*|K#5{j#>0He^|98v$+C3~ZV;!OR~+QO zuM%j){pX|l(ZR|;UTPqgVEphp^zdVzMDU1M14l7g=>^U=krw`RfixP*6e>AmDHs|( zD^yZS=;kq_8mQAltYa6bj7(m<*A8})xXLe~5U6OH%DO}8dIhUac{=Y;5i|F(4Z={z zl2(U#@6`8YC9zVhFVt~ibbKN17uKXnOW3=P?^v%c)Q#B-Hy3(NM#GGH0Q7-YeaFMB zsSU0!%0ZBQna_j}McS8SYM4RU_C?*4$bqToqN|!iI<=GN zs1z0eWb=ym#1oG@Zy7S_HKS$8wDE}uKOf+MCgB6|Pm8M+kTOTu;6PbgNfA@!3w;Sh zhXa!iQ%-oGkk~08`ai;CjW9)bp@dO^CrBmN)~ zS=}$OQx*9O=4T27Kq`-KW8 z!-cn=1|HZhCP$1ETLI{ZQq4VWCdhPph$P7Aq0qouyB;q~hpG?zsfqkw>_{*F1wF`x z9Dy9h{fqSm#Z(M)VdieO7sxJW|TrLT!wwgZBG7ny#(1 zL3q`g$OR?QOgbS&oHJ1}G+5hmGv9Q#;}WZt z7*{9vORF^}rA(RH@?R=$!XBl!KxldGzNcvuM_l$`l(-7iC~uxE_=4-?#>M7`)Q+o( z4<>;g{)Z!&pQL_jL>Bytd9wIUaR8^!9*{?p=8p~@&F1glyu}YtZe{zBx|%1dl6;FT z6~!duS@Zq_`XjqYm#w+R$5CT8`tq zdbUGibmTcxj6BqhN5z0U1M?B&mcO@#|M=UGou9|Ye|d(QiH;4<5=k zkx0pZNHdkX7@n@I%J|WZ9zY*{?h^k!SBT0$z92PCyu>L=hg`fdD+f#^=^gxGW@cW4GqOLjxqg5D9ZXsnCg&$jx8cP*SeVm)imulD6k`-xy2Zqi>h@IQFsdpqaM=r`yAwD~jd`rn+N zni)h=yG`^18|BU_1{gTHfU(-FR7&_}3g?GJn>-dsue&hrssC?+vJjBaS?vqGChKeM zatm}ORR~vIL>TG;`kNuOsf49t4W3*fW_TZnKszkECgjd4_*~9%8HSgA*K#8w2i4x^ zfsV=(m20P1AFiBr-6m>TQl^p2YE!Bot^5#VOMsn`1{F{9X#27qfyR%$SOS`n;D9-sISDFRg>G2A-$jTaCvG!Ha?ln%9)UTP%s%X!vad#Ie3FIe?bvXEg z*c`8y^j04up9D6hR$BqBeoU!QxUr4o(WF{1q<8A{)%s}ifc{$zXl4-Es@CjRpM^gS zvK1iN=8dXv;rRi;>IM%}$w3;4;!HR2V+7{r%@yKT?k^eg6e1oxVe7P&j^8uSyMnaI z7^;eiiD@QK%w?KmPs;72VzDt=#FPF{5(dQCg25bcGIW1xUcCC;w1{_ELgYG=n1cdp zfW&?_R4y42{z=*AhWd3!lqNp4lE3t1`+ue)%>R@6C0&0e3&d;RT#|0GzA`#S z(-n`CM2MC)U>2sJr8A{UPy3A>zZRQ+B?u(ZuxX#E($R$Ygw3yu3Z@GpXTEwLi1*Ku zHKCswt#QwrcD|rB^(1?w|E}lAzR3cCi_^{b?mZik60C}lZ`$YYQczj@`nKOR?d8L- z9h9^xlUG*>pSVq;`UnS$>Rw#4$~2m`vvz+s5Jjc7o%YXepp2mXJ@RA+?}))UXQund z-9H6w;q`ZW;LkmnApcrxs*jS>yst@_Fk;hZKRuuvFK=|n>}18gOs(f82?+&frs775 zX1XWNPJ3AoU6oI9kOZQo8WEf4%ug`dmJt?~9o6=jP8hv7(%GoCj+C6qq}0nM4A+#i{Nq_b3!yDz^`A#iNV%u4vM7qqoESq>ev z^*>~h<7GdRiJ8p{=K})Z!i|7eU*^Set*45A(O1BbF1J!@Kw|BeCraBcgH*_PjKu|H znu_bQYE$+l##E3^EE=tQDK2dqX*&tb5z=2!2D!3EHvk>bQzftP=mXfbg zBZ9}j)?Rw0b!n(%+^oowkoWhcW{ocqFD=^&4$kyePFNT;9hxjyU46o9#$lQuFD73# z_L}gi1JQRSC&B-*-`ML{HkA*iTZ6l&M#ikZ(uRk-hc8yyk57)Y<&RB28|uh;Wz$b% znBSeT(z2l!PIqNk)9Ba3tP3lvYZGi_EnX8&P5b3d)Qs16*S2Y*DV& z6REzj0{^#d*)G0Xm6^@Y?rBc8I#f}_{9L9oOs-Y+x!73Ci^UaDtO%eFrK;Lw6a4R6 z`H!}C5B0x`dRHZ=bx5m&`x>RM%|>gaxBqL!(7W1e+%u;Ns1|=`6tdszVIOt9e{}iR zAybO5^2~*PqRbgqArhsm;g)-2^%@ZA+zeUEDIK9@OZh`mzAY_h@ZJTzV!!il7A)o> zW}F~DYxEF^^wFh*Xv0%X6)%b9Xr1OM?hRozCp|?f=>i3};JskLG0s?F zw>p|Y%BFAmPaJenvPC1M*@$st)IMbrbgS${qmO(GCFsN_MrJ2xbG#Lw>wEbIiy3 zx%gjtO^Q>k%4@3twLk ziUCdS>+knsIRJ}-a5`_%9%fT)CL^`+M86&=@t-hMeog3orwi;+;%SNWQL%|b_jNC- zH#J*$@iaNS*o4}N47UaxF#imCxMRxpa3M`*Yv3>jHeaIo z>w!R?wX(D*sVu~IYtW=6fZ?WrbG<_it1K{EPl+hxK;R_yjg7V#NLbVLI~a@TJ1jMc zHUnp2nVIp19XWzM8ji(cEkC&jt_eTF!+N9~+vY8%pXM!98}4nQrA!D{f%6Of$x`uU ct+0>wg#f|O%aLqBWkPovg@m9B-kF*I0|`;z_5c6? literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cbx.xml b/YL_adder/db/YL_adder.cbx.xml new file mode 100644 index 0000000..c108613 --- /dev/null +++ b/YL_adder/db/YL_adder.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/YL_adder/db/YL_adder.cmp.bpm b/YL_adder/db/YL_adder.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..ce00ec3eb0c83c9a836dc5366be1e340053f210e GIT binary patch literal 824 zcmV-81IPRk000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Ff600000006uK00000 z005c*00000001}x00000004La>{iQe(?AprZ}Hx+VgY}E>z3GU7f4mx1yZH5pbOYo zZairXi6^ohBz~#?!oMIP@dk6x)aTYUm8p=LMU;^yckY>U=RSPLaaC1Szt`*i7CCS8 zvgGwk^1^&3>!Hj`^%UXOltQVnhjAopNa`0YufdrN#EWs|Sg20_+^61_v^y`}=FOXa zTk3dA=4wBBv9a}hH(Gi~WR1vGBFjX+$kjM>M`9#2ZP@|#pd?FeXx*Vs68Ub?))!$cgG&l4NtO7mf3ppD19dgv_6FFKn zW*ca&S;s&#`AI9~+^bIx|KbGdot5ott@UKhRK06`#FeW?pjNm&E>n$J&(Zqe3Go)7 zGB539EMjxr+bc|7c+=uAwcc)Kw(|6y@rrVqy!WPdj+nhkO@ zHdz^uqGaD2*zqJCnIxLTd6|}}%?jl{$*nCt;BA+szO|Yd@JBKa<2;G>M|SXb zJ)V{}Ixv~Z<5GO3Sy8?b#s!BDuLse}aJc3pZp=NI7}t-5zDaAuUy@Muu4Q+rTO0ky?~=nAnY zPu&kr%y&~XGvyi|m%JX7+;bbRMLQwYls^Cf0RR7Z0b*ugWSGFfz@U;kEeuF8CD@Y{+6A&{qd;pUFgBPy`iSPk2 zNOOQ=P>5%Ur@tRakbxD5nHU^^Vh(0?9L212F&q0RR82 C+I5it literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cmp.cdb b/YL_adder/db/YL_adder.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..250ef897a08eb19a230b1dbc4d463b13a5f42e5d GIT binary patch literal 8467 zcmeI1Wmgnl)b=Ssx&`SH5Co*dp;JKV&H+Y3Lb^d>Xc&;Lp}Rq(L2>};ROtrE8IT!r zZvW5y1)dLZuiskddbQ8B_daKzH-{Vp1EY=*^WW)zlP)I=NwV*JI9>~MT(H6v_4Yd8pBFLhr&SC_zVNteo^!gXsxAEV0 z7#PEh|4%R+asO8-S^ro4Fi$M-XF$r{XQ7|NfE(E)8IXZyaGII0d=LtY)tALPj#bo~fKKXk3R`c6o;v3+xEc>$D^awU*5%?N9x z`pdTrMfI=7>a*UL7 zxkK|4cmk;F-dmL&Gki0h7i84>``*#f%KvNKmkQh8OOp-7nT~rqQrVzhMAMY*idRC= zOSj)zdj@~K&kB8*DwU?3!e|if{rmlj zW4#S3$?B_MzZdo5I)P(-O?2@-S)p9x(+_?Xk9i59OEF?P8~L^c@A88?Rd+*Q{31Q0 zff*`-9GyaWwC?h(+Cu31+BlCrr5Wi(td?2&uE!c~YlzNZuSkaG^n0hc&;pbXIX_E0 zJM8BFE^I9L3SD}W{M9ot@8dzw1-Vo+gZhbk25d8!Fd2*V=Ot9u@(xrR5_I}_E5_iO zBK;%;`E;bTKOKjG*6NN1!3aka#{5?8HYQ#Cp^qiH_)@W_&zjc0dUd}Atk*8MGC^MQ z=4;Jtxo2BJ448}+ZurwxBvo==8_BR0^%mVZj4%bBwoF^z1zAH#Pkw++Wa0uac_3qD zULUu}s4gRJ`nL;v0NSsF3fc}l2PFn2ezXBLh~hm8Xbu14YXMD^^6A}bAdeL;&u7dfZLU0h3N0$+J9guSS%xeWlmu1lNGj9pph%MMpGxWQr69qx3zt?@0 z?-<<2VGdpy7P|B4xs@uNz~qHiy%GO}Z&}?|d8hP1zi1m3UHP>Nv6lj=Uk5%869c9%T;Dgt>qwBy|?c_yD?e2}YwOo6AL=2rcb zCJ&x<+|G^OYI|2C>YJG{j6!fR^s)J+Nq#wlo}Gn-3eYW?pyE~W%uwkaB~8h?pwJg_ z`6XcbL4`9?ReAekftyHU7xiy4c5W|$!R5&_aefWSNkGQ;?xWhF=-Lw9elCp53r723^W@~G9Ks4GI zwaEGmDuk17MNck8^DHj^yOuF3$Yv{Sc3`puY+$df2LHGwXQEqujWRAJ(6C55m&NHn zv*5lwF21Ml`hglx@=&MVaAqOIA?4EqTt&|+Hkb=ajGx~eZgOv&zc(qI8fZ&OhU*x| zTs|Fdz_L^)dR5){DS}leQ$!N2c%l=h6s6ge$B^F!(lg^Xj7^j&cO{z}Zt`SIu zcV1pRS8TjphK=9roHYIH75Qb`Gq9fnQze~XY=&7egxlGZ_W!9+O@w`TqF!2N5d zFYcMEm>uLim$`iT4BpLa*X;^9?|V0LjPUz4DGS~udA z6UQRrT^Ucp&PGejFEppb7L*%k7lj#?yV5{wWos3RhC#d9vw`?*c*D_JL5C+6-)JHa zBsCqoVU=ITJDLX#eD6jFew(D7<*i;K&Ex{sOg&_~rA*?sTXP*&x*#lx$XY3t^#uVy z#xbbfgRZXb zm4^l@(FesF8PhM>G$i7)4g~M#+sv9fPqJ;mQ}pX|T}SJlDgl}wEARyG(8jg-;fY$v zj|Y{=ugVKV(`H+#UgpTl>-oK@Jb=ND(GuXi6SryDFYt^bw!OW8YQB=FC0RiU(E$w2 z%f(c$-m0G+2=q;P0{i$X=>AF5CzDCkSttinX_|g-z#%y%zEOye`%A#*iq%KGP$?(U z6BgVLOh4HJtVdS+ncAIljExV%hC^9)K!k4L-aE!ShpDPATMZwt{iYqZauu&p?Sf5( z3F;WaXdFB9>li-=FC8AH@e(h$+9|c1oD-cOkGD!l!R?^@Cul6D!!v=TXBYVJa|O;N zcInYgl)D-pQ>OGqodo)KO5kVSmb6Q+zV_&2PNvH7mZKUYvkP|P3)y6Mv?5!mS76NX zx6Q%kCwNMPVzf5>v!XhvVBK^rp;J`#?Q&=x2Qsg{(@6(8FQj~FY~7Q!1c|R z{(g9*VUkdOA4kX?(lqh@l7#wUTrI+pX?Z9gPm5l3&Hy5Y~WKelx!-O@KsHjAsnetu#ygR?zUb zh~!LTw=oZX!8Fa|h!p~1?Xy~lgl9Vy@7UQ?(aWY1medkQc|ArY2fzM9Lz)a%Hb&!^ z2$RV?`}+p@96nTe^`=Heb{AL>qJ*Sh3oSFz`rcK1ni zNy=Wi?J^<;7Y{79JO5Kk4jc@_5>o!*H{x^VdVx0E-H`p-5ETO>jO{A^gY{v#?%92Nc2N4t+w>?ZLyL8~x2*pb7jZ z!^}YpkroG$$*-_$CK{`dhu3V&68D@TVoC75jsxN6=>WzD7r8qoo?hy3suTdFmyAvq zy@*q_mrS1&4@2sR2R3($Tt_wRB`?B}8mi-mFsCt1ndo9zr<~EcxA?5SFMS^kmYr}v z<0|~#wH0$M!DU&zDC|Db^~6M;W4^{L%tyHTC;!#+!pm36E6UIrUkn%3nLK@wJ`Au_ zVo5!9W%D*};XK;fJ*{3!k8(Gr4_EXr#rcvy7)g706xSkuXPqU`oHy8@AZ32Uq^}b9 zdvtWG_o6;c>?rOy;!%cSzNCfXcE4~ZuJ@A4;V5q9GiOr`@A?yh?R7MPLGN=)djCaD zw?``K55+N=w5;u_qlXCwGT zCb1Z`wBIaz0$jv%GCe5D4eA@F<;wTBaD@_9pS1rR;NI&^D+zcr(}wXNwlJx^IFcwY zE5uN2*)N8576fWZpTJ0e_+)j*`g|&R)&h9`{ai=A;+Vq=pyFaLvoWIS61d#n1 zksqPZ&R-#GK{hm=y*KuKfuemoAh0w`5B8Spzo4%VVN9msevtZ<+3xm`7uGcNmTvd9 zp@b=k2T*)^Z5*6S;1Y`O;vV*g^b{WdZS^6MC)H8ch zlH8j&`0u_@uIC(mSJ5Mm!F`L!5YM#3it2B^q98(g_Qt`aBEd5@-OWyRQ-ZBqVC(#(w(*Kq=z1cpWfHAmq$7>po*z$d~;+*#YLSfQ$Gnd`x za#OrgDshL^-E23?GacK-P%1e3eIYZMV{`S4FV2Hs5zL^En4m}hq)=(#S>ZYUZK6)x zA2G(OUxjcdp#o}TY-(Os4))c#Kfcq82k`4z2v4IA)Ar=<1X`QS~L zvEhkiP|9V$pyHBbzZ-7hnf{hpct$reOfM{Nub+w(yfP3v->Z^VYA)84ck5dxf610@ zMAgmNfo)I^{2tq^!O1#(D{o&p$qLayE6u1kKh7TtS8(if#2NS3sE>?b>HjJAx~*so z4}d%PY<@apRxq=B5K9U1cTg5$DfWcUu%_=#$Yhst$2AIAvXUShaxZ7-2mNwJfhVM? z^LBNd9yu2m-C~*roB+T%GSKCG(`vlj>eFX}UE=A&IBNfI=LIl{$ub}t!NKgC!(v_2 zv_xnIo*;A30ClVY;UsL(L$6r%ble;eLgV?KJeZRBXe`7m=OQKxm954OHZs3gaW1A_ z9!kv-cg~Msp|MYMH4THW=`5(SeVHlYElJW+V7fmnhz_oOE&a?PVn@!H3$RWj#mptf zhoY#A7x6`}a7oCPce^6FgQnzqXqT~!3WqbTUom~(maX)MxFGel!mpgCT|bC%G8nau+{HJ$sXw_=`(met zJNCqNVOl;d?EEL04oT!qab02f{Z{gtKZ!LmZ8*mRcQnHqD2eEIX{K;f?puB#Ek$0N zso61!KiX@B>FVK<}4Qf0c zcP)$pi6>{IBcteXUkvl?|5}FYHiT7s%iGt#KA3@CUnYi>*ffAyGs=c zk=>PBxb(+rm$px6VGaPOj}K)6W#mm!IVIhiWG9sH-}$RTH9)CMdbrTnD62mt<{y+_ z8=tVYoF#r^00v#1<5zwCJnhTW#U!A`e@Zwi+$6B$Fho9N?m6!Fah z#$Fe5pT2z&B5*y4l&XlTpt_r9Dx+kz^-op>!mr{T<@9CSy*1J~<*F5^H!Lpu_?R@# zjD9AgU1@B}Spl#Yjq&FJi$-ia9}h&?f_goRGxQ%{>1XJ>UsvJ&7y@i)``0dC0%)rA zpj{J>u>8*%VrOn zKWX+dpUio0n0hj*;}M>+vE=_{m>Pc*g&z-6Xm(N~(v^8K~uK6#3j5hS;2&iwC`M$fZ-lF4~E-39*4zY@@LI zImg)>0xj(wO5@7M^?;~hJ^#Hsl4bd#1IUvUW$t17KmMZOC0Fhb;O7Eqbbh@&8S!Oh zsu9&F{saN*Vgy&d+G0(*QCVx*Lcm@@BvnA!Kcf>M;LaPW)l(k?Ia3fFy7atZ=lrj^ zSKT~ye5y6+T>2h_^gPpzKyHV+|Ed~@uZrRhowE-=OCKjR0^&ew(ktdf+KLcVR2l;0 z>4h#%WQmbE?3%^_P+XCx^q)ZDOVwY$=|h1fV|C+Je#M_6v-%n@?h!-itJj2z<-b<~ zt*Js|j?057Xm~E(-E!dVx*xz}2iCYVx8@|{)A_5CNothJ)nUXyJh{34h8>9k8rR0g zE#`MR1duYn)4~Ve8_KmtZG{gs&C-^5^p^Z#ip;Ga2AO4&%eZ?FNm65FIS2)s`V;g& zP!)`3f1ZHV{I!!o(iAX|H06hi_40WQCFoE4+T95!cNp-ugoakK87&GaX`Q9qzx6DD z22AO^r2H}_YkCl`{rVRy5m>S%_A-04jAXBgQz!+R3VE^E#33x>ieV7HO!Axc(QY+@ zcR@+((V1bl6jds#^kLwLBKuM|;P5U@NJ3n1N@;&n+G7&LiMTo5+%&CqY*%oEJ^TQ=H#9Fj~d7TTk5ZsY2Q;HK&ZrkU63L_< zGMMiHWQQDfKK;h~yYc)y5*qf3<3oc{sGLTbDrzA&{uz1=Tvrc+UGhDfJW)QD3b1*} zCJseF?O;wuh9NUpc@o6*q-%$IlWfJ`Ww1x<*G$6&#ZF8E`1w?J-iF?O1p+m6)S*6o zI_Kzd=0{y4-g4N&~#L&byHIKK97nVgpqz-O~Ip@N*#8 zVPk!(-se>h_Z#wfk2T;&tGGg^0X(P*;*lM}7ZK8B^AN2bP#yhAhUl1rJ=!amR3bmuv*4N=a&U3KT zyZt+NSw9sG^rS^LWy4$*oZ0a0IQHx`;J_uPmN2`1XbZL)4 z`PUONP<}1xF=9sVO&`Tj~YEMhp4}gM^svkNBalEJ#>mU zSY*tJn{gg+;qX?YcUO1jX%)9yI;C7ywajp;T{2`)7`ts_oWTCu8Hiw+5g6oOg}xXq z=W00VOYL~NRLlq9I_jKRZgRSTRWje;9#tHBgvR3YG<2WYD>cICfELoz>8I^%@E?T_ z-vjzX%`>mX;Dmce9~FPx=I0#3YTQ~&YOOlmRv}Woh~7nb6*`7~mGm!RfsrzO#D@u; zG%|sgRwjKSP&~LJ;JCBe%HLup@R+2T#*YHk&K!Y4SoR_5sBhp7TjP)+AI54Gn05e#7Sb-JQ4zU+MHpT+ns5utD7Gm z<^EXFAg0t#HpRuqm$^tUbZpd;|%PU8^%$u*ja``&7PCmy^}MHkp%P$uJxtGqBG)}@}9i55&GEc;!S2|{hA%wG{`*ozqx~==^)(zQb*O^pA%-ruZL%L1LiC*o z3|>0m+X(rmcKZmWPHFyDNsdmgzn3#IYU|ZqC$OkHcsGPlH`TpqAm=Q0) zK%AUUa^}V1?o%g%K=KiEcUtqA&B(pp3(>({a_H z{8n2JKm57fuV_d+coO)Qt;j1JYmQcp16FO{^zEvH{_AcJ3N3 z4lK@$fh1kST)iA(Gkg4-Svpmm`fDQXWV(xY5$?n%3Hg{+9|T!$7bh>{>n;pP!ym=- z$;)*WdsDgQjojN!33j~Fk6-KN=>A~a^bRO@$C3^}gAFGgw0|jILZN|g;w^k{TG~b0 zO9)pmLHmw2dyO{(M)RoCIr$@ktl>(kA|kT&y@j;;D)7s0`q4KTxbFwql&C2X(n`)B zxU4tyGnnM4RYuuno3?@EGe0lcz1ytoM$Kj++Ue3%2aoKe;QAjcj&}OVz1;NE&052Nis>KgHpLah8v(vV=azZ!3ATo{6)m^?WqLj`2*3)xqn7y zJkPSNT719SFL!C#61+nipXp9N`Y4X(qg)pE^Rulv*^fb6yITCFa;?+!~6l xyksuRAK96oZrX70jW&S1nf;vD`T8O?GwyfP#XmMuGmvA%*xCL6nC|>F#)o3umf4S zS=iWF*jd>)fGVa=ZkEQTKqW(CYakm? zq4tIkA?c*Va}JU7jO7gb_u8k)vwy{EGFQl3@6R?lanSBgZsK%g$4J~gUIR`{k1Urg3Irs%ga`e?QGuC*08w2f`sy9_v1V?9szj>d|J&)6@ z&n&GN4E@q*abi0FHH~iLrHuUSXC=(GZaP>)oyE^pbCoBhc$7jF&nUq!$pi!+hI@s3 z){3`&nliY+<^8r`NFz_0UfI}_t>PxpH^Fg}E_jm9WLZCC-Fr0FH?%Zxe9f31ecD6( ziO@7dx`aWI(dI@*iP5s2j_BNW!qzmG{(D$hW9lam=Jx@OVXW-=n@{MoJw-|bSZkQS z;LIUREs4F{z;p3p{I1MM;LqH6>pNm?WZ~Tg=9)U^82L&v>z9j9%Iy=*}Had_upt-_p*+o{pXt=kjA4kSsIP{5UZNnN|L*SHU`67JGh- zb;1&}z)W~6yfV%F|3PeZ*LQQ&=a+aJt}kHc`G%z=~|ME^J9r4nA!Ug0;=d>j3#| zHG`u)R*v+ca!I>NPPoo0IeCM@_yz+ex2SMjE{e0c3G+{fFv5tSoz1tbRJoD@Yi_y> zpQ|X_3icnRD9msoQuvM?G<)&k8O8WCzg{AtT4*vY8XOqDEpW&OqX7ctXR)AMX@(fnQ0 z^zV}T=Ozx85pGJ9>_h`@7HiIOl zg*+sIy!pe`aUmQ^h;|1mwAW;Lr2!LlDDb~wiRu4zsCkM4$`XE@>lP{D`Q$nWFDrfv z_g;;mWzS1-d|dmAt7OK*9+)x?;jUI2QZx1$u&*y861>$67Y1ks3D9f)F>qZ1Qr@55 z+Jtf=z}Ma15|n@XR8-O^2VB~SvAA(P<2V@LC$*~0+aMl!r7}H8&!^KCfR*oz^Bwqg z!xvT`I$k2Dt?`2LWe8b#)&)6T5se0q^Gh1hy}YSc*0QeUjmsH_RIB~(@vS7Bj9$_| zgh;}Z0OY`q9aAzoW|5%Xd4HH%$G*(12Ahk5bw#?+GF2Q@-95~HN>ue_yP=+cKwyfx zPu0y(7{BCal-3dACHTi+zA)ws9|oTv!P*M>p)5-Qj4fG=0t4Vx!^PN%a0mu+yN}_` ziSl<}X3O&|@gM)SFOJ(50HHF5iO_mplGz*G=?bZr;?^7Qx1P+Egsk4Kr?fcI3MVQA zgf5~q)uDUOxpxQ%qh>IN=rTMsoMT+J{#hnVrDrH5(3tvGyG4Sn$0Dw>Y8+L#F(DMs ze|m13>0ZXRPJT$SH0Iy^(yH>1#`~^}H!1M_EldRRfv|7*C86x6pj2Vi#SD}8an1%? z&Qw}0)^EwvIg9P_3%P!W3jo`s%6IiS(BKFzjJ#1g|-@fNZR2oWK~@=bdmv zZ2a#t!smR?lAuX_730pkV8p&Kt!!cGVuPAwy$SXSSW3&eNc%&B`^#S<$A}!iT%K*R zK<^-ogQHO+XLeMnje1>gZck#!$brHLZD*&s`xZ$G5LLLCcj?e1w7f-bTGLB&4-tR` zem&GX)xG7XqGP-?6pA-Dyvktbx{6brQ5SfKNxv}|Fq^=M)p!GGV>8W{#w2ond2T76 z1YuMiLlk$&)&Q-ih#b7`e0Cxn`?9 z$ptUPVrg&7$Fp9!nIyK^D>)DKS zhNS1OoF=u&jv`>R95A+KeR4{{2Kj5XEi#F(^UaqXy9+MFrCnTCJrX~;|4o;r7ZJ4DzmCbr0 zNuTMHaZvG6dVNB&(+Nt=C}UrI*<4s>t8Z&|F6y#3h+w4aiIR-C?oCm++HjG6F)-hZ ztID;oqW^mk*W+`vnB+FuHqq0x199eYciFG(=aN{HzUXEX7HDA80CU2WEyd?-hv*-F zOHL_Mc9EYl&>uAH`>OJrWQk3#{g~ zZ^^qV$mk|gLHJ&+QKPnTsu%SMJWAfR{Sv_?{{q#N{L>M;M!NS?XV)E+*^&8{;Ymlr z;0a;pbl|yCCO*kBf1~BN-Sa2mS)_NqN6%I+KBdRq%x!1yuzEp1g#myq zF+a;4*0!g4VgH6nMF31!bW4{8Y6V?1ejb-qE<#QxB1qp9b z2jFe)ucqFg_UBW9lT&rBCT_PaI|hKy!7Jml-~;F;Q*Gn2b^C@cC}_(Wb?N(=x8m~A zgj#gLG1J_hT$w4x_TZ(2)jropm#8nuD`XbqWW4(m;@x~;IyiYI^$2VKqWgG!x`f8U zd7r&j5aGdij`GcEg*KS`_;~A9VRTOOEd6Fnfu-QGd}w!08S##KC^X5mv=^N=i1zZt z#i2;KA!U@WJ@a1S}%($WW5pguUn-uyGeWx*sl&NLtp0IsNA;8 zdVAm(4J2_t8ANUqQTxm^pd6C{$Kka*IuPm6c4{Ej&}{%jG7blEgQh!7oCJRHH1^hr-3YO(7@dS+`$Vcz8n`c|KE5Nm){IQW1#wa20;3X~j#RE8wVH$+aAgQJ z@h6}s*pk0;Cc9T+dC6W0=^<+}v@J`9d@US*v$ z`Ok8ocop=HoklR?EZD(5EL8LcFXd_}fK&dnc~Fd>?^=jPV#M84d!jYWEHdEq6n>A8 zMh?5D<1+)D+R%!Fq1LpLt?8H}qTC2u`e3gNBcTUWb4;{fTL-dTF@Fs|+fx6I)qn<-aQIn*C-W-$ z#Zb!NOLBm+iieIwGoxahSIQ=3FaCFDX=9EagFE4cd>AF4;=N&3KW8xLgmH=nN+gkP zGhFrQ2+4o}T_} zimAN0C*Qd|qd4;3UC84E$c@O1)IWTS_(H{T5H40bkOnt_<|I3cTJ$kw5Bi=7!^lt}YWyCvoh1myN|S+`r!OMAXZ z=ytcXwqP4FGQ{9ozhJUtZZ>E6l|EVFc%f|lulf0?KI5rut~{2x?K2>bp3u5mv?jdC zNf;Zl234s|(=NKwAwh(LtQO+lHp`xg=F=6+1x69YLerZi9<cKbMB4jmx{CQEK^xt8AtQNq7)PK~kZ=hjy2i{GH7xH(8j4X`r)|($`*8zk}@$ z8=%y%yL@uLp?QmS7i;j#Ne-=<@51gm`D$n{-=@!2`pCGzGghg`ZC&@NqtXHgfbwzf zIF@(ffMDWF@b22DmWnkD;#-G4m{a25T^@(*66PO!L8qmY)^zj^dm3RO7|18}{c;!8 zs!mrS_nKj0xyHsE#tBFo3jZRe9?hg51t%22Hfjii?q*J?@#Hw#S!LoOyPqp$0@F%v zMzQ6+;LzVCuqmS>J-4|m2RQk3GwLB^iiK5zli5Us53s=%hWVhmsEckhsUjMlG2=Et zpul&a$PM=$+Q&_LiMo7o9mt$y#E6E321B#r`uHa7zN4F6L^1ysXC$C#{Pl0QvbzlA zW@kKjLFE>o%BM&z%(vK>G`PHbNiK6L#u-GJ_>2DxMbLsmNJL!vp6?;KA|duE6LFo_ zo#gEt%_2J*Lvq%c>wZ|!<|yLXSt`TBm~Ds8He{i-s^9CtnCS?dQE_V*L;{0-DRiQa z_Lm8?$vR{|G{xj*O5t~Qt^8sa1fJ}1}6c((%LrDf`AD(EA!+e^JFEe z|N5W}vQV;PG1_OcZqd<`nf^XmY72o&!CJ=_TrOWDVFZf7m~RagV-H;*m#lLy!&E57 z;mW%1y4uRe-u0I>l^oO!gdISd21*tphnudI8(L4{*pW;|N296TE%+RIl;qI4)AIFR z(^U1sVO1^e0XC8AP0O56L%==iUK;jwWo^u~rJEJ_d@b47>i+5JY2|e)&RqOTdn*P= z6dIawq3v!mlaDKil&6xHZlbV^`Z`d8WeM;vKMa-P}yneVzqLDIhvIwy=6P z>|WFS?*}}8P#dcwY5ux(zuNbPYqp}i069|4z#k;BuzBBR@66R>;%)Wod}pOF>vA89 z0c_|B6e-%j8<(@XEoq}4K7L|E6Hq3DgT(R*y3tTaA#m_vE z+Bu(-$cc;m3iDu+cJFrO$CGV~m7RC@z|On)N4VN2r&5EogjuUAnY=mC@Lwl7Nj5HW zFK`{K9=>E(2=Y6k@b7?ieMiCNjdRK(+;L@{b1QRGb8`lG7xRsYt}b5*F`&RmLe=s^4%%-^4H_Pmc^8B{TPA!Bdmru_I+Z@w72w% zUxRSX#-W|lbcaL~3{Dcx$-py2Va*cs!@ z5Is$3$?w3yFh*Y279veLY?i_i+oj4f`cc8;eEdbT-iM>ohV8HOa7L*R9+vUzpsD>$ z66>$(%1#sa?;V0Zw+2+pIe}W{iV$Ca)&54x(N5)M@n+g5_)gcS+;)`< z%Z69xO3iM5Udb9u(`}fcQXK+XuVflc z+TUb<)>rSSs_*|Pi`V5_3>szDG@U>BUfpFf|BtE4YyPIPNe=>wo<`Sv#ghmJWs3Q; zc(m9(ndM7V&H8Lv%*bW_bz;n+^)H_;SA92sgqr)Fi6Fw?F`78(zC3evA_8~R7J@$} zzC2j`IZq#7?;rau`jf7U&eH?+5%{*|B5lN%k->Om=+EBk!dt`ZZ*_>>sc%2CxA)lC zPMR9Tbh64z(^Qkw+7#tB~d@T6;ir_nJBud)K3CFBpRIsGCdaWV^I9_l4{5xltCR zuh33$^ha)b{^=oM_6`;3;CsR6dKWsVa=G5HH_1>b)hsI2iI$YYwsmJBK9E0=Sndi# zkYvsAmo6Zk=Jgv!OxEr7mGU%VIx{32R_eQ%?G^4CK;8&`Zq^m!^*#>%%-V4ZU$fxd zv~zyak6PNNu`G-n+LJw}jSgDqmuJ5X&8KPjckUy4y-Y6pDfJxt#G~j4l}+Y_OQ|r+h1|B+UEq{N*LF)8wO{Iqg+~LEq*H()Na@ z{qZy-GIdUStk1}ETl@Fg(!Y7q=Ov9(nDl7>w(+u4&%g7{Tz}EOe3a#GvY5GxDsRs} z>HU@|Z7+F*`bq!d`8HKCsY|`H=eTR_M)vhkTUh@^=5BOf z0DY6K)zbZn3HvsOml@|thf}#3KZ0>+tMmhZO>OSok|=R#iyuWohB9#Lp&efwEIz{s zD;n~6cM}7~^&5{Tr^=j?#2W(&8vkiV;7k?r%|S2p2rI`zM$jQn94h<$wQLO;(-?^( z4sAlM@!(oxFE1%Y5_lk{VD=p#El!HXU-cMC>11V)DxdW!0hvs z+_Q){Yk1X*gHS zT*EyLE44pi$ndf!vNQtu&d|66HUH5++X#psq)I)k^guO>Q=5vkr0jn$lfJ<=qn zDII-QvaJ=Oh?`NxmG(HQ-TnkJ)HFCi-`4c%uEz!cl{GXZ%fiSB*Y9Ey2``SU$Qav{ zAF_RLBcaOz2C+;cF_|shoF=0h;@)QTv-ZCBcCu3b3NLW95~cXz=;kREWQ$8MAew7P zG?V|BX^h{`!BC53m6cvF#Ek|o`;&_xvYbn#bsV!^ev0*^9T3S-ionScQNi$f4~r!{ z-OB<;HigE41;!?jKF$95)thg%In~^!N^tkrO$^m%z;!7Fg&3z0Y-<6tVuwbZ5Y~HQ zdQTj4KvAg`cR|q;i?v~@%N=<(QKAyF7$uvc0uYOUeHxs~z>cL7+Q$n4W@5@s$V_QO zpn57dVCvw+egwh;sC?Rvn8rLY6yjzH(jX5AxA0ocy`Xdh%;EuRi_gm?YA)g#M}Dy~ zs0fiYotP&gV|JmKUN=8n^1-LHG@cTH_=&_Q1v;@(ziqMaHE`0tIxL5CV8t<>CZ&HF zm3lwwJbnGj_ZqT0NWUU(7-mxki$dNm(I#|K=w**CN28!;`VNM*mO`%w|dj z_Ke|=L=pZ+njYSwy31c{os{Kk%r9}wFUduXZr>zCt1ktlM&E`P_fP$*d5DKPdfq=0 zI@v?sml37Ii+6@m9Mh;ax6?ByS4S6POMY~$IUQucd%k>ydcz*6!*ut#Ne8V;KvWOv z-F?QY5T}zmxl5zOvqq9ekd}?u(Fn-3f!UrS0$Q` z#epW#OmJz0X#4Ca{QMx?tQy^b4dAI$-B7RBBbh(0A7c(Y*tT(d$j7V~Q`S zXsn=D#)V`n>2U-uva%=9aYQR&rQ5(v3}PhV?k|A5wLIe!b(H@vzfh!U@N(14@_>Gz zb=bGmTByBfuXnIKie+ZI`wj@FSc&8kgmqhJ{j>2vCn9Jk3T3RwHlpV+f&bV3*ikm*t!#Rj4L~u z`=z{VVM9jand)>-_dqF0QkxZO>UJPLcqB_4<5oYHd)yS|oX!n8jB)`O6}H;X{gygD zcnF3lK-CsskFv?V@+AuA;^3Ij4_ncz@66GmkM`_|vq0<27QED1)1gz-Ap-V2IZxOwjW3R2QGRFuSaa0d(V31xi^s}!&2{W-mTc(7 zMHwo6hjyl?B%;KaN2u2e!a~yn4*&DQz5By24A*w) zW!*CJmK_$;p@smHtS3Goo!4s+CSAT?T7fF&9u?a0ar;ySzHBb4%n5Ffcn%CI%Why| z70l#qVMCA^WV+)qieHksrY!oTU!`<7VHUb4@36?Rq~sfO2KMW?v`%T#8M zxVOEzp{=|9!^(DJXu<&%Gx!n%^r8%zPmK5mpmw$6h=&~czRw}u(*64=- zF_^MxBOA390aN<&CsKz#jf)U)jT*N}%v&-3?bpqAmmQt@(Dh#*LU zG?82+nLK_ZA#XHc&}2v@z~tqaGy{nq2_k@sskgga6RNf@QRntcK@Xnz#YxwY!`!2M zd%k;U!mXtwCH+8~Q$HE@R?7fxKQ4h>dL*Hc1k<`m02(t+30C)9Xg!#KaxM~G3vxj_ zoRDlfM9*FXlu$?||Bt%E5>0j^6Vg&U5M zqQ{$DLa0x}iGwu2?_-4S9{rOp2MMlgbAFUjTro`zOEwn{A8xXKi-4k*!<524f!rP@1PeoVZF7B^v`e7L zL|7WfJXC6qM|0k2*jW-xf*GWnDM`UI1Qz~5?s`E45rGTI!_*0-4o9gY~UMTv^=lvv)1%66nl7k)GcO z(#mc@L*Ksm>6_2NAU2}>@(RjUJLUyr+F_aAxRbGC3@I1odn_tPvX_Oh_$zp~?dq#vKL*EkhRLvk4@`cuW#HfuJ6XQDRxq-Ne zlw^-K(gc7I_*y8c{!J!fBFR1)M55>+v&12O8Alqce8n_xjqN`d1ze#h?JF#Fe~q7A zCLFpX`5><(n8OPPy%akLz?m~Ew&w`cCtZRYZ}vSFlc8DmJ%WGU(l2jYnox)l+Y=pg z!pR}^i15f&k=qZR_!1QD8ro<*wirpNz<(#GJ=%8>un# z8xF4I*9HR|qX1+L7L|)Oj9mRl+dN?)vH?yX6524tgos|%0pXQKaa2GJ^lO=9=nbwOdh171gAg9Btde)x~3n?H%eqpU|#|IOkzg=VH(s%RvU?o_G1AYw*| zxMcFd(FAO$ZBZQku6mF&1(fQb?=&BLL_>hggw(1xN^eK-n=Z+EWm`KxO7MCm2f{>< zga%oWE3ew<4);0HKj}gz+(c2a`|MN5n+1S=-~(%PnUJeaW{Hag^38vnu?x!SItChj z@h*im`trg=aAQkwLn2yV{8kejL4E!JwQU^dKxh|9&~DpKBw90QyUxp2Z@8*~YL>GG zi$6%x_>CFX9h$Wdmq>jM7vW{M9lc73N$1gdN68E(Etl_(X(8|XqLZGAM8VIdw;gfa zU4Qzy#reUPqVk}0tDz)dv~2|sRv2H&EGKprlD2R6|R`JcFJ zfxKu&o>R@lnDT*u_w}&+2_ey<>+8hYUWGnOOxct?USK9nVcw2L9OZ@t)1VJhD5r0w z^W8fjmTtgOBlo$%1qY;2eA^E<5IB2MWeeXN_o+m*)QG$*C9_gV= zNQS8MptIHsxd7^fym8nNJ5Z+RsA<)yqZVYSA+ejU8bHQ$NL-asq_Hveh=)L-NA1=A z6SS$;WM}TV$0){dS3|B!;Iejxdcwpvl+BX-BriHcG|al=j!_RdXU|FvP&zK*cV~39 zV81g}w1@>p2uNzSf%_N$b%aRep>2{3_PBu0P~&TbjbZn^6={Byi5wOoA`mfcqp zpppYm)UB*dn2~nat&<2F%##|T&@2hoDF?(JkhM{THfqI8-p!LmC+ z_V~Kg6g3Dz4dSKwt6Y&r4dhy+U9!m*AQslc%LS@6S>PoAaES}J#0Cg}`@+F}A2@(6 zN(y1r5EsW5a>N5liqd!(avd)b8muRwjG>Pt@;sa;pRY7d(Wp_K*t|KBIGa@`x!LK@CK^h9u&j7`U2wjSu zFMw+lz_lzeWWo0<)y=O64=J!9Bg##-%$_IUIw->98~6-C{O9uZ^GQS7*>uXhrNUH# zD+$TJAj6XaxD}e#;?-^hzc4(UDL2ruPh>LGn2D{=ULP@-vQywPkrO2?BTgo{W z;sm7aH)RRJ(fdiws5e}GA|AzYImO918-kpSoXnie1HAexS@(OP5q;S4Z*D*BJ@N~q z>3o`He2L;MvEO-=Uh@*)gw9Dutfj6xcaZebcl_938RA9azG61}@Y}o2DMFE>Ztx5z zbU}yswl1yBtZ6LHVI}txng~;m+*$%3D`rH~lvNuo6nXT_To|7SRJRWrw%-+gPp6a) zn9uhAEb7$!XIib94^q<4tB7H3*kq~o7Vk_}NG0!rKpWyi@$Szig!*t)#p6t3om3Qd z*Z_SzfIa}Aj|#@_50)*DJ#Ia3yjwgV1<9oaJ>gg$WmwFL>sBj*2oSDgN?=ppcDP; zu;B%4|J(+L^rm4O@+EORA-xbGo@I+|I~#qf+-`_%R6XwU~)*G3z(ENQ&X-)CZvL*%1D&r(etfZmVPG4J3- z$vL#L*w^LpG|9riS2oWFto3lLi{}jt;nXG+f+%t z^wP8-^XfWFqYONzDZNFlV4E3bpZbAJM|1mu?6f3(NX%ka%+0yH_M8WGZD8uk-Uloc z)W?ShbkTQf8yrdEJa}ZLeYK5AuY7f6No2av&ZD)BwGGHBV!OA@(^drzT{;dS6$DOF zJi$N?E)9NFa1;EEjE9#e*GJ)b~{vx3N)X{jUQbf8I;WDKAEg% zN;Rh5COJc|x9E1(0Ud8`vx~MiGeX!M9h}{&R%+Vsv%>Dbh}oa@qM4rSk0oG%V6s;6 zJD1Tj{nHGa$UjR1C==+Iaq>viKH$j`)r%sZ;4B?%^ocsLseyV058V&D?a7}}^5J3a zjw@r$Li#-96LME2c1xP+Xu09b43FFP8DH{@3kKC_c%aNnm^P<^NF2P_yiuQF$7_kZ zYa^#HqkEtO%~3e0<3as1h0J0L$p8te4Bo=m)gkr!Xq z9gmR>^kO!YpZZ{Xr7%rR36j=WboOD%So|jj!fWd3rCU$5e2|n|| zqxkX4UQy%1f^C>lsoE#T(5S*bzG$k9hNc%zEgklDg3)Ujlpjq(u^lPmMMkGZSVakA zUM9B0#ifvn{;6J`wrdqF^dCwOT0<1js9sB+tj6X%3fOeLoECH-_0)~rQb~N3LbJ04gOE}#0sflDq_0dPBdTy9c=8{p?4GibnqyjWS|B7^>0nX zjJO{}6Mt&(n%P!}ws#gH(?$#Na=E*2cI+>62+eGq+wZj6@8FBk4QQ2b=kZ6V9by+c z!9h>9j3ywwY^(|G`Vpj@EQ-*FS(XTAyTo~c5GNy13bz0dF+a&wmQu*Qz9cFgfhO-A zx9u51#YTQBjiLDWP$;hXqDnea)N|0+gjQ}smT@~m1i#yWsu=mx77cs0`!1&AH7(5 z3GR~FRN63(1rodUE;~>8d$cy;_Deb!m=)OnaEib9o2*^|e-La=EZZGVFB_lN<2pmJ zMw1vU=M)-DOSDZ6*Mo6XY2TEk?I06{qgXW0I@@O^@iEaex`;_TACncNq7LEgl=(a3 z%!ZmXKO{QoQ4zc-vV6@~M4E++b~i`N_&GvOjl0Zg1n%bk)qGOD7CL(lEjH0z21!1t zdASok$=FARXc+M0>kz>{?xx7{tVT0CJ0{$u5;ww6Q(aRQ1H7T&B8N!!w4G9u$wopQ znup1}a-hS}+3Xff>R!t)*IgyBEG16cbX21=^zi^AT){?n2iqp*Z=IVExr@ z@g>jh6t9JaVa4^0AbK3ZUb=k0Q^w60j7cir*|9?iyd;qnL1jSuQZ`bgxGWl50=*N= zFJ(Z10`%9L=~O208W}ku6nP;sI_dh{AEDF{q+sPQaw8+u+byV`q!Fw`l;;J#rj&4N zpP~KW@aVtJ1fe1W=*4yTzDYteWA_}O&rvDzM&-ju&n5^u}XR7^%>kD!a`_xfeV*T)1%aRFOy=`1U5O5NXd z;jpVf!lzPTUcOLfjDG37t|7kLypT9cnIcT6c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Fu_00000002`700000 z001BY000000065B00000004La?3;gVRn;B9Px;Y-TC5<20nUf4*fIu1ZRyMcZx;EX zSPINP99r#4VFlW<*E-gS(iA5q5<{@4%TQ4nTP8~&22kQsMj$Rj1{$IS<|NMXhhs|% zjzWQVc0Tug&*eO!kJk>FyxyCf`}v-8zUO<-ukShcp4V!v^$2zTikf&&6D6DzxrfNT z411e^j)6)O8FJaO#3@S}Wl4uzRtFg!Y<@%1N#nWJK6%KH%ci?^NO#h$9rUkr())-^ zdWMtU*Gcc^$k#ivTh`UT#?iUfk#BV5fsUNz$b%d?(~)m*v2cd4L^wt`Q#eaFTlhoa zIN?~~9m3m$^gr#PUm;@&89T^W!J)!o!kdKO65cEf35N@B5i;+w^KD_aaHQ~7VU93Y z_)Q_>LV3m+4i++Y#2HV>*h9u0GDqMLA#;HE1Hw7N2Zav_D}@V$j5}is8C%HMLdFy_ zrjRj(j45PHA!EwC!4AX@#13SRK&H za|~O|F>HlQz%Q8Vkhwj>1bhK9=kXKb(8ihbV5AwQvLQBC>6(6s8s zkJg1sDywUmZ;2l6)!*oN6O|2@z{OzOIGxDGYvV?YB```G&5C0)y^JTkJGUc`n+e^B zL>fzzL4&cLjhTcHDzFI8$V<(HXggW0o|;ah)<8H`n>uStY&IGH{nmcGqQ5=!}cG zF4SyZ8F%bT*2R8%S?c=#F_O2mHoSlN%4VMaY`^8<+fJO;;b>#?;UD!0XaBm*OYcg} ze)GGH6L*CQ)I;}=QiY!!Z_YlP8=k%X6Ft6YoEqLfP<{Vcn?8B%Ftz6GoqE%VpQtw; zXwe@I-=bgGSFBfz%1-+#XO*^N2JxJUHm1~n%o$+G$u#v+QB*G<@`cXY8+&H^H8oz_DSPYsbT#_n z;^@W+XZ6!Z`(VPq@;GO9uB;d$va z^@^7wYSr3jF{MwFIMz zUv|>7ZQEfph~HQBh4&27PMSS1ZFHLYXNK0L$F#0Hbj~aHT6?*_2X6bvDShvRNJ{O2 zwm+_RcJ4hqHjl3MHg~!H!NL(N99^C~DOS*ViLf65UJJwKe!&NP+;)><9g$3P)-->3 zdop^dsm)lq6gXN(0~4Gh2j|Eg&5dN! z14m1urvgU{94(1yl29dZw1nLg%lx3SDeqEhP2M?a$65uOqB`fh$(@oNI(k~)HFKx# zf4Hb)gHBGSKD_oM_yyk1bmc zc>R%c*ZD?o82;A|ZQnBSu%9<%XzVn5-r(4y4?Ys(Te%{bXykeG4>qIbrPQf#;ApXN zPIwO-EpW7i6C{buz|oTEq`=VvM@wRwBvc6;En)Y>G6P2o94(1yl29cnM{|C3OK2=r zGlL)CgCF2ib#8aIUCvryf2QzEgw6eeAK)G3&ORq4^n-;XSU6H*B436^IBP+$a9pZC zS8gqe{i8|(#f5`ENRVs(r)Avd`|5jdzN8(DtY|Ox-UOE}y*|$x&Ajst-LiX+jmGiG zimn}pY~2{iCb0s9PGCJWndn4w|S5>*jVr@zs!Kmt9_X~ z_pJSDpGBQj63JSL5w}BK$At?Yl)HOaJ$TJKWwqPSc3V;?f lFmN$|+_^pavoT0S2#7(Jd-{dA2KhPqAk>0n0RR91|NqQQK$8Ff literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cmp.kpt b/YL_adder/db/YL_adder.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..54c604ec5af8fa126d7845bb670181bcd4a9ea79 GIT binary patch literal 221 zcmV<303!da4*>uG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU0v%F1v;94$+R-o55B>rc&U0R2)Ws zp1#EamP^bY9~LIyJw}janx_(r(R^tXWr2K_$s#LqSz<-JGcDm&wH+i@&-kDXp0o)Q zDe456ceHQZU~KS>r+~0`(|Jr=VzMm>d>Y~@ah3RqK=zloE(xrxk4^VlwgdO1Z`7O! Xb?A<+|KNqKI+=XOFV)E}V^)$<+7o7J literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cmp.logdb b/YL_adder/db/YL_adder.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_adder/db/YL_adder.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_adder/db/YL_adder.cmp.rdb b/YL_adder/db/YL_adder.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..1b1e29202822155afcb406f5f599cbe7ed569e23 GIT binary patch literal 21331 zcmeENV|Ql3vW{&#d1KqQZ95ZZVjFL4+nCsPCQc@{ZENB?_nbd*Kit)8?dq;ZtzEmT zx}WOZs30I9HSnPSo-Y5A=s&7y;p}4l(}9GAjggs=g+$cN+RmJWm5r5znUjfym5G&^ zg^fhb!r9&0%z{MO#LSk2g+xu3M9adIM8e$K^{^88GwCGR8bI;t8qw0;HoyJmKtRU5|iSm+(qmp~T4jSJPKmGghN2iif{s00LNIIK#4Red! z1erBkRfpelP7Min_3~XSZ^ty+cG@Sod5hZraa^0qM*s zDyZa2w0x%b9HXD?%3%! z3rO^J0)cdFC9`Hvw=F{Ll=G^M_&d!{EG>mB%5AL=exzKO2&^yQwDo-CNG$Sq%1O(v zxrJUvTkO0;laemXm#7R(4)%PrXX;UL-eb)m_L0bVVxsd80TAo&pCU7TI7q>U0TREG zTUQF;e6}f^U>5!sTA8l0BR)E>F7U71Lm~EU8F^K#eaCynT(*U*RC0EASU);kwI}o$ zm1IM+%cp$-N3g&8z_}KSjOOjh@q`x_d%i$I_N>i3kDi{A6NQk!a3wyNY(7uF6J4V> zJhH)XIQm2t*o#N-1MSgpp(?K!$%YedTJ z?(Z-1gl%BIj$RY zx3Fr+#rs}1+oV*6w2I@F*a#3sbq~w(Bm^Dzr~rVP*TdL_9XG%L)o)_ zEyCa0Bb$EuNTt59d`i|r3!6tqQhr%3bSrBSCRuFbm~B?88VdB14%( zM0#Kw@PG3|_?lFpp<=DbWBjfAacj1!E$38?0VD|4|6rm-F8M1{ykgbX!}%&G8jpDX zjeW1PAfQ%2kkrum~T2A8dbVN zeeG&K4-p}{-G_M-SNgTrJ@%8O>&{Sp1G)`uZh4h+_ol<7%rGROq1d|hIpgd=@G4Tm zE_o*olIiMXYDE&EuBGllZGfkGMu(~26J+_P_A4Pb>OP&yHhmWypK{I#szIUZ9P1+C zJ)YYcG217rd=sBFqI|cexjoOa!nbB*2r{kMv1AOlh$is7>4a?tz};CwppN z62lf^8O3_4Fl<~(6_^>zvLt+J;uw7D(L>qZ2Jp}lESj=A7j!EL?VJGX=KY@wHIG;o z=vmZBS>z)WGrhm~->_L7x{aBw3nRW@A<;1tISP+p(lq*`P0GpW*v|}Jo3g(!r<{Z1 zf=wQ?&XQ8cS=$i%vbVRw=Iq`*?)cy#nH`#U= z(FY@UbOg(2SjtjPuOUQKOHc2^t7hKKz3PzOSN!X}H}9Uk&AIEIp@){Q&Y7+1G%Hh` z8XIn4))dZxcJ9+i^^bl0ilIw}^b73}jArNZx;$w1z~ zAh01zm8Q;VCDaixIi z{!ry5XG8qN`izsX$<^AU*RsTa1?PDJSuVlQEZ$p$XvTkeh=i4|ImPGJ8WnZm%35dC zN}8hl`B(=;b z*SvdcF>*TLDTWs7_;e`Ag`XD@vI_yAy_K$(6#vG(*sK0>bc$<>$5Psk6sYt#liY{z z?5|*U%2W~KYDsVlR&c}mdXReL3!8wqK#58evy19(pf@owAxS$#Lj6aLodGXNu0T;r zvaB4^YDNV4KWsb_+S)jtKKH*9LUD$K>1F6it^dV;QIz z+D!*Aop5`0qad}q;q~`0n4h@_tBI<%Bu~&w{g~@l(XmD!B@Let-Of7~V!Wh3T226CFeOa;3c&7nH7suOLH9UN+Q-k#k-zvYZdfM>Iw zIb!4^ArE3I(S~x`JufQEI_uSb<11wT*v@MkGtOkZ@(?LyKVP+kr`3@y`DCm}ktBS# z6QPicY~F!Pov$#wUGLw-KUcSa;IK z&(U61Cq93%?AGv!snPd56}|j!Pzu&`Vkz`qaggu-Iwq30wcz+%z3x%lQ@$p z*>JN`)^Zow0rKT~&gXM_6uf^wD;?v`VTOv+_X@doY1gfQcPJbFO;X_D&}=%9GY+R& z?qhv!Gqz5r_2Y+GK}YVxuiYd;k2Pdq4<)87m+>jx+n7MZv%OY)P#URS#Bt#)1Qb{$ z-c`XtW}zwFVGt?;^jlY^`u6G@^gzK}ug@0yA3U7?^ojC;O=3fOTXhmdGiBsb-< zhsOKKOG+C9khnHG%A@As&x`)WLK#r^;-ErEl~^zbM7&mgN(03OO;fjduUadiHBIu# z4Y0Spk~{}S_mZ*S=vk41bbZb3uQVk=2eCUv#vJYU+1|YVvZ|Xm`oFSXAJ=h$YS*so zB0;~T2EY40J|2Xq>_O$~k9QC@eoSaSKvB1UyI_3w6w7|Dk~jviOdRC=7(Gy$MqePQ znA|BVAn8}D6H}x26n*w{c8MtkCsKAG8!CfUR>cEWpc*aIdTWsfT9ICI33SF9Ab&-n zrH5SG$A|U{3(y7>z$xWnq($3?xoOxS!;m%maFJB|&wBk$`qAZhZG4Xt@G&je5wuIG z8bV_ALR{5)Trz1=9=YlFgd&(H3_s-W$m59^j#9+U6P%HhhNPs({7#4x+a42c0*H5u zlRR1W|Gq(kP*3mK1?DMNXGRz$DL7*WdJ)gRfMx#!b0%NZ#%ml?nQ zbRa)05HBX>%+r+l7p`or2yTt~J79&6RKd7-Ah90X`_^Dj`}p zPMIgQjBV%6YAw&T0pJ_@9iKevXZx0b5)aHrU)GcR${z?TtnGyA^K#9 z&E56TxKddZPiJ}#UjNX)WVu7K~?6Z3ih-bd(^TG2`98Z7H_FcnZBfZ z&qjGSns^HWS-thyfV23jhZ0>5w=cewFkAk^{8e&B9~fvJDiO&n9ROK;uYNAT{9T%z z>i0u9`5NUOtPFSWxCzs==+VvhZ)H|cXK9NNLU8v!!tUiP|FM`m#Heb-?B=wEK7!Vz zMqcu!iv;}@Tl~uU&%O2IaQuzRc1?XYi~hXt?F73;6C*-`ikjFXgYy?QT}4utX>yT? z!MBNZS&=IwzedNX3yGH27G3IEoA*xlBDl)uMDIO=iYOL1g%P9De6^d@_@YIX1E7M3 z)qVQX15|_l4EcxK+b`&?qt=%R+Xqr@8=z4E!h0-!pPwz+U8OsAsI5a+JM$5$N#4hyj$4iB}DtvAVPlSbM89`GG{K7Ngv%Ty6R zEg~b)owPXr(q%adi1UZ5oDAV$@IdoUIIVyDe7I+M>X({{pC|)O9YPQPVYv`i4tU)g zm|MO7{g)70VzZ$l5?Hk;ntf)jVdF10ym{F&p;>FA1h z@#1$dRe7rJ(c{#kL5s8I-XHM%JaxIF36rA=e?#7NJ|PN4UT$xjiKNsq#}d)m6%A>@ zo^z2Jf5QN;!JhE#Izk~KhKC+{X&_nHAfcDD_lHWUKC;*QZpw9>k`bQWtm0t}3H-DE zyMuf+y`w&kSunS|G!g<=R7;~RSEpa&xpa?LS^G{&KH8OsjlNgZ2YWs07;>SNnffGo z|19?%UVa4|Ck}GUmNikYl8~ftx>DYS>Jpjinss17!?TPl7bIBCe^4T-} zDKc+iE(|AkEo>RkrYIu92@XKq*tlIUj_O*~*|n7|WRg0g;BAcYqad0ULZTHX_R_?r<`KqhaB`$@;>Ju~Ai z@HMtXF{{?NA1kx!J8&>VC1dfI3woygL?nzIG!bJxygWtIG_UeSCyHxXOqU{CD9eK& zCjV85sJUF0R181Tuu6Vq0esTF^v}7)Ho4n?Y#-fG+}V4$GpqSxE=iaycCr*cJ6~B_ zzGmjdva?=|W$B@m0YeP>erpqJPM~Sq1FOypQ5uaRUWlz4^Bd?Jksi5@Nd`1dNoNGF z{TsVV{e_e)gTXA`Xac1`t$4&)Wq=4igBL5(#?YS|Ek@n+GG>?sy?7b0eei;Hd+2GU z#XKc=!r1I3-G}udUUjuI8-)>}viyG$2I*}h!sohA9=v99P zg0ilCw6sg{L!jKkS+cuuPof_ZwQR1^A<`&jxcEUiWOo>SXE$YnE@YG{2`jcQrS>3FeTFHG0<9jC!nn z*X@zthnEs736L^$Ht_i_ng;$}=bDUV6;aol`f~wakqSHDhs0@Pf_aVzuhdx$m`$HZ zi+dMpx8Q2<5~>G2tg6kFD*69`SP{fO6+FahSWW(8g5vAIz%v{M@@&FK?Z0(`b;-UX zHo$=ko8RJSZ{A*+-I~1P74WDTH3JN)byVd*BokX~o5<*|KOWHs$#rVHodlHFgPT0_ z?SkuWVndpis9wGDsQNn4KFI5&sU^I!hpvcO%lbQ>vq-h#h2De+*jXdze=Dm@gN-z( zEwr^`E{vZtux`naR$(ic%@ZeIHRsH2kGhri_;4tN&8I`@+Q4|8$hUkzwGos{6Gb;Oo6Ro=}d)MkSm!OF28)lh@ zaw)~SWmmj|N`DSOyt9dNC4beFr~dGZS!NWU7tqbDuX==Hv%~x?`1%+b8HgbzZgR2! z=3^c7_jhe6L!s;if>Xn7@+I>49RLsIj~<7t8A{|hoz2^nE)_-@JP=TW&#(I~ykkQn z(QD;H*KTF1%$gvbqfC?a!h72A{VLE&Cio?P4+L*I?iUV5YefXop0}H!!10xYSMR73 zhehi226Z&m^895>hO5Y{kWzvGg*@gXMPHkpK_g#rpp6$5R~0r-7AdB$h0VD*pYzt_ z2AmT9p$;Xlr|%VP()=Z&hhi1U5Uo=fCN)?{IFJCBUZV-_VbIS|Ar+RhE6GAW&{EwG zRU@glie=TdRD4xEE2#s31He|h7tHCVZBJ1hVwPxA9E$*Fk^LU}q`59f4A>;ZG;UF) zE(Npw6}FAeBSe}{h(b7DrSO_kG6g{w~vo}`Q z$=lKIiCfWnFAmKI!JJ*^Db74QnQH;UGu5~}03q7u$>ds)Q?exdN_HIOux5+2=fP#c zyRHUaK)|x*@|U7eAgqW3V5x6Fm!F5Jc=A-*$CqYPX>WS;v1EQOJHpzBlV{0lMW+3^ z_{AdLpjoBH1UZ_!VSTp#0O_+(4j7Pr-NATR7(2wSR}gy&?vkZfzS8O%!5EBB240Kh zcKTFrW67m2ah~v0$b?jDrCN%9p}=rH%t3f82`YRY6`_vNF^~h3?J_Pl8m+mC;`Gm6 zv>KE~Nml+S2!zP>NZwhC#BxF7movXgP9keRx zMY&pD5C%6#o+Y*FJ@5nOFUJr)lk{R!Y1UuNrA6jkO*~cy8r(y&5bxYS7L}gms}(uj zc>n4Stv?EOTj0rXbCcMn5;6>p`HAN35hcE9o+Ts+{HQmc_cQ;o!Sbx&14V?;Aj55) zIiFXkB?Y&Lze#s=&>Mtz7CAOeOHlwzlFtXYfu0^@lySW+*x!?(xI<}SJdp+@X8)99 zhaPqCm)Uq=IM~k#w0k9Ql?qq4?w_Sb#^|UT52;py(}Cssm3m8m_Gt+ga;Z#-ULsf$ z;+~aE(8X~S7YV$HtZACZB+M$v6|3V9+goZcSW%vRC)jR>3&}3=jBh#!xVEr;k#xp9$+!ZNKx`~X-@j8E27jhic%~|1{FKcmh?+eMm zQK!@4Z!-iGN<8R7y>ww>_WhQvv2kqN)*~(rw=;O>s{1ODvX7Wwr!=t!*go#W&~D=q zE^&qCy7$I2fbcBnJU0kt2W>d)R&o6OS6jkV#8q}tz3O-CLiaVKYh%yM3H7Ojgh8Fc zv(ZTu+B_ye{SYcCOd?`#l=l9aOn+!Qi2=C6ClY1s@7 zUpr@}{4|xn(sv1axz1s!crp2CJyzrFr4kpbD2Q4D^rfU#JVq*&SA;^>DYiMVsVbCB z`(jiu>A%peu4JimK7mBuSGjquz~MA?rNT&oB>M`MKL5#~W&f!27bup8_S4Z>Ze3NEzC_3M_h zAs&<$kep<_X~|ntyVi`4Sc2r`PD{uA_3yy-K$AdH2^2f_m<7c^k!oykE2^6isM~|$ zGLPZI5an`JzyPsYBGiZLbo8SfBs?pqfna9Z+7_gaIW>)l37R#ShwhsOASa?S;0m09 z7MN^idcS?<6TW~*!Xti){H#DG-^grvvF08aw!*bk^hXQ@FWzn8!XBc#BJP*X;lc59 zEE>HKM}&gn4^j>X>3p=ptJETLt5c{~1e~0K31ieK9P2_5Yz<8Y>>}>`>r*Yhfvk%_ZRhKE)d24m9Nb1Weg-54bS~%Vc>Ac%y zS!9mfP7PSBEx^>BlA~ib`HQGZv0CSz-Y4By^THo1GiS$yP80ZGyDk;w!qFpQ6N{2B znvX4z%VSr{=)EP=*|{xnHl0j!Q}=x3EOE1;HNiF8rWw1oB(o_c)tdI_oD2jYneu1L zx{eI8suXMGee#$Ici?$<2r8V1I%6&5%yIma)O5STi3e>HAw$17Qy6^geejqD858mC zboV~ouYpOVT`cB)tO#A90;NauXJ?bvgdwZRXvf7a0zRqME~dvbJo%dO9&$_8Y&N|t zidzc@*fyBsWqSY@dL(yiV+U?Y_m;?(k%}D<1S8(W|%zt6;^tR}v4b(qcN% zoG}IM&$BxKi{FZ(fP$b-DMFWiDXudOtvXkW`;`&WAONe5Q(-9RoWjmTldN>LB~r2p z`|*9R7H=KKdOb;#T0agi^BJ5v?@2)csa`MkhkA*ijQ{8cdZFhb)I_`agFJh`Gr%Mun%Ec>Nx3(SG1DJMT7giCAI32h&VHt0X zrPbo~G0f`*aGM2Sa{^f<8twcMMdll`R^_R(hK?{aoJAHEKPMd%;VkxKDcs&%zgGB= z8JV8W&8R_L5qe|^ZWw$lpv6LeB;s>}P0>Qal1&KztjyI+6CRq)7&s@WMp(C$(d_o? z!4)W$8%#GzT-Ia|+h2F?#T8Z+|FQ0@3@@l=w@7<{S z3nrD63uVN8cI`;PR*0M$xmvT};uLMbE`6sU!#HDSHW;xL?xKy{3dS3$PM|yn*2UWk zIY^JJk5J*yo8k|t<_nsh6`ZaDWQ8;I)IaF7NlJAI-K?$Y+CzaL6qH3^cX{TMegw9{ zQZ-MfTizv)>$Y`#vwC7dUkVeS%&OO*5TwlOd3j}?^$G)jxJkKYD@g6L&9UHj0{^d$pw0=VyYH8IfJ5r(h?dZz|#=|N~ z%C*XL?ybQHTB7l4aENEiHOnU(gU9eRY9%zGm`je0~x;%w6Glo_G~k zXOTgLV(ED?f@L3}(VQ;TU2+ip81XzysurmTvA~!-?xl>HRv-D2I!B|Gex+jno3@dmJ#%*FQkv}#%Cr+HXv~uIHtM>Kg!o_{zf)si zqIw2XVgWAqXABFG!$qi34D(Z3_BzMds(+@bPS4PgyoW1bXU;|@yrqv*08+iIgIOUo z{N@A9R+^Yo8Yyo>fUw3TM}_%JSRChNa!(9BfY>T8yXf?1bJeCEMt$R5@0<`N!`roA z((TB~T2egavw>`%)L8sn=kS9XN=!(yi~xgz3iTts0b43^YXhnD36X#%qyYC9GbSZA z%}<$Nz=)YpXMk|PaS3{;rJejzL6Sg2kT;JlwQWe;76REii~>t<+=w*h7|cdKW1HGs z7|H%L{YCi)`O4y%vVk8y|3B9<=`=H6_i#nKFyezbP$A-)G$A(CB)UD~G* z&xzP+fUIBTZRX6}C>kzchUJ>t9)2Kv*TvpvH04dD^SF2vF)S6Us#mwd)~Y4tOI3~K zN7hNfzbUf(O(^A%FzTcpP~<;e2`-C4d4E2wo#W~`8Lzd}sTIE>Z_Ph(yww@0yS|VL z6J2me5a=vcmPY8NJ$B*McY)O147|;yzG4inJ{FXk6iA~{-vs-upwhNtM3jhHVr#ax zo`y44Gnq0V)p6OMb-(|Fod11rxoy)Ug^j^XwdXwsdh$hN+$%GF{FhawE&)vFM z(JCVtNKdEbkNwq%Iy$FZg;s_?hRkV-g@C?7iXw(s+!qwN*;un3;71Rc(cqcmL@9~L zhDFJGhUk*^B<6L@aR`-W*}_)42MdDXeGS8eE8fdx#DiS{}XNvy(A21-#UT3zCN@MC8d&^*dC_`32(%*%4vZ z#O5^78HRxAHlqnN6Lm#hj`8`?wCnkn5f^U~L#-p{dCY@UjipWRF*pb4mQ#~I)}%Qjj#5EiUR zi63*%4gYc|!yfPF*DOp1!5#3mY=Js1KD!t0i?&HdD|g+l%_shg6b3yq8z39sCT)%? z0*#yml~I3-&8VtE@XwgO^}|3)>ub`}cE+gQ9<7w9QVuawKY}KioD_`u#X&5O?z2HN zdO>7BFIriM2G}8HQRN3=V9S_9P*`9G{lW9^e9&Q%<|I+V%H}MVjvR`I zvMUPg`jpWB7D(+p6h0B>%J?uUQjl+-$`u!j>V}?tM=O5Ay z%Vf-IR@MaCafU~Z>W>gmlpq>pKxA4`3ka4DXEW#?gX+pyRzB*;poeGz%bOP;YNNyA zgDAP0cdAA^<|cUk3?p`89g;)|XQR6AEEbOW?hzAAyV^OFICSD~i%!v^nIpa}!w?^E zieo}hT=4lz#oF7ik{sDpqexAv0R!* z_%UkG$ErlNsfJo^EF?lgY4x~DqgHh`$QGm$`cyV>u;Di8ZWlc!^4@8z2p{qtau+kR z%eaJ9yACk+NJrzD&77rXa+y4aD|~osZ+^q16e~V%ZLIT-g!NWoh>u2K#U|6BL!W)T zGqPT{%a9#77&!jA4HG=&5lFIrgP zX+}X?Wvul&XRISzWn8kev~SlcPHwDzlA;33p;XW@iG=-8uHQL)zRy4nnzHIM`puZy z=6yQcP&;GZ&OmG490EMNta8Wwu4^@Vr?wk7(*BHRf>d!&(_Tuq)m8*c` z%dDt->@;Hx{@}s-O&U`Q6K`P5ok%JhL18;t$ZVHV0x$B1$JVx7CZA6NBL4Cm%7(~` z@@N@@-x+z{i@?pfJYqM|V1TSNco#$@$;l>0;-mdXkBsj%IjX#>yL=;GF^N|?HEBi( zea2xa0heeVC4H%ILbu$I_%fg*oTKlOAkBQ%ZdG&OELL1juQoxuZv?e} z&z2a~H%ZT7l}`cn&s1?Dd9j5fzu{G61;cKq3a zG9wH{J#yrr$;LAw8=%2Upm#E~o|V?ch&`&j_wM{XG!M;<#Icx7{C3;@wKIs9+t?@c zBn?)51Gt~FkX=LmFnF0dcz%h&tL2?>#Tj8me1L?!Y`zf(=gnDYp7QA_vps=={*^~wOKwl6FJ#XI@jOo5iP9~ay>4IB)Pd3GC9P%q7yYuiX{A?9jNSU98C zfrTUn+Qr<~%_$!x<~cpe=*s_+FaTUj@OU_6+x9fy$X%x3t11qtwg5a zAFl-`rdf9-mF(P>f>uWj@u6K2YvbG40x3ggPe**5O%a#C0n@>L6t(>vzA(r*V8ckp zu`nW*0o2HcX9$B#KeZq#QSApWq(*u*`ta`V#KRS4h!~FMFyS7|pKKz2c@6=02!RYW zZCBrHE2;EkDVf4?H2Dcde9pt&EJTDd#7+u0GC2_&M@K)r(bn7E<5;nX`Tgfrhw(fj z(KM{KlT{|s46L>c?qDI~)>Suu=1MOC=K`g{jQ8IPwQIm zT2KSmC|Gz3E?3n@hu>a!3j$@i2H$_s^R_gr!Z(2lp*+YPq%YYAumeVg65|D|4b&V= zm}bBl@K6XH+@;R@b_rxWW)o4oTR(Sp>giM{dV7{bk5JS}Gk$2jbyrB2EFb{FaGSZ^ z22pG*uG^%Z2rPz5RPqo}tfKJN4+MwQ>tOe-p9a$Fi|HqUXbVP(53>*fPJHuFaJg3& ziDvQP1`9%HSTQIo_T7MRy90RWJ2-|3)*q2L78H|p?B!useh(6Q6%L8z;n07%!FHzV znI_v%H=~4;GM91~t%|M@#u>ODn3R=UI;qK#6Z})TC3p5wXWG}HNnjpw5$WAQ+PGCT zjl*PekJ=9V6b`K?W=!}a5qcK|pvYCT#>elM2tRz1W;nLOX2miU@h(f|G+^;=BVeuj zUvTGz*RMRWf<`_CuLUfEat_bp=5q=phhptX_X|)S7 zaMVomB6~}7{DW!7QpgNK9t?;GBFC(K<|L?Hxj*l(aG}r|HZp&Ll3OD7*{+FtD5$t9 zTnm%BcR7X~B9WW6{d+gl3p6RkMOp%x7kDJz zsmoSu_rfWf zeu4<^<>*1Qf2X%9NPHI6ZVtu~Dv4|eg)+-mAfR%G2x6y+ZeE)lmN@)>-O8(BA@l#$ zi7j7E`k&0wh=yPs=}P%83QLL0KkTCysy>VW=pXj~=#z&RLMMZ8Npvue9n;9w$9PPL zPu=gwIhISAnD?-muhc$UIomzV4p@!jhoh7Z+Ygj^9iTKe|NF^^2iY6OL^mZ)r!0Jx zMy+hS2>w}0(MM%a3*5Rk@#luIYrWs^i_k~=a@(D#j6I?}gQ_p~#@N@prsj?4=jL&O zzhk--f5cq@v($7hxE41f_HN37Qr=cf4}L$Mopt1QAjIlpGKWov<37~h>}ao+%25pB z(wL`49WGnzvVGK-y6IHxYz;hDNaoE(z)Aj9LI!>62Y;01@6M;V=-Ld4 zbMh-|HwIif&)MINHF#XZR62TFNpGBX#`RN9^^gqCCUW2*6jB&a@R=yUdXwwV=ER_Z zAz_aRPC0m#P}^Rk<9b3sczDpjk~Pk~ng)D2&;zXG_S_{J2+*=tbRcJs_nr;QF?(|yibhP8)@tlC6p7!$b0dA{gcoX_`O|}9$2fJ9Kk_`!&mJWeUnm8 zNu5)$cpc;nI;D{~Al)V?V+%Y>oGK$X=}6Zu9A!T}8v8h!V+|PO2;NO<#N8jR-J~27 z7{A6|N^viLW|As8rvrmo(#5NVTdRB9y`mmd9ViRDz$&bowFJnD3xoLE?7nt5m-Kbi@`;th8{-876G9_y@01 zYT!o`FewxPPiWe;*mTF{;_prviQBmLlB(A2hCpxBpIhfCI}a3L{9urddyD7+WffH>4ccbQvejklSX5M+ z^%t}6jf%W22+gsVAc*Q>=%PAql5j=jQ!q}v{t>-hLP@hheDJQBCDgm9N_AbNjF~@k zztQ_L`AX+L>;o0lk8SRfPES%-&3|-cHEzR;r#}1)+oA0`o#6-8V{|>&T1fXl40&XQ z7;Z%PZ`v5f7W?o*yH1Tj<>KteAO!=hErke@=mL)D9jC}f{^L}L>xXPnh6 z4b*$|HLDQ1ZNn`H-eL!r1r!!|IF12v5@AP#DktyWIV`0d0l zP{53F5hXOOD}Yv;QCxxbBSwN^zvlNuHjKOvqKIeebv{g_7}%0va%;O|*AXnhG#Z}u z(9+9VR@~0%2a*Z&iLs|O&L(Vv)`hL<8l{j;!MWu!&Zxp&9-;a0|Yu9YiTDH_20~;Z}qzS;~r7(hsLTIs_zl5KOB zbsKzPbffE>P}6c})qGe%X`|zxB9)o_pF!ijrtGI=)~WFJ>BWSPs4I}%&LMtzDB9G# zSzXGSTeZJt&OuE&>}h7ongX2=-3#Tj^BQ@xtb3|+4Cny68M}qH!8dG93a4?FPB+T! z%4IbtfNL9Ms=Y|HQ7$pUtg4Td&FxYxwQ`16R40b=~WwH&fD5-A%dF#Tq;F%qJ%s5n5Uo{l zI&tMld0aY}f2mEW^thUYStsRhYd5e38=uaR8X}ybQ5%wV5|0oEor?=YGnS6H&Hj$9 zPeMT;&u-ET+%E?1W)p}AJNeUJTD|IGONKPu4Jmer3b}R!cJWyGLla!#ii1qd~r);7U(r*HJ^2cp)wd<4Aq1Oe(V|kGBhP# zk2n}^nF%w$NHVj4iw%M%9CSWnng{oReoU#gLxBl@?o5C}g$QqX6L9ebkpo(EPy%stJ!wudo=>|}bt$2cp0 zUvRAVK#iP`U0Bm_FuvyLqH{g0v4&gXkA>oOMd>6#daR%26(h<5kfTom!Qj=qmZ0V; zz9tzbB1-erQY@kY{zSw2H0qTX-n3zMn1yWlPw30~C?(KpyJTqYl)>i^$K(|_j>Gt_ zlhf@uoC2ZyyP~>45UUx_2kNjk*+YTQglrNU5%zrc@$j$J_byFg@#J`FNdf`Ubw>;# zqE>0plraQ=S;)Jucl2irv`$I*sK%aXWALGNCfHtOb3zyfYV-G=VaLP*xz-O=>9ni= zRk@=Z60cZW)+qJAOSZ{+xh)HlV$v!^?hxh<?%{14B;g($a{+5t7l`3p6POX% z;+s*#Ijf=L-GuCAeCl9g1A}*HQb!0UrsLlcIGzU|%0rDJpm=g9CFqMUe>mioH5UHB zo``&6O-j7}LeArMEUFWQpW4)n`|W71HxNJu%v71Sf2&) zK@S3#MLt}->{rhO7E)Jx#MmFzr?CZ&{ z1C&kFVxswf^>5Vym?iGZ!0?9@OF9ycQ&|(k8L_i5L?_O|iW$@S2=@@NRJ^zZhQUUZ zr=5|U*{SIMp4!(3#~)im5>GF{31*dJ`m!tiW7hm*R%6E886+@C#n|lpoHOf863>m! z-ldn*-;wB%vc_^s3m#SfqdKE3+^(1jR;V%MJ=?-X!=Am%1rn;EpRf~b0n#T<{szF3 zoq36ir#%JA5ISL_&^R+cFxD^EpMmW)Sil*FeTV1j_NWIKE==e5M|fEEHHX6ABe>@s zkTkA|5=qa%LY%q}+Qr=haS(ZQgQ(`Quof2i0n&QfIiY<4Fw3$j{8jIOVL;4@PWA4Y zcNm+e8p81VPp=}uS(J<|)U+M3F?7)>46N4nClx}r0{P>UER?Aam`QAEmq+?*H0ng> zS)y&%#R1wIFQ+$n%$OS_O+>t~jQo>uFz-F`X~|ZBq>hza@@efqsE~5fDv*KsP66;&My$X?{p&KqQorQ)_?{X23q zQe_pj75-pgK+)ewE)p7rn!N4PqCsO3g?hLbXgn>Ndl6M*e}(h=pRdShDX#kSAD_Yf zLxW{P;0TJ0erGhfc+HuX-qNd)M+t^T78D_~6#dQ>sZ#i*yFT;Cr|6!XZ<4!z4NX$_ z-y*SZ^OcVdVZ!_qE;fe>Q+qaK1^#|fviJD@7e_iGQY`^qW{4>6`cLlZ3WVe`j0)rV zIam_j7WZbLzxT@0@PnDlY21h!@xFX;bl)`zBsDYSAF4Ny}E@B z05E~RKNWhRH2m^>gwF4Jh)-e5gvSzm^8sSAWO|Ix$6MI+j2u5FF#Ecl9Q_=$J5ioV zW3s1br9ak6v5be)^k=DZWy|d5RXg~aPU2fM@<9fdsnRs!JkQoCbWQN1gWCw zt}pe~I8yWk<0wH?8M1CfnrUnZpt-aT{i!eU(gMq4_Tl3oB;4Yd64lw`< z@^iOQdVHHO)8#lgDKy!&og^;|Ii#U2zkZc74C&aGZwk%VwtH?(Zp|GVf=lzpA#HBC zOq@54$+A?-FjhO6$Wp_n-0&p!mqI;zAUrTMhe##Ql*+^8dv0xS140-(d4jN(w*eu> z%Kd{FE54!z_`C=qW#|q|vK(gtP_JjKP7vN=;gPfTd&XqY5Cl6gvaAP&rUDZ`NlJiC zvziR*x~1vOZO*#~=52bPaktNf{u49=^}RH=!4CI4@i=4E0B(>6=cA_7x9BNdNhES{ zlP$Wj^RKgrp)DAZVL)5*{>BQASa@q@*x#504xB#CmX0%Pf4jCKD`N}YMtq-YPu%sj zlj!-g=*|+ZP9T{@S|!oLH4og7*jWaL)E)9Qh*3lD+f)c$uGFN^WE|u4=vmy)4O%dU zuvhp#!(L$-3epthyPu-e$Z`moUWu6Bf;;lYks?nqj;%}+WV=V1W=O$JPGUGD$V#P} z%9->@l?z`|md%&abW2z@9Gpc?+;vCIS=7?b-@w#;5jC}iZ!uR&NO8F%lv_?9Ak1HI zwFt|_7mm)FBQykIYHxEG0y|F#%!Zl@^Ov#S(7<3>8HK^T8p7cF%$&(Dt;MZ7MSgjdYZeP3 zWkx)sYEPP}g5uL?_m|PFCd??v&G2SXtVuu|^)XUXc$WpLOYcG;U6zx?m-bAr?xRgH zfHNV&_<_UK85qKklL?HJzF;=cIOH;ZPR17MeQAwy141xno*<&ex#*ExL9kt;DX~2- zN=X03cK($372*kc8JMaK8l6_7*P>gxAf2i~gYSNW?&@Ce#_u!+e$Y{;Uf=Ii`lg4p zOG}9_%s~-rs=KdKEd46|90dbade!jTjf*ztMD4WDyL_gqXXV4=nn^rWR%n*u%BDJ- zF;8J-=MH&hs|UKrH0z{%VDJHM!O`PObX(k1$JaON(MhA%Xt%xg2PUPXCS_j!{;b(C zudUVU)#aipw;TPwVgOO1zE7z(*GB4ptSqFO*Yg_|&=+GRjxEyW51TZp$imKjp?uGx zbVa@~%r8@!g_TWW&u2u@G^FUy7(FSDuCLJlcp-_{QngYlTBVK;$!H2HYUqbVn0j-?-ud6cC<)|s~p;(=HGPN zo*Gxg7~L8hrq#2PO1Vnq(G?v6lPK^zl%pKnbV+q<1K^qO^%}kA^{qMzTAnUY8v)he z%5SQ&*KYSsBu2oV*YcZnD4>vyM zI|!G(+KH;Py&IB591B+{VxN4<#uT~_5rv&kttTAiocJA4&Y9mE3`vwlW2?{W%g3Ge zt*VweEhMx>I`Rk#h%&6&$1W3kVt?3fD?zu$4bu&+sQMQ~PoicR3(%jvte!VoEw4vm zx-fe!7S*FQW=mKU8`0Y}cZ;c}*u^nz5R*xHAe-}{Zqlofx-@KhPf`q(*H-+bC~EwRdb|ayGO$JZ>{3htF{Y5>^ zU86^ulG^H5UhlFUyf$6EF1os)9u?-(>ZxlUX?3(INv*d0-S@7bag5~J7LDFkkGAL2 z=&5Ut@^`c)No|HKb9(6)>5g=ys^4~5e?fnrG+Js9sB+Nr6l;vpX!f;0aB}K{PIov6 z)jq}31y@YH%&#U#UG`PIcxY7M@o+%-%ssSKlGkrsJlf*_VEs=_ZYZ@kFRgg5r4{bA zw6%LGP4vX>{m@R7SibiX_wK#K%DtDkfA1wey`K_cyxCD#y!Rq^@4ra7y7MN(Su}j_ z{!z-qIQN87zOwb6ihO!c4ae{fSfAb>4|V;{d55M*tV`Zhegove(aH1rd1=FdgrTU_ zlDWkk^wHJ_=^F^@%563?1gUtveKB%Ud;2PMVgS<)bG+yP>g*zx)jA#SjW zNG642GOJ2NzldNW$)a5p=a@u1l4S8LiSX10 zs6CMWi5unlT~XzhsnX%G%20q1!71>>8=FiYF$&{Z1Rjx28L$G6OJ^0J zcqoDqJtpEsa6}!6Cn6a4u2chBETLT%1{$;nDVk-n?@a_seco?evG)Zw@Y8m1(P*2; z@J5<@?9wpm>UrN|Y3Ohg9x=6R%Np9@mIcOa0qUb44}V zUZY31bj?sPH$qlCbFXAbc1%mnl|uIHNISm6Cekg&yN_&3^kSj8p)O{w`ul{Cd+K&A zhJ`HsMM4gYEpz=Oe43Q^Z^NfH{nFBRT`&y&+b|sk7->@8q&m=HqZcnqMxtHGF4|GU z#U-Un!uNYFOBix=38R*h+L4s*eU~K+C1LqbA%((ZOTX`eVJIo@+a8C$JnlvXbHuF2 zIIRakdqk)n(n4697Vwu#ZCdkgs7GhCwW=LlePE~oP4(34VCl$H9dFR4rEzr`^wj0B z(?o3~iTnP|kQd$qT8uUsA>fWLFU<{RNp=6mQ~hScZmok1bCW=@M7>94qMmlpxl-5R z8h*DixMmR@DHRit!$w#6v^njHa6H?qs^&FqR%^P|`$&ebQHFg&I`BH`V%Yck%J0y! zfZu8PulyF4*E>Xwq^iEGtD@8mnp%a(D3Y#76%abRJ(^1?ntQ31_sVa2%dhe(OB3ks~S8hzCbdUjtxq?q5NVp!c>wf#Z!T3!0+pKy{ENe%wr3kdu`z3%x$z(LSe zZSR%WR%ajzwm}V&`sD%{{k~SKEpOoI9#z35zz(~Lc3i6KpiOHh=(|^bV0sy3Q-f=d zey~E)v->5G@xotj`8`t*(UUx4N#q1`m1utkVQmhEv?v3w8A{b~iE=2Hxs8(21@7$+ zdPALD$1HtJKOt)luKiwXx!dRsZdGNkL=+%SZw5CvXhXl*^Sg#^PiYHRBI}wmBV>Jg zmkO?kftL;K-MC07{EMRSmfH8*mhWoxp#0$~8AZMR>ac(92dl>&Z?EUS@>ctUVe58v z7_?U_)k?WoD6HBo7^|+b)f)_Hx(^?&yb25gY}UNzvd=FY{Xtm4Sq2rnTIshK{|~Y# z-n1aB1Dr;ijRz#?{3eCzf(dxmXb;(@Mc37X0kL<;`bcHwF>LicrrV?8&4t&)s0h=k zVIQ)gM&{skFChO+pEe1GMj>$ZpV}EM0n6dCd_7!NhbBwDTxozIGkR%rC{`(c^MqD=8<6EmFqfV{FFp zQjbcsxI>dr^<7mctgP8BY2XsaTGi5SpOTuP{9R@Dz<{fu#_4iKA0l~fr=RFQh+KEK zCWOe1q{z*r$gQMEKKA1tq0Ak3YeI;OS?ic3+T(!E843DBHAKklnh{{r$5Rd|G4wPPLj*ii{jDk^Ou^@^PD<+3P)_bDh2!HeV2B`lJ z00960cmY(ByG{Z@6o${rRY8P}C|anMk;FnGA<`HJc0gozfo1W6kTtQeqS8bQD--Q( zw6XF9v@s^e*jQ2c2AWuCW8nkX*m!1k219W&nVIkW=cVOM3A(fySVE!!U@o<=7;rQ>T(!qj}e*i9iUV=yKlK`SsvU6)r{6 zDAKxMl`yQ}d*<%x84pOHFJ6!yEFCQF_){`R>>^(&=?PV{Bm%JYQ0XmsHk7@!2)(Ob9UNd1Bi>TCf(?- zXP9H_{;YvPAto?a%AJqmfdnc=2)Sf~m55G@M3?RJbENz8MUdp{!E2{!(vH2`x+o!rdVn2zifOS(|eHEKz<0OuC8+`#=??$^|NrvG2lfB} literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cmp0.ddb b/YL_adder/db/YL_adder.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..5dac6899ec5f7c3a4f2adf17c003497fa86fd80f GIT binary patch literal 61636 zcmeGDg;QKx^9GFK?(Q1g-Q5BN3+@)&eSiUiJ0uX?Es)?YgS)%CyAQ6H^E>C>x_`p= zRlPM;v+C)my7yYE_Fl86`)N!F2#9iI$d7NgkB{WXsAb{mX5-{Y!NJAK&dNa{>0x94 zje?VllY*U>jf0bolbwT$LfyjE)5hF_Le@=KkVe{E(05^06N^xnKGCs7I%F;P z!*X7<@m08VcL)hxndSrV!lE>`qomvM)Py%P4Od?>X?Fl6&k%-r-!i`rxU%LIEx1a4 z7Ho-2RtLGAo^tWn<+Yo##KovbpC_5m2(aB3L(CV3z+iJ0yy6-j)?0?&HF{i2t+G9DLqPBK3S*2fl(f78TW zbm8UZS038v$PmdKb=v5NkYJY2E7iv3Y*%>p!V{UlJY>wm&Z^I!(zPSYS}dB1lsSZjQAW2pjr4rO zdzFTNZd_Es33JF9<8wKUU$^}{!1Ua%#rC!DDX`Ky1meB;ltn+kvI@U|89i_th&m4g{&mW zRLf6dt7qCeS-$m1{Q8E-%LdrRe0^I3<<~#mZQOsd=+Qh9Utc(}wBm6)*jIQEe3~(o z2i2Ytt1mc6X^ z7wUg68jy09DHh3({te9h1u4aC1VYN9^p>uy9if?UG+pr)ztM@XplNBDq31fU=Gc#B zs`%o`39yXF-R~?4rc0gZ5SRlNudf$+SDB$lssvWnEMMdyTHePH(mwf|2=^-ojUlw( z9r6xk*8Bd(82e2;jFM`S4RHCJcI9h!-FesGhg2qOJ%8S&K1O6`%#N&O`>WL%$tWu3 zurocMYZOk%5?SrEj5)nNM2TaBIoq+p-__~s&~sLFCMF5Jvsqx8-iy*y**i-w($Z>cqJY^%_5)86?j)n&@Mla5&0J^u^BKq9i2 z#qWc#`#10FC>$W+myT5&pn8gYtCX1)WtcqJto*Exu%|E*k9ID#OKA6)uGd8J+dJ)a zsQT?a?~1vQS#*Zd-Wk&ko|%rRsLS$@4*t#nMN-boqmA3{3G|p@!TV=hQ6ARsDf-X@ z@sT(v`*Gq({ZaUaVxjM=pztdG%AG&%r&;3J!uf614 zrd{3w^o&kq;G$Z@)-7!@VO+q*l6}fbOqiS%IBPB(fdfV+ht)P0h2)Bpe9pZIEBgDI zsAz1X-dzYrk8XUes;eZ5?aM%U-C*9)%bc=bZB1CjW?AE&-Rl@jB|3ZQ=}Kzd3@21a zXLI0y3c_hFA;|DlbWJ4IiDBo);mV0~2GT!Q+%b@p*$Pw0#>LV{sJ1hXXul?G#9ghlf7DlY1;{C%hCu@j~EwPW~iFQ`WEaoT;|#Ko90eT=~AVn1oVHANM%+#f9w zi(7-C&}R5}R-&G%i&;BD_7WE!%dZIUsOb&eCLaSzn9g8Uhgwa&dP~*%gJ$`mf z+64Hnz$&8@gw=K*qK>0jEvSVD1^%NbYmdV_!q)z|@=$A<&s0wYI{>E5l~h1NWy^sQ z2ji%HYT6s0##>=Vj|7C{*k9WE7h9~0jcYQ~t2Yl>2$nc!H-2fZPh>Pc*U|E=?(4F^ zt1~fLO^2hxLWIOro>Rm2s%46|$phJIP{)d=^~jXE1&`^QtI=NZ7SO23DWN^o1#94V za;#I`hoDRc6a=45(7W;Q2XLJgPe^qjd$?M<-#)?QMAnaN)X0SB7B|r<=h;JL2m5Y& zijjRWVJN?zE14p>f9&DQcCfN7cUinHnB?9m=KMox`r>b8`98RvxQkdso%a!mKPQx$ z@632?BUOJ=Zv$W%YN5z^_6;NcN7yhd-c<;lvMWv&l5*zvIJ7kw@?C~6(@NJXM;YRE z#@Xp+U&1*B$fx^&Slr@eJ`O5#6OVD^eAn>_Y?V4kYE~@5dk?l8H^FJYa6_`f?f7j|Bh(}kX)aaQyW{>PD0i*oBm`~&!df9rD#|;$v z)%s63b;HK)1`o-h^`nL|<&1=3p~^fI}gIDWJSTdcfle`p5`UBN~h>>9F?hDeq)RK#7Mf|_|;a;)s&c{Zh53{ zO2hWH;f#1WnKHGxsD2q3i?!hnuNHodjcir#BBSbVfZI0F^n%%**PVMMAZiR(D0bPw zrt4;HSwWQDV)vot#ck|JlHXC>aFwoY-Z$DgaRK_u25`{nB}Aq)5d=roE9ok|G~=r1 zF38U=L5y$jN*E#_dzf^FX|*0V###SBNuM%_d$c)$5p|ID3KU=0CPAwJdu>k7IRG}w zm1|@0;_49)ULGH%*ISfSOmE2nMra_;pt+Hb>_NJ7PwDuU6af#FdZ5n9S)}l}A|1Ih z1`r(=M$K>fk|v|K^zNh+`dOLgEF?5_!d>Nha|CwgVW(fGy?7UQ$Sr3KC-HN*e+?Y8 zFYVW-0kGv%H4s>f2^=et7#btv>vf;Y`^^~$Pm{yn8?F2xvB`_bT0yaWy^T~B!s-ft zoWh9?&ZFZ+e!;;Q%-CZ_=n3!B)#xv`40{on_FnTz+ zJF0fYzou7HRqTy=@qvBs95KuQZ4lV`W$vI@?bc9eedEQ^14rlH$#l0gstNl)sKEW>4r~w zzzv_58ul))3}Q-&h-BGBf_y<#S~qGUc;N64eMv zo*AmMw(MXwHHJ|n-Jlsxek>B${ix)I46Rv4&IwHDU$2(BB^)-gYIgP~9ijCIZoJ&# zQw?>Nc5i1ns3C_FC)-dfrXsH`pi3x{Tf_y20!rcuuW7t5RDQf$J5nhmJQ=u37}^e6 zXCi5F%w^`K&sNR#nj5FQHm!1y17^+9Zm_EFBEKCivS5NtSWA_LvrOV+ zbuc+k;weC_dJ_2-Lz%&BFTfhg*xC!z=C=OK1u~}jAP6_dmC5Mqaf!E^QSmlNAxB{# z^#s>GDs!(5u%zbJ!j!DkcdJ!&X=0tSB z$4@}(%W}+g5Uy8vWzHs;4;T`}A%7`^cxo{wa^hIPL?tCIO1PZ)3yj%l^(``)7#}X4 z=7_uSq(J)M9-jbkBtyX%ja2JIEmTG*_VEc(Yd}CKl;EzuJpz&=!hb50tz|%; zX847+*SV_m#M^ZSF1n+5Kp!l~Z?=hNz=Ral45pg(*=vN-deiJcvct^B=v!7|G4c4b z9g)W)B4s__kHThx+WeeSR+fh!Vfs1()iZJ>+5%rO(n|Sjw5( z-X+1G5tgHz#j&OYU-k^KABpv~R4&K)Yfo|7cAQ>m5 zdekk?-@1K}ir|#)2C*V1OU#8^ufdOP9fQ+Y<)aNhLb*}@3Ieo?VXgy+T)eSOuK3i- zGmq(HuDfuAZU#y%>le&gPzH=26;?)|kCTRQuT$FtvP9y@v7oj+(!LA~ArmvJ9b)yL zdy?%?JAN}=_SbQX@JG7D4xITa#HZt4L(dTS^K)FV_X&;q!y=k+Gd2vXG$Tv}m(9bl zWrtm2n2h(vrdve@3#-RgZ1bdD>S6Vz$L5S!It}d^$5qW#e6&i@4$mm0_vsitLVnkCazI6sZ zKc`0FG=ZrNmVPv3<1>1Ey>VeMLCO9V6F8n^s!>W15$lqioQjpHTM`WaMS?OK$<8pU zZ129@ixq$KOGk;D-e6Y}zBgB`dcDd+w%lE$LiNcz4xe-;LEsGW)?BF|A)e)Z=g$Jil`IBewOMVGXXzhIYbEtwg{?AXgJ=5G2$!uKmih zzo|dJNg#l6JIu4&x1Uxj@UumKy>Z zo}d+1;l*H2zWhjOpK&_T~<+zXFH8v-kL2JwyO+W&iP0U8a{Dw8i( z!Oo2*V*)QNvoLBXMamrR7T+a6&}BzK0xxU&S=*0C%oJg`x&DH|a%u^^I!IdF1{g2?!Xw?e>a7wB!gQFWBPr0zL#kR`}Y#lQE^dr z=BxSxYNT@YF_sC`4ID4?qyl77F=O+jCSnqP&LDJ3+$wWp0@A@Nqp&cND=gdwRb)~1|ftiej(QW!mJ(kSc zgmw>CRpj5N+yEAG#);B4BQBi!#%iS%XKnxK&s+uZ>i54r!_*tuA=OA!J$9g#l`M`i z`|PSZ!dcJyebMzlIaU}U343J&G54A>czmGP`1+d#k9)w0=CqemB3WkS*`u)T7_EPA>O-rO^-YcBBq-G+kk@z$++G^XP)uw=gA%jJhyluwJ#> zlKh_(hD*kP))aG+dKt)X;Sh0akn@op!$P8aIPY)#$Pvih=(!l8%I1SzP&t3vjXj5~ z-Je)aQ-<%eImw&tLjfjE{n6drHw4R}^k`LneqWk4c~n2euaYl9IhQ&r6djH8#PIHK=#F&ud4JT|^oSuAech8;k%>tw_;YA&=+74F3 zl)F5 zV$Tn5!{Tu(NEJPzK?PT`O`u~9toQs}Lc!5knH}*y_dyowF>hj$(Tg7yuqQt%w(#jh ze^tOnzgMHYl{J5D@=SC`J(q{k(OlKNU!91L_cRbM@qQVQ%m zT<+cT5-nGM+vayPF8p--b8kyJ0;X)s94+iNPO6z#mreIu7Odb&_*gbgNve9sAVRiR zybmgBAC z$~1eTlh!<*u&0vDB2LLexDtQ+5#baojr0ea(tcAv*QBGvs^QZx2Y9BV}G)t@1cIw;uoT%jYG>5$vSD1#nap{3XMoBe+-=FcuDA=vdDdcod?q~oF%H6}U@KIXJaPcrQ&Ky&9g;16S+ zTh9T%FoP{7(-0N7^y;=YYdhz;WrQcyccovCo04O|3E{T+>*02i^CGPY2!7)!Y02q^ z`6?Go($va`XHZ{Ns~VEDuJ?1|(K4dsS?|)IO!3L22X05%CvJo8N!~}4Qr1BlI z6uSSIt#Ug!#xC>@d-}55UaN_lu38eglde3ifduDpv28yaZ9Q{J zd_`_j-LMUH2C_~$T1(V`X}&GoL{8D5PG946?Qx)lu+bVv4+~k~Mb@T8*@i2w@!X&A zhy>zxk7#|9dQDTS1;3LJ0so9d?tv7q=wK(~02k8ttDP$PX7o~)DA0s#EO+UwM}Ei% zePG7?5z03EqWQyXsTd@l#P84&ehg39<{^BruOdFqS%v0Cv+GcLo>_o9%ONbcl>Y;4 zeetI9hDP#x(ur?b$dTs|wVrMQ7wn&cO`<(EG$67CRbcdHqmM>=38$o>vT5CEV!)0L;NYtob zhpDUq&ep2euxo_x5Lcnkv}6%q>1FlBHJfeiF-~GHezO!Ib>=%_4CTykp5YpF07Avm81d_+TLh3sv#Q-zxwGSv@*1tJjx}gdu9KrU~z3+g2Bs{gtjxVDd0Xh z+mmfWB$BV)whcf~#~{4K(6DFi1$Q1LrV~|r93Mf4Q)NnZD~`~T{SImTJg82Sl4_0w zXC{xOl@SFx5X%}YJ~hkNsVA0MBy;cZdX9WK)*7!T8^z22hN&e)E~a$G`!is5kU>j3 z>N@a8U@h76!Myszwx05u!n13g3-bJ{+!;fwJXf)fjlSx(hWbF}V8_`mK>&g{uDlzu z4-Y=+k6fy>X_N&Xf)-h{ z3nS@3Vh7$AXu5uPz1nQuX`pvUw<^Cf3H7Q**;ne<&-pa$r(>@NqKHZdf9p~ z%|9<92WcOON-jvFPV@W6?`Yb*Sh_3Wq!4kW;*&Qhufn9!m_B0E<43n}Rqo7r2}qrq z*O)fudt#UAdm>)9N;@_x2B9Vj53q%mJ<WDsN~&-6*0&=;O*E_jriI5m8OipKpqO?oYg{@(r8#YU@9% zfT7Fml_*uZ;g;8eMP5VZ+lz&}~YDm_}HM*XNd_r@}JSJ1c}m?}c#>C8y= zl#wjJ6Dm8aZ84IZbV4MsALVzAssq!t1=b;pv7@S#ow21#-=^jwsAY#Z&^3AM;6k!3 zrDINP!kOpE_eQJ{%d35{1w6w9A%GrP5`kzm+xj_9DMQl7{{+Cf?#nozE#es|R{b0A*_d86%IMWNe3^Q=>U{7`flPmhvo* z^<%#Ph1+vZIWRMwA7NN?mC}Zp)AjDebnC2SToT1542!4x zNEZZh>B-RQDj$x~{1rP^VD?#K^T@?lSi@+6(f4@`C9-T<*qj!$(x8bt{#4Q+Ej4b| zy4$QE88JfP3S8k|a)QjSG|V*^+1TJ<8lAG91Y(mRm?)c!b@sXJNQC>!CNRr+)b}JL zIi)VFx(Yk7*`=@p!5|Cg5U;^Krozrgis;L%C|oEQ9!Lo4q&{Khj5D1eL z^1+382-5KX;2Ns(PxbT^f*7O`Xx!R`G>K%ezi=6tj)A#F&@80fqW{>X;fX%k{Fu%E zekkrvAvmd!pq1c*qpDR{Rss%8Myqq5eE7$XL2CV@P~vMe)c;*ZoJn3!{#Z?1_h(K1 zIJ}~-)Gn615g*(n0WLxU;I z7kUfyP~r8t{H-krA0QjQHa(L#0PAe4cz}xO9tuP+OARwij;mv8QcS~7i)~AfW!Y6F{BGHlKDEbJlxFfI&XQ(I>hnBYDEB5c$$1!BsOcS#f|l- zaVjQTF8u8C$i@w*wMerkB-|xF;j#X9sXRcZVv~SZ7^JwPt(7o6KH=#p^mXroFujY{ zrLJzq5Mnd5)y)`v%-3I^!Dp@dThHszq zNxW885DdgP{GvtE4sN)<6V4IylcMt#JL5|vXyX{I-k)$C%EDH76Et^N(Yia;Q?K;lS~ss{?0S9W(he3mK?zc|FO*M@XQ3u5lX^XHm9 zUdxkWAC&=QOM{8G!}afH=Z>K~f7gmRFF4Mz+r1u;vV4I@k{cMYswA){^{z3*K&AKu z5eRDQv(+2$O+v>jm(U+1rJk(%t?5*t^(n?d{6Gc8Z!Ue+(d>u4vPjeW!vLIC&)i%v z9FqhO8YEJZQhhePKDEw`kVfzp2K)Ik&)~43E*OJ9XZ+68@T7mPrlq`$V76xRVz}uf z!>MY{H~KfMM8bG`|5{!OoW2l#T_d}vvPq*jGknaq+2y-ilJ=v?58W9~STW0XFT;yl>{bK7K5*hH5n<*xYD1^-*bqB6>uV3AP`oj*w)@; zjPlMYDmZz+?YbvJ9LqlXDSGeisRx~5X~E*6H5hcuwXtLRWL8sDALN0t>Be`6wMB{D zrK}^@YW9&IhW-@rTfVW&q6%*z=O?TUJLwrx|qR0eyj7#6=4l^P1Wwph71FOwa(VW z1hdl8eOEqCh_Xjajsrt#X0G!08bbyL? zzlWS#p^4xuq=9jae?ek7W-LUNiXMDitp9C60#JlEEz2*4Dr|DGRpI%zHIEKo-=YEi zW`Wt?f2F#8YB1g3uU&=YAuWT1#81JEfEtC<2Z;m^3rhn}iX<64nY)|o_I;9q+j>8j zUwkV!_dE2z#{UB!q?Cj;2GK7PcQg#55V$cC9C&&X92{ry|1{sSK*P6%9{#E`@R#E0+XsD#V+ZV3rU_o__P6h0^~AK1kJn){DC54my(hW9q2M2Rt2Xs*88NBdip$$aJwqwB=gj;Ty}3yL z)F~_)V9w{imRLN^whU!e(OWB}cCsC?T`s^!x?O>0?t6JE3#eY`h;C%u73p;o*e3wt zpfhfrTj#)(4!ea1>lqhx^EH8hzCm*xcVb=nj3v(0=k0$DCZGO}iwTSQcb;J|&h{2W zIUwmp#>QW&1K=7juEhB@50fRedJ^RTznKM1SB~s4&fzLptjquU{E~_+@W9M>Aw1V3 zW42E6xuMU=8HXAe4(c*5xuiRPdVj2rth@tDE5)qEBH1}N0v!Wt4@V*QXL~BTIO(q` z(sQhs_k}Kw2gc+KO0uQ&0roooYVW*tzw_~RGc}OsuC~8zQE5e*J_lP5e(k@TV>LT- zs1rkQsw(+U1N2gd#=>BR`!v{i_^hZ4vsFFf8AGJdY6yrG)r6;rh ziW`FO!;y6+c|bQtZyW3I`jJ#i!sL%65DjlQ?_0}`QUpwQTLJD>R)=%N?BPn5%JfnY zfd(mgFN0Z*Q-%+sm`456>E(}&0lb^WAL1XfcyYu~koZW&%wa?tNE)h9yQb5$tQ4ko zaqRMI<^%v*rRxr9kQiRoPVHR4@@FYtDvy-_jXh#JTQ?rcvnLg~S}yFnOR)Yd8E!)! zIGU68x}TJM%bc-AhT)+dCThu{VCG@^@y`p_k*P4=ZGZm15|l5S|J0z-Gt8%OFF6Z| zZH#vq7Rh8ot*)$9{?+ORv=jLUp*{syi>f-t|4HwUc2Gdgzv6vrL-j&8be*-=;tq7O zwc_{4!wUY4RML_@%#JVfQGVXX0Va7x2ZR|T36GWn=!fl`m`zyPq>y!7v`%?jzjiKP zrr_;&0D^t&8080uRrbn_;`7&-6=mYn(uCfdtxc`@1W}<>NwY7A6?T4>c)?w z(J_p-seZxaMNE+Dqz_P%C_VKX2s&+p^~F?=YZI=|opT7(szcg>)+?(0Pr{hV7L4Ld zLdc`gOj&z4;kMc!312Kk_|2nO&2rV;JV3ib}{^-H0<;^xO#JVuT1wVIcNc*>Q zMqAAxcILTE$ivKso6Z!Oa$PA@rWEc^<57kx{?&7>`Ua{@IvV_2W=vqmjr{hxD7~T` zR7H!awZ&y8mxY@~s(CA$u%eohvc&5Duf(yZY12m7=Rbj?wA%0BARNF3vwc1Dv2~;y zMiQhJq1WR%i+vGa!{bGKZ6{*LJtPi2B3r?AktYpsjctoGcDyZ=z*rCv^!SpYK1Rrv z&u!l}g(v;>dz;Ta_uDfxi}!haCRP~cxHMWV}@vnkPD9H;*&Y>MHqKX2M*_2E-e03*2H@{>5jtk1)C!M@ZLVr%lp8#A5d zOO)PpZ1r@kz+oW!dcn#kxB2rlOTILdHO8&8_&xQ9ksQ%M^bwehuyWkJj-0Xm;~b=>+TORuZg@ixZ2!|)h=3kA>KJ%O>|1w;CrD_+rNxIdQ1+_!f}VykZYJ_ z(JEC;`J(ezf0h)Lx7%=gq8u>XqTEGk!b}9STv$s}6qn9-ptR}rnJ>t*h?rb~FG$8N zYMh<;G}U4K)0%bQoJODFPv4ZQrj)SDf@xHO<>{rACgQ3*+{&l&eOY*o@73I$My;nM z0;H?fRBNemNF&a4YdRTQ^Bd&2NIQ;*8D`14!fOWXGc2~>FBWzx{*$M--pf58HV{wY zjo-xNG$?P*Sh^oP_gT{+new3xpZSzdKZsD*za2x)5ulqH?mUxl;1_l)>SL)WKiCvtcCHlDp1kxE6N5_BS+} z(ez(|pyFn1A#oQK;w(O4m)p78dj8DlZ}=QO;?`Y;7Qb6={~6-)hjh*Cd@-?{LH)*~ zAr)?q=w)h*P1Y~T=Sn*-aAQ4$i=U*|o7i?Dme9Zd#oyjS2+@|cNEE2(LaEav_8KE2 zw5n)zs!22Uq{%-S9SwKP>9R9eOaG@vyc{p{Q6qj_z<%sq6KQ4vVI>Hdvn$od{wq(k z_1=NJx#V*Xo)9)`Z{YiuQWvaf70y$)ikGCvm)R)9Rn{iG=Njbu0S(o7(OdfF_KQ4%XZ8md;M3g%TN3Z|7WL{z zd1aqRKpGqJz$EV$^xqLwgBD?zu}NuE=aUpSPA} zt!jIgq!+#QX&gOm>hjROz4qw`#kGnFkC`mHbMFXHV`i(hiktpL8j7CpZqQRC-fjN^2w=fyh1_E_|!vzxJ6&A915PMFJe5q4n*NRkxxk6aCeCV0lOL-Yul%07|1Z zOuJW5%G7nKd~3jn?*r;aiwsLu`roQryEc)Z1AdPPPVrOzoV6XJUee0yO9hxmEf4!IUPgjx zJGW&-^Rt8s2y371K+~USJVSI}u!F>5tTRj3otb>YKE&B5L?9&iWNTm!yDZr|;2?+v zz>8Qa-)H!rm^S#V+pGb8?Iqv0RWpCoiG*4sGZa|_l9ycURYYVxePOF{GfI>kfq*}K zrSe6qbGYMpA$NYS#25KPu44;Xs+eRPtcREB@g<(nsFTR`p6!JaLg7=sblC~w>JZDA z^I8-3L@RBkDVd2s6 z>_wc@;RVcyl&RSNQ>O#5oe;^Qq&48VPk&6Rli^9DV>vIh%Cszj43OQ@Z!mO-O*Xd9 z|2AKMhcm*jLOH*hNThsJZ13eys9QA~FCM1j*Gj3#^N72pY%VozE-h7^K?8bS9YjJQ z6A4Oh81;DOhBUuVw{~(~qr_;l!v9d#o*+e^S4cbJuR|S52%mpKUjP;$NA0wPrL-BSNoz*mW>Ft! z;`48*9n={wxVBv+2$oI%_0S9qe{UnhH4zW7IR<4sium#&@O7_lJat=&diB7)eUxSy z2Xa{mo>uX`H;K%S@v?VEA^S?8+a0)$X@-04z?Gf+el2|@c0<++rv=G$jb2OS2+!vh zE9gm?#xWpO4`zqs&UXms+=^Rbo}7?X0ATCpNW4JWqX*?FU$zRqfeb*G4U`TdY#PUf zsYNgoQ3ZN^xz?kRn>Q3X>qUcl{u@sPz;OF(%$rU6^Igxcyo6X^1xLb#njN$vrLs-; zprQfY*mRFym%eFs%dQ9fY6Nx^YW_CD;BLH`z-#sa4on(h-HDvs3 zQlt#cMm{2sfkFUS;{{f*TURX6Q2g5i45}`j+|2%)111%7+WxCRGYB(cXzuYwnSEzmWaCR69BqOo4;Q=L<< zL$42U(JiFKRWP)ELrMt>*zSyv}mSToDWtOB!PG1WB)2cLa8OLL2>dM$nVIvSPy?#KB`@2+4u zsXBW84YpKOP9x>N+!Pu6|ME`%Wa|Exocmt}^M7gH|L5ky-^dFv(fM)07Q`AHa*mMm zxHmlr>^C_aA0B_)>1Min>Cid$ZQYL7%h_aE3xJ*6g^hyk~nV>2?n8 zD+sdiH#Qgq-xYy!eplJ)ii5_rEw8u_-Va>xijm+o{HSX}rw$<~u@3e9PL}PLAFbOj zJm6y_?}i(}D{nv+d3)EjUIrUO&;@yn$CNOY==VE=SDsIv+jgSEmbyGPpvY zi1$N0@*W60#C%o=s%3-aD=f8lWGI|T7+{Epx2@_;L!?Nj*y+M;SD_s<*N7BzZh6hJ zML`ZX^Qp*sXCrfm&zM8u8QYJpV5miKmE$WG54q{1IUETG-Pp- zbOc-Ukh|UF^4Z~<@c)J%!GexkXQ=WX?spN@x$A-B^NgepEbumbt)gsEo1x1tQXXc@*;l(p0dnVmTlT z9BrZ-s81@gKszcBWMTi^C=C3=WT{gxZcNyO3%#dVzUtI8Gp@@M0w`d@m0H=k-(c!u z{?e&K$*&%Q&j4VXXZQ1NWZ7o zi!hXrTyG}5PD4>yfi~27X9elo)5?KC6Uu022wC0S`UQ2zgh&dM2Z2{NYrW%kL6&bl z54NwDe;wGI6dTldw6s5U*S2zhYC$_6Q0A~Ouu^}K^l-Ri=<9X9e*32v&0+cOe7;uO z?7}g!t)=O5nCA4GQ?<`0%g z21tXCkiUs>PWMy3vV(_OgOT_d&4BfH*!{ZtP3(Gdp%Gjdx@~oFaL#w8n-(Qu^-d~w zi_G;E1j>NRUb$0o!h)iTOCx{ zE0{I+3N7~x5r1L2g3JDzrrl6RjD_t4U*sz!rYFMD_vMc*O;GiZazWr%mY-{Z4}-}R z0aN()Sy;15o73{RpONXE6OAt6Q#%uDY^tsiZA~E^YcLp!zeHgzQr1pko`xUPVy7O_ zK^&M=!~ACIWc~Fw+v4-5-}(4h>3G)2M%m@OnTQMzB=d&!xIw(x-y0%=hMh_B17SOQ z9O+t}yPla^Z(97QrSUIm@U(PMOIxr!|9H$eI;~zdNDnePWGkGm?VIYT{qZ1B*uEos z$jcA``-nIMJi9N)wP$7@D4HKUo>bqy%q@DqDcFnTU<*wcN0c8-42**rs&9d2r^4je z`V(?}<6zSd=zJ=y5?_9x^1;%XpLky^datuIWP8PC9gu!N(4u!Xp8kY>|2X*h1I~P~ z{0-T~A5h5b#5-%z+uzP!q(i_ndxJoKqO^D1jZA-n>4QD_V4FYKxg$V@F=H1p%9*JL ze$7kYo>7yk8MmbNGh&y(zOLy-pie+}N_)3#*YYLjB#J>xOQrmoV5G>3*Xb&n5q&JNQE-n}b$1&QTA^i#ekOf1zQV2ruD1x}PiuG!vQMfK%>E-?f!q~r zD;Kz4GHuy;G9j277A&NjwZ&mC&R>x>g@S^|B=N6mxi>*C@mfpw2{0`|^A}(@#g6OC z%p7rkxi@4g2blc>l%MTx=7;W)Fa+~Ra#U84H_!lCX`}&u4k87kGdh@qR>Grt66)!E zx8%>!k)J1TIcTSUeBj9s+!Oh^nr>Q3K(6&|)by1lm_;Q#(wI{?S}Ps|rEO@hxpI?&8v`?*r7d z2@gcnZD-O<`$`usS{1r{gunU-PmA?W`0)?K`hi-E4yC&ZLl3h1iqy&m3Nl`e_G`(+ z_$)hU3Jl|S+&YUra;TU>k#E*}^XR`yxQ7UwCL)cac9S$us!Ke;+;!-9RSt1b`FV_E z9T8J~J*A^_bB+1TmQyS3vnNTHaIp)ca(v%)#kJmZs!2tY7OB zdHeN*?~evsy-Q-R;C3mZ(r!wRgtVW<=f+bZz{v#KgH}26gI?#cusXZ&pT=u#fG6Zc zD6mc9o#@NWhTado7W4O_gM8yEhbH-hOC4^+fEtK)$*+}0^Tres-m4+ioX)xJ^T~T| zJT-zO&zBN7pD;yZwxi*f@kuqc8aLYXKTZ_wV^o#X&A=0jd)_voKk#0vDi?|`F&T#= zrCZ{UL|gkok<&j7Vh0%@L%HKOrlOG$zlBOu+2MQ|wtgBFpFD|LLPO#oEke+0_Oz9#I0q<^5P5+VxmoNRC3+KDFZ@dPE46K6|iD@G(w3 zq^ieVVP2BOx>OM2PejXbq8Wt=M})5Hl>k{zJGln^0r1S}`bxPJ74ahjHIpAXiBQ<5 zFgmKRSmv0;p2pw?P6nH}eWzFr)saew00pG9Xrp2Ne)Ai$j z6r<3R){ymk1vb`sIC|f;2g3m7d8{uunb8VW@i`Q>`8*q!iJ_QNI9IL$sm|fev`K#O z{1_Pe0JHAYm-w)+H*$dZG}u;^Qgyz1&*-|9jP=BH0vRS%L&wyKYTQK*%*e=Yk1d+^ z5<)HGEYO8gNF@vJpOwS)Wu+57$6xe7`PSF*_QuW3?``0YqNh`nveR98&8&- zp-R%~M(ILDz-BNHqQ_R6>$tP))xk#;PVA4mNcA7^%>Rd^s|<^y=h`h$+$ru>T#6K1 z7I&B8Qrw})Lh<5I+zZ9s-L<%Ok;UB?ch_&9_xmw3nUhQ=IdgJfM<$7&gHX?PDI1_0 z6|2_Wahau7A4&GJikssy@Zy%Bx%i8tCSe!VN)dz4O}*7qRmoHlRD-&YL>#BK*xW>t zv0wB3OP|s$2E|ivR^Nv}32VIO66U+vxacTZ#9#&*xUV^m4I7K+%^8{jr-olUMYY>| zs^3M#EaGQ|%PxMWHg@U@@mVHi|2Tzfd1voC9b#&a5`cbyMt&LBB`VjN>1VvJ^ea4v zUGgO5Tc6Oh0upo_M>2nA{Th=sf@Eca=7|`XZas=)O}o zFaLH^{FN2qt2xQSH2Qg8G#s>vRq~F*)1j&*swlq_3=YUP(nKd#hjLcoP)Ty13Cq!y z5}qzW9`|R&p7~OHF@A0~b4yu16Jgw+Q@Z$%>XNq7XX9Q!wsdWG<3^lZ*LD!^A}(~G z<7CpNiAT2~S;2n6?$XJ@U)q2ENWgw)%%X7qnH?a9{1(cnz^1!Tie+UK4*roasWKH< z!$yMbBYgY8q#aTGTJM}e2DR-CfWkJLF_KGntp)yLfcU8v30zNiUG=|u*Mk15cg^EH zEV}`Bd_>dbaVI&wEB=oKwfa)s0+udl0CO2~c!!^_^WFN$$-%^EB016IBPUZ&|ik9Aw+ z4tG@%pFC1`CbH=yQ+EPH-Q?DyX^17Ktoj3&f_cKkt5v~&SkXV#MnD(E8(NNt)G_qHr=Wot4w0`EGBHqfHS{C?fl7urgz_ z$08ya$NGvf7XHVxJ#$krM51ZyZRFc`sT)Z$21!n(KoqU1HS!eEzAKc|T;p$~yed_*@2sOcd z>;XNAtBC)}$yB4yr8E?EVMK9w?+R7KT_Rya7LkG%zdqQpf~}{~crNou@Al@2>!soP zDJ)@fI)m?l;5Ps(G4A=~2~8NK6KxgmD{gQ0%8=hUOaQz62Y85s{wH2vdg{saiP?}T zCI4R*tdz?93#q&+XGz?Ovx6t&uk63#x`d(V`{<=gFZG`7$;oHvreB}a^Dgar_gSZ$ zbt#+hdEN)UrDj@asE%!)bWV9K!=}RJLl1n@o2Tp!_|jFfS9SAf(egctI_4189R+<8 zd!^ttyLd};4K)j}ug=`?5l$16e!p?rDq0fYZ|wRu88 z>|d;6RC+*qrc3bniMpfkBR(?qn{-go_daMQtO`YD0jkF#9vorve08ij7jop7!uCiW25Md z|AT<(|3N^C*$)M#GCH?$3#pW}g?Te$Y*_s%N*A-e-XiKp*NNEa<2^dp-y&}72XH-u z0$W~$(C*DN?y+fK0dQ3szO5hGca|kK#jPAs^zq628JU{nvN7TrE>`cXB;1@X_-N~H z2tw){?z-!P!J!SLD#L6$(62YIST@Z@8_SM7+N4HA?@S@Qc|{2feucbl#tv;Zu#?yO zw(2jPRG~(%-Vmsw&;=#YT0pQnoTb*iHpw|5Vk3Gc`azLBQEStM-cfd@+kY0s zoY$!zu;xRyh@7IpmcPDIkn!d~&ioLCD$|Sn?D~m#pUEKV_M!OW*%?x9!WweN@1sav z&6j!H2Hz*Fa)B4mf^mA;=y2LUtk)LgbEKakQmiEydWf%)VUOj>C4SM&Sk@coxmbhu zSQK57A9Sr&ILhboR`~)|Js5~yF^n!%xFpTu{6?V_uMDy@q$9iG-$KVt3-Pau**j`j z`ils|aZq~f6VlUls&6}$Am@LfSZZDoA~WCF!cmd{gC2CH2wxObKVa{Q3Kjrg>_mDP zksr`v&q-)Zv1?27a+35xr?^6pOf#!CjblX-A|VJ?KiDmDAi(p3H_3%QP-xv2H znSSu~IjrY|LJ(gwtH)AU5>E&c3+s6*EJ+6A2dw8ku(DL{Mfx!66^tLSIz0x!ZZ8XI zUEJ|H4=0TWhm_Qw}IsoM8oXWYk)1F8@u26bH);g9-H6Fc& z{2#Gu{W0P?LO`+%>%tin-&PVm(Y1cVKJ;lZd@F9U?=`Mh_pI+TDLRQVR zJq(EBNsk7``x) z(3W%){(w_#Tb#X2tGw@v=JI_Cn^t33vhe_v!H`NiO~dw+HbxCX^UtV0TCZ(oQV@5E z8(EX@m-3rS5J!m@qt|7cQ&rC+Er9`J(DfqvovU5ktp&>MLt5CH=k(u)co&8KkKM`a zRqsE)%i2ge*>HD^r{0Q+Cis>$wIM!o;>NTHY3z5$qWkYiTAoPkIlAWH!(NU!vBhQB zeh#$o?%yqU5=&9o@uoE_jf)I@L+B5QPvKHB^mxOoHW~w$#}SR=y06EG!&!Z$%38!K zh37Sw$z=H8X3+~#gPq_asE!nj=kH= zVhQbGd-{~{P=yNC2+z2gD?!{6c$|n~*qr2ZIP&mfIC&X-J5}&jmq-VuKw@RkAD6Cf zXk^HF+3u5Txz3N{DBh{#v{}!+^b1ueuX5tIYKMi7JVHyJ^YSZr-5Jh8Z{1Xy$Hq6% zgFDn)wP6HNl|39Z+xC};CF)w7z6;JR70BL(s{vHAo%5zl=`6(`%SDpa%v#Vi6UWLB znkFsj@#Y_$`>XCd_T_N7(S}fHo~WD7K~V`NIfio-G0u=_?LpeMu_?XMme6c&K6T85 zpa%#`{857AKGnAh!k`7(KOHQKsh`3ugZEBwX$M<5KXaI=HhTbvhC-$>f4LryxH5n_ zJ{lP^p0ucLZHpgZ*&@-1KFC7@_<>k^^lcN+s}-pDNCct05E!ukHTx!Fba0*9S`rh=4?MaPVMKg;8=$%oxOsRG%sL`Y z9K?dPo9x25{;Gni%~@5&oZ3~blggaDkT4@!5X`;cI=NaOa0>e-NYo=@8dHr1Dp=GP zxrD*}VMd~$ee3Y;{hH}n@j*u6r{L@VNXXA_vS9KGw`%b%TBIj{DY=&za`pA7M9nl> z-u74YL(#7`F2zhyntF*F~)aV)vLjcx3*~EwS4o(-=J(Q2Z z--Z`xO^8VB@n)*#EtaJ&L*^W7UR%W{7H)+oDnrA>Px@;^CLwLRiYnQIwLgcTl$XJ_ zi|)zWxhW3bRyrrn$80Kw>+q)E4D7?}1K!|q=cdC7-*pIa5mV8s2zEj!yGSBQoBHFO zfkNH@v*jS=5IswKiPM}UvtpX(=ExXCGg2`Pc>%7zP1?~7-Jwfa6@P~->s99H7CrEg zs?ZN*kv^gpJoR?!S3a3hlM_Uili#@BLzFYqCktQPQkTdoV*yI3ru_X+5&;oH1M(oG?_^!Bxk%lorQ`574uy+=Yh_((3`mNhSMMSNINy?_3 zcorGR<2U(o*O9q()5V|ILc0@>d$SPTFFH3=_w1$|-b-0zDUJ5tPd(>Lcz-cznAJdk zQH1dbzX(|z%iQa^l_;Lp5a;)-VO$bQvp>Bq{AR+v;Dy_Zn$H8+-2iM7rOkLae-)xZ%H>!7U$O@~BUkN!ddM-fA<7|= zMjdSb`s}PzK!lDMSMv<{mzg+Ra{b3{7HdqFGw>UYtAh!UX93TI$Z~0fqLMB)#pBhd zRi->Z#xA6|3eQA$^_$0!h7M5XwKeG0+Vg1XaH{NJ>T)i59#X{DJU`6VGSGF)>YmfnreHLt-q7 zJW$2!)B^qWm(fg=Y0UVtN$vOo%%Zt>SmkcO)ANqy6!Oz{?74_rOr`eQ6NL%Id`RQb z_%WxuLeIH-GMc_K=N!9zu*LB~jn;kTPm+L?l?tm$!!-evU{AEh&wtm#=gW|p|n${M4eQN7aBDYAuk9mEP)v|xr6-#s8$L8I2 zL3YL_oyR8{%YJ{Q;K#`31k}M46F;gMB(wFen@B4th+u##B9oWxJRR;AT08L<=^$}? z;=M|fnB^f?lno!vN=w|alt6KzS?|4B4JE*xBFWN_ZP3!w9M#i&(9@j2)BKaS`HCZ9 z!alVbmk2G5@^YVD^9iPE=x)hg!;!g;A!1*fxW)HL$OOVsf;)QCQX}&O=WPOX{9SWZ zPMChLx&6}@?N8Q7+WD7skLcZB5Phlnpi#|+96v=%CRD;^Eo$1TqOQX}FA~C#pe=N9 z;Bo&uFFZcl5_=*k7SG~>)T=(cF(zuQ}I_Q$VpyZv#=E@%vdjzJ-|@0aCLkfI~I$9BMWBU(RtZ zGkjsI!C>9chkBVJTb(^VoqI#X;`>(}P{4YWw4?YVps6pY}oQw}E zRt4zs_U$z&ld7S`))c0Fsnd3R8(K^Ld-CP$3uuilLN;MsV?;CF`pZgqcmj2S;=c!; zyFxihDfxXCCT6Z+d6YDEu8+iA6T$M-kJANR9{xvf6`gCHcaI`>YtXJc-?P82e%)6Y z(C3LIyXwZPbg)o&! z)ZV&a)EZ4JW%?ZOs63rly|oG$z^ncU&{Y&c!ajDb{=*W6+bV5i3#NI(zGQNkY2qoy zh8tv4BE0lw(8h*(gaVJP+qhy4e`Xh6_8e}u^t}((CWv5FxkNuSs~mqhF@O}fIh>y> zW2aK45WJPY8qm^AOzNM%x8->ap^#}?BgqwHkDo=HJhC4Zn!t3Qo5cy2#QH9COxt-m z$(ah}0+oHa<%3R7NPsRWwvGX){}S|G`EAqX8KpwB1Z_$Sd1cAvWzLxykSN(6yt}0> zhU)fkcb8=HmpNeHd7aab}u(y73}x3BzX0%94yP37NTt5LR&xphOX z7#g>F4COK}3Uek(2*7x|q(FwR{2a=2M3Q^-OdRVXqDDZLnJk)WECJYhyE!p98nnJ0BWTC>8ky;#2rrPXd zTCTsdtSPF7 zP@w0O_Khp_|DuoQg(#XcL$>pkg=waTq-?jIc=5Z7t0Q@Pmq&hc<>>^n z7QUzLe*VF))SS|;R#6s$m|UJeo)Q*2Hua^i`p&#G zxZ0uNK6Js;%_8AfBs^N42C~)4H8E|`kweTX=ovDQGtE0eHbGBq*cw`(I_Dg@gW~r% zt?UKgkGMY!Hn(%3L(73Mw1YN6$aQy_NVp2^& zkm9W3UYX{swivwzZl&e#FsLSI;S1)eULdRDBXc94(~aW5^olmAtktxc9nscnALS#I z{Rj_xlGx8|97VL?juk9crE*^&BT#tgR6*3AjOCFqZYa$rmBngmpb?WVZU3yAZgDnE zaos6AkC!gf5{v#d_s?{r%CB#d7OQmyA*rCMRGbE%qSZ6@+$#0*Hbt#D3@)wjI*jq^ zf-ZrBs9Go)pgqAP5YsBzb8#ZCON8OISG;nqXmy}HwwEZA1Gady2iZ^Wcm+Hte2KDi zd3&&d=}`&q$g|QGpbH0)qFt1wu<7^XRg3v8@81mziW|8t05Hu{(;Vr)t1p{#{DbKK zC><7j|D!0m#6PJ1k3t9S>~HNJKexEEiq8(tV5xIq&(4%~b!7J^o4TtUhQ^}tZ2v0; z#9TXXt%zhdd2MD6ksRzq2E01E)AG~E)8@p}3vy@==r@w(ZU`++ z^}~l^9KJ`KR7}3q1*ubiL-|=fD4qDshRx@k`4Bxd(WDICDMW_dG|hfA8_Rib`x?ae z;hB?dO`K;)AiyZvlJ?h;R6y*oh2)jFIP+jD!CK1wd0D+f*|(wtQ0_6l3DCHB!ISk) zCofGN*;P7Xhb9dWn*gxl|L<81)JDbwunz$>$()_qugIG+**XAgZnep~$`N#6qfB9g z8zZ$8_$!JX2~L*SM(fX2iBkGYtmwwwdUWa_FkyqwtD>`mB9}4Fo<>6`Mq6xAWDvk2 z!cJjPdj{e72r~o{r(ZJe_Fhm+!|sT*6-AL}ewa#*tO2m|hsEX!tfwfTFZ@ z$!oK-bS7n>Y+7&@fhBM^0VmEx}*Y1t5%wus}X%SYl znz)(imtJi7o%lF3LEWh5bNFv@SXXUG$yo1HGL_jUkX5hxD3K(0SbqG*N`$I+mRC}9 z4fDGn=O2It((K})Qq=CNBGgngNNUGOYI;AN*>bCtFmB~+Y>{V29C@1RLk5kma9U}< z&o#D7;;m~iYH_4v(oBd1jc0C<_vvLNO*)pwD`R3_7P!5e%K1_)QFyJCC%`lr-oZ7N zUm)y6tRxevN_#m)RyOGqV7;cqv%Lwq(PL^P$jngW%H|fO+z~}B6g8vtP0iSYGbQF_ zc_G(93)`*6C~>e?IhnUU@1E-{`VK_W&I$2SKYiE=psq%-NE(jt{PAH$3^J12Ly~_0 ztV5Iz*7K}+td&+57dQ)Z?oxc12_JqTDU)&>_xVYqDX+jUCM_tyK-G3Cj?)jrdRzJ% zBeJUbaDdRYi3~)z%$u!#*mTC&odV2Ie{$ho?pSUFMKUGyG?cO&_U7RTDmtGkAz9V9 zG{h6L(z6ff_WDtRczw7N9#=%;>n@A7+fhZ6 z^LdkSvVzBb>!#11zpKNQZ#T1&XVs2PlK(7&U`aN!BmNR|P4T*qZso7jjqNaHP!Y|W z1W&Q$L_a)QNOQw8XaCuEUULqTG=ToR=bYC8GR1C@ar|jBLjT|p0N9^A?f-#fl}$`W z{;0(*bGF-z{ay^5oC8m(r6+63AyTlVL7x73i4BuvEp)yu;y-QrQ-VevtaP`}7NW!BeF!2Qmhb z$$8mQfjJ&r*z^z5SaH?2BOpB{XpQQom(e64b*p4jpHMGTirmu=g#la;3{`65BKCNo~3lB8FB zevixBNz;!4Kkor)J|LtrVJnZtBRNDNk4xU}e>T)E5wGPSLQkf6`x{4DQj*_0-ul0X7EHh|r0wsGB+2 zoC}8#n4I~xOV3Oj5MJgCpM_)18&je^7$UTDG-dG7rw@#jJ*jz*Tm9`p(>kGxS&8{X z`IUtyo7(Q270M#scEl(+@+@9Ak@UAU@pt=;!|5cP+yUa~YVil)o>b!i;*kXn z>Tc%6Oib8ZAsvmA*mOvWcYPMmEBU~tUdgQ*0M(2bS1k;tG|3^i@M!!F)q=N1W#i zx~CV-KGrd-%VF5_T0ByW^^2}~oLZMZP5vHyhLG;>J(bTz+K~NW^<*5ytRtpQ#E8`) zqMlV#|I44Xw3YH+ozY9>E3{9@H~-GiUf@e)u> z2}fL6FM5!z*j(k&_K~fkb!?l4ukb5y4Daw=GEVQ5OVO1)mB z?RRSj&1urzNCj?~>9Hm!iIkz%$+*^&cNMz_=Bu0@iPNFEp|dzo-)5C5kQ=`;s9^DI zlZ!;zl&wF}dd^`ugD&$qwI)ZL0-eTRormXOt8`A!gr5RQJLn5n17!|K=0$0H*Avd0 zC|mx#b#NEd4P(Jgj|7NO#7n?&Eesd)OBuugvdYQypJD6f?vJeeNm zqtf5Mx;rA9Y@{!b(!)xRp{&%~q-_1(@r}VRmL^70Y|kLZTfShQT%k2=uGajRN`fKP zs*d;_U7;ksTk^G(3CG-z_z_o_0InSGIK=Xze$NM0`8y_R&2DGex6wa{;D@6h&$I9@ zv_qgkUd={=e5S7VOH;3Aqm@GgeymWxfWwwwbVGjjq4LK_EYpJ&3L>j~Kx<~M?OFqH zaYicfrfQ}SyX9(2Nv$R+@XC2tfvI9A0rlYrkx5Y7Txx zS{D~=LQn?NZAqFCX%np|9qL0KJM&v#lL%H5oME^Nfozas?x7;-^bt_d+ar@4drzIo=Q%si>H4jCIXT0Nwr)PVuAk zGiB7-?sb9mb{shaH~Of&@y@eG#aG4VR;&c&HulX>k^{P~#`uKl5{~rSkE0zq+TCl9 zw=?>&#w`1(XhzaE1BGH}nIR~y^z|MeO+nKvek!@yMi-rIhSgQA(^yx69UoL_Umo|I z9@PsLPhKbng|8gKq|(bd`(X;4#Q5<10sAFaCZ#>jPB6h@fOu!xG)vVCzE`4fVZEyg zDopFO3tk+lYW`G{?5WaCj&=ih(N-vFf7u~Jnc?>ryf%?)LO)?VIYUTyG~l7x*bXZ^ zkYHT8G`Tb=>^vqA2$W_9LsG01xbKQLT#^DW*l^>KhW+;#cD%lJC~L%H;r$Ck7D$UL zeSffnE%jV8BM`kugH(H^z*ubk30WXyU2XM`%P7n|C9mJqa3>F2*%Pr#%uJnEZ8V}; zu&Hb^VL19Asm-WXZlmGQ+~5P-k%eKwqHr%`dTSAkY@-F+8Z$4^Kkif}cv{6A?;jJd z)eCAe_-6YZDtM`GI>4_FP<6&W9eTJk8kUD4BL{)T7u|h85z=KEI<7q;%;SbbQG}4n zYP?=fF%=vL$w4w@Au5SQ<)uY_zZohDS0e~uE~w~FDRIxf!kI`Y58^v)Bwb38Xqp<| zL3VDJrYnb=;lmO=p-jG1VQ`rvK^%;;86aVO<;Y&Ba4rvlCtvb{F&57wXxMpjpOCJ! zADAj{uk`Di)!V826w4`Hkscy=+M9u#`ulHQ@F$Um;#=72clB#n63>|P_Os}%7&oLm zqpeN#O?*5c(LEj-*Cxwn=0#m8O!qF1B#>ZHYU9sOMcxL56}yPD#ml(a8c9V>u_^Ys znkqJl_LZi!rOYPe@{%lvhzHhhl(I{Myy>O_>o>p%4VWRYiap9@qXaQqu{K%nPs344 zl9{&(ZF#(CrMI@dDh8w3OpWvfNL!&t)GJf*5F{GOp#k|>mCiItR>bhOqu}c16qe)O zzxP$>vs)@`F6!KaI2GsfE9adVBczqXX>11vmoWwI_Q5H1P_HlHah+gpenA(VI&b0m4?C*GwzZ1n!1e?22m@zd34ZUw}*P4if5kH0Oi90+F> zuY%3h<$mv4LVijxFzfS*l=P=*e&L0aq`Pl6uGywUkAp3{k+C#>sv!-NettN-Bj)(Z zq0vw&pPP0jLHEaNiVA~B?w667zcTB(XjjhpC}-sJ&e6lvJa{+m7L0`zZmeJaqMC$_ zpmyaPZ7L@64B!08<7(@%hg0m)uE&#{fslnGRhq7tw(o<(=H#Qcha@<~Y4aFvtx0w0xxiWh9kJ7uqDcNBW*`R`C-d6lpQH!F;_u7K}3r;aB(t zPb9*nN{)%pO;wtR9P(LP67TS(TeL!Jd5#=a?F0bFCr@^DHoYe@IZsasdh6Y^YcXf?bw9rSRYnr{U{`>2X*Veg)1O& z1Z8@tqd0#AJ462_`Bc1f8(dV7#VVwC*i(z+fVpW14ud`lQP2j59qt&zC&ewRp*+<8 z)$P{6<1cPdmc}mD#dqy;I8GFLg$y<(#k|dgS0z$YZpT*%iBlY#H|7ldkZH?G7VbHr zy`*NQHddhD8PF65Cd&^qS7)!SnqwtjS9&Uwj@he<%gq}=VdrF5y1h(r;+RNbksx-d~ zK!Xh^>h(pnng8?@x2Ab17X1P4FF@H{%e`_Z zstE+pkqA_k@&tDmGR;b^*GhY7oI6nRG@^=jf=IGD;L?{~?-w5?{U_VYSb=+C|1OIN3u z)w%HF9VNst2=3o^_ncb^BRk>rQ}mmGa39)hSE<_>AH6GLr8CT2!6`?_?WYN4E1_e=1UBb^mAv+HF62&GmxLK9fCt2Ct9m2}b*A*hKYiFVQLJ zOd-IGZ9MS^sxy9T=gk*15%fjYbpr5bHg&yUbSv4W-OG!Iv;0=vci$zl%HMEQBGBxD zZ3}+jbSsjv27-bQ-y*bR?kIHumTE zFtzf*E>7mo4t2M~ulDl{A(IJoT2BMV2d_p7j80!UELS8Oi)kac02uy4H&K_{rK&3% zDYhq8rAbVMyYt2K6uq%#c&>n{i*eqz4LH(QdgZ;s`S+=x_;ys zHFkDa*Vc{O?ZyRg7m_k1W6^=1;$mOEJ~X@r`NZRWPQ9uHeDp*e2x%*wy)Fk!R%<<; zDCLSyho(2Sp>(jaX9@Iz1N?~ofqZvuY|dx#sldAHlx}dHfw3_XWncBvH9l6}Omhf@ zsXk&BP4in{ek%;13^j;zQaBDJwXfw!efXG;rFI-NzGY)o9F9}is&$=Yksyd@$9$}UV+yjdl314isQ->9Nx3jv!>ce@S zh6p5SqyQb~Ut?6@2=E0@&*D(Sw)?^0c~lz7LhnSb1Ei~^xA1U;slM#AiUgCy6Mmp8 z%ti##uppAegXAbquK6AeceZ|BCo)uZ&fphNYsx>V9WNu0;B<6Xn0NL~qdnv(qv0f> z@S0xkh_bxw5XFG~vFa`IWKh|Y!R~sy{29pXk|RJ{pH2Zy)oArf3oW~u zp4Bx`e!k#ZzYcQNy@`d_xuHyRt@T-9Gw7}+tSGDBAt`I@VE>GUOygt|gDNYpqhJ7W z^L0BZj$i z`sEFRjG%zR{K&nD&syBWM>cdm=0vDm5)y}Yz#eP1AV`u*CGxzPbP!6Kt-)&temuEs zUE_NddoX1H_3NR<2L&0?oG~D5lRA{N>?w6CNb&6BHRiI@?eL4AexhqiX-t*m!NTj! zH9Zzoz!xuU@FBvP<|8YmgY7)9VV2Pz`w7et*G%Qwe5)&mLna!(Ehr$|U)cP9lW$Bi z1F?e@nk67ASNATN?%o~WoXMvvsQZBvrFnefJseIeD!va8eKAZv;tx}j|5t?fN_z@$ z*~-5sl={Owy5D^FG%83Q3a%}-TqDclcBedC-CoDAAa+vZJy(5k^8k{uzS%1S+Y@rpTSS@1Vgz0vVt?xBwC9E$FTLBo4AXS zB#)VVB_`&;`Y`{$7`G0Fce%Qk==S)Lf0iU0OI0aDDi#muDZB=tG6z>XC%%*2I*wrL zg<+bF;qtKU49bg{{66>Mj%Xb)?g*^(ZTV0ab@&xh&``TnC@qaZ`-?Y0-M;#k)b|(9 z%iv@SVT5MsHuHL6FP;KNW*!z#`YI{PiIREn-vCvM;2s<$ju-u*LT^1px>g)=6?VElJY{DlY(>1Io5a>`z^7#W*)hf(OREAYg+zvaubioKLXk5v?GhnI z&98;#o^eHfI>-KnsvVR|g(L;a8opSv5{<|$VC0Jw_D`TTt|}pJudj+Y?aPiE6&ho>Jaq}?PxQ_);Rx;#q%)(QqO`X&nB`!HnB zm$elg?A+;E4kLI#>boN3U^W zSxM{|;mD81l|}2AwXre2X@qW*or!mdY=VolVa&Il?vv$-tKtSU&K-q`tME$l-U)98 z)ot@!kx_BJnA#}Rkz*C*zXQi1j6 zio5=Ptpst{QLmI4PJ|){^qCKahpl=WIKSln^Oz@4I55Xbc-UVf>4#$8NQfv#^4rq6 zaEDyZQHiGq2Su40D*HHU7Bmi0j2y21A1)G%skj8dseF`iKdk1bmxMo zyY;{5tHkVo;9Wd~&{Sejj@zJqEbXKH^&$<&fs+2qULs$|!>05!%yTSS@JGOppXm%M zg;ly^kP$VkX52DOLFMIXvLb~fTS;w9qg&x?K&~Fl}XW}2kkg<4amJvx~KKa0W*9SX#yD-1V zhP*jthyG#Ml+Q15!vr%#SeR}pvcj3BZ~$x`?K?tp_AkSbBa@Wg+*>JAk0ZVX?z^Ni z8c+U#PTina(rBdZ1LV+=fOGs+M7DAK*CWy8&(Vrn!y27=mOlM7!cTT?xw|>-XqGUy zN5%w$oxN07E^(pU9gcwDWK_|vxIx>KZ=CLqeOal0Qlp!agO&2iCg;=nYgjgYWHh!5 z&u$MN3FOz+$6uW^Bek@~_a>Je$>PqdosENQMN|`6fb9G4tK?QJ4HN~cuI{(y#tBdk zNA}M2fk8g+I?-Fk8hFZOoT)T;;xqJ*Yqw<&;rps$vejaiIaSm^D|290w;M>39gl1? zt-_C6m9XuHuE&cz{Oqc>#;PyNY%!rN9_456V+$Y{$B;NrX*0!=#f!o1hI1{?7Z$+y zJg;>%bz`PU@)m!+B#?B4-}C#lw&+W`V3l&!RPGLmoUd(pdb8)uizZM+3n%T4LV|w8 ziE*`CGWUEiF=)`rvwOCj`Wf*;hG|CZVD;5e*KD}Yq^T1ER46} ztfP1S>jJvRwPHa5_Mg?7Z3QVoNQjq;VbXmSv=42{O+nT=F~?5NMgpE6rCjw>+aZCO zYR6x8KNACnE*OIeP^h&rp-4wT69kd|DIKT3N&tL{kcBf7VQJSrXDtZ_RSKw z0eftKI9Ry>ZThMfSIGTF23e+Hf-k=_+R(b?AEk}DV2oFVTD7vW9k)y%CNwu`$JJD-OzB4l{tdBg{`ZVn;SC#?l0m1Jb{S zStuAL-2ZU8fO>AFWgDrx8}q%#BO!7pTp+Gk0oveem+AxlLSfQ{>#@M20n$T(r736x--1#rqY>< z_&9FlUs(slp2ADqK6oI{qEzSbCz^UFDLixtE?U*+*f6{$R_Z-NY3i`5@dd0yalxZ{ zIMzS=dcC8@j7Fv@st&Z; z(??vGPS}ks%di}pqS$@&&I)=Vs6zgPYrz&$o5YUhe522S)BXDk%}|1q zy>h;af`$QJqtVu?36F$_-dH_@tpEL>^&{LAfMf|Kusc^BMy7ss6QMgIzf()uL};GG zu!R92x3|xFD!B8%3i?li`Cx)1!*(CJ1T9 zK4infe&=gRM!4Ae?dmX;Y^AR9Td!CbIR9*gNa-7QHLpfp>{h3ZOn*tA*W)E35~p&L zbN8vr1+G(1IQ)!S(0bVaz@akL*AO{Sopp*5&CMj@Q|lu_%oq&}!06&xf^Q>NkMb^v$jlQDTd~(km0O;vq_wEJW63 zKs3Lc7cS2@R$a*!XyKn=DuMns!*ko%LU3V-m&~&qHh*PygOj!W6GLcfPbcWwTjjl$ z{r2<#EA!|xlq=ui6=N19pft~I$ke}sjN=$~APekrwE8bRY# zvtui`YrG>NKC{hE9wuoIdzI>*1ulGNKua4dIosnwvL$%>w0Pc<5eR#&uL-;U`gGpX z)gzf&?VwOe$lLqN=uTcuyKKq?rw;zfsNs2uNIm_t9xR~;)`J_g8z`&!oNvqR$gxS* zw{G6ce%&WG!rhOc*$p;dEh?*t;D6sbll)Q@WM%G2U1`wNnc7sXA%~~|jND_SsT8)7Dd?>>JW43e2Y18*#gorZ_^OPQdkod8o_YvoGk!!E&Ed}iRnk|p zs9ZY&{lrHv&z$MyFIv^1=Op#js^u=)sJtOtWwKn*M&{wIbotzt%vO@A?_;jUTT#_c z_a@HH(f%aPgLic=HL5Fco-$3LPoV-PCu)Pa((x56}{jCy0b)t(*Lwf_7)^TYG8E8ZntJ1#sh6d~%=Hd9;@Mga!-&aB{Y$0&i!;hk#yG%M`$BOnRVwA;>_&?4i%A3ZX}sG z-M^7(5ZZKKRsYp`^|N7Yi}Kn+k*5buE3@ag5N8`#+1UdUSn6PJOr!KNlS4(s_6(`D z=$Gzy=Xx2TP4^Y`UmdES?&u-bG`b5-wqcPuu4d^(qne=>>`^-o5DL=!VHVpwx87Zz zQW`sg*{vuKkCZ-L*|wT4m=VNh7F$D^*EIdsYke)(ryje${R#&8Fg{#v%g9%`1Q4KX^Pk>cjM5C#ODl-k&Am7p;bzIW>Iua1@G8-bJ z)|_=UuRizF;^KS??`Ua0KnRy(7*(s|>i7M3B?&YrZDtgze=XC7`dXCiK+T?ZH!7%wS-`K=&^=inrgm7<^ zdx%?7s9g!c*q4JA*ZcfduZHYN$a@Icn2>i7!ec4SnR{&?B|aG0_QNFnkl*UnkX;D* zAR%ujgmvGKXfU7a$F%J8UMJA2(d>sV-PE&{!MW zqceHXHul31F6+3y;JV;D%A}T1u5FXyo?X;!>}pwxj0z0?D<(ZuSuMFpHlvg zJl;uf<%#PPM9w1`4^d(t1nFPLc}TMc`=LLdxwuZD`qX!xEPO0R<8S{pGxh3Rr%5T6 zExLCoSwmk_2%$c&4CrF&UqCLUMUMMs%O;+?N3_YinWl+a5NEn8}t6$_dwGDAL-N#oywoK-tdlzrYF>;t*lbnmwcg=r=a;T5fG zl7t-l`1avsK8)ys`o_m}6*tLqJ<;$eF>@Y7Q_L6C9u6)sy%eV=(N62l2e5NW`E!34nb3sNR zrIjt$2AY%}A$e{HG$NQaYc-uhnjTE@tVA?hkTu>nVC*iEY0B2Pw3?eWzT(73rt%RIHmgiLj7v@k! z_v->5{eH@T^0e02h%~*7v!gms~AtCHPZzdWZYkC>ce1Z`6mdEp0ldF%Mo6-(EdXW1Cpfj3NwRhtO zkWMbvZd+Z}b;7&wE%qc2IgM`|ro%KI&dQeWX^aR3)Z|&u2{O{j5A$=U z(f39v)U^Q-d3Q!i{(74ZL(~%L*NC!&P9t(ch%2T0souFG^sV#@q%_EnbM7`dl-pTH7^;CA^NmM>JSt&` zE2USHJZ~Wz{!&A=^4v_m;aU`VVqMV5{>0~e(!xhzoR7#3L1z8RTkzgaU}uCVmcKQ` zl@i)ocwT5CXB~40Hq^I=aw@w#DPezO+~F`g-$yi`Cz*E)X(6&ypbthfojfPAowm#=9h5m28Xr|FNaY$mc|=wx2^Y$7 z;bOjVN06D{BI)GkDS1Hco*0Y3$;Jx<-?bD3b)1sI=teTh59GOg|%wX3v{4KDejRt()+Gi ztNW94Iad4!;cGsl={4CtPBS7e&+(MFF2!FxoTiiGHOt}7k(6Ghi|}ehD%Fugt) zN_CMNwTD=TG7W3QGDVFPS%4bnQz@=XvhJWZnq{i&ZOARUWWl&O%|et1Z^>FZpOhZX zh{xW^ikV44HV>gj(?LPPPWBBnDLp5|uB*IA3$2zLi-~}9Yai?((CW1)l|S=QsPie* z0p!om#^gjk%!X^}0?ihAGv(7?lcwuxkXq($?Ca0PhFDjLJ8(x_qltG?{Y9Wj=`$`& z4yALxnTPWcLEpTNUF1^Lv_L!NTblQf4Sz%V{qLbYuXRfB1d+Qb)aF!b!N+QA zq;yx-9VqP6&kuc7mFqs5o*&Y*la+|`<;o2qa2RK-JM{yI=5GCMt#J$4a0jyC8RUoS zP^ka@M;p6++r5n)rJo-r&mgtccI4sQm+@V8!QD6yI_KM_&LR4GOl=?D>t6XkHKysq zn!RhirdJbzyQR+A|J#O2=`G7T7uRCYTE@ef?nmVOUgFv-=K|1yW;9)%OHrp8kysk1 z^zTrziQrw=+CCz9v!g|JqP%mN+6OcqyY0P?yEgWkPfFM!7Bo0aufJr=dng?b|5xOE zNEiX0l@x4czVsoJ$73In`+|M?rQmwGo#3H5nHu!c{UxY;eqZn?%}v>U#Ttu+*e!K> z<{GouAiFEaR)Gk&3XrDIz_k!Lo@(LCw2g%4E}`xVsw1~`s7?k=(^iWUsif7yCU{ir z?+jNX)Rwmu0&VK337h;iH>^fz(_J%4BcbgX(rPhGce9z5kSIvz#dNP_?nDdPrrb#B zA?hLW>XGfWJgoU>8#`MA7J_{STZJ-teEx^@S|T94+q15H3N*S4O-SW_J23xgHqwl5 z6$;yVqU($Rb!}57pK3fS1kE}})9aRfH zW}V!crr#<--p%;y)H~+2VS}s^`~a5iFeKaBHv zeydkQZX@J9r28#Ib5=%*?so^8l>SQc4A+a+&Wt) z3(oh5^lEYO4p+C6CtOXTF3d>r1YR$C2+6}`0_15m#oEgjdD|f)BRRLzD~Mxxxr<^J%LY3QwNl%wQ97X$m3(>@L7L|b>&ss1A^`_9MVEp5n#wH zA3*fIq%hmn$$^U37E6i0^osexnnqbtZ|lW6TT#a)^0UktsL2Db^TXu1l=%2uHs~zW z?)tCRO9ZQwYk4|3BS_fEtCa>kKnFhM+vPxw^VO7h<_nzls}MAI%q#ca6lN7W*^tsA zj&yahW=IQ>qbT-g(5&L+M6-Q}UBpWg*Hq+sl4pFYI-f?P2mE~peB%Hy<1owbqMdxZ zcjWQ0s`GxD4P@UqHF$WxRh`G=)Nxry&-CrNmG zkSC=*$X6d#maWW3YN*x|?xJ$z2PDsrJcwT5QJvsx4i1`+-AbWORszHhI-}Vq(*QL- z%c-Wdg!&e34&gK+7iPryq;yo~TxiY>oOSLv*idATOjG!59&$=2FD9DP183cvS3}h@ z>vyFCjqW5*Y4H=HIaF7jK^7clpHcGXZ<9RZTk(4ol^dFfjpap#D}IZw4p;oP9v){x zhbw+9-+Fm?2j$baZ~SDg_}z4Vm&QvHbheO!z)`lO6vwPO!Ki+n%AKs0Ljko=ucdNh zw@?$w*jj6kOJvDV=Zg3{#ZHsb?HUK}a3HR(_XQKXcP)H%y3?fe&MdPdBFpOER*Pdo z$<~SQt?GKQ%qhJ;b1pQzFVs_RK$wxAR|6vF1i>YXF}R9=|{B-W=!015FAG$qX)sg%kz zcoMzW5lJij9eu~q~$B`y!)h)7lR=Ja}|6Y14BFP3?fP&#-JEcVwB zdncG<#o?jKew9GMIVD}RICfZE*9JZ!)r$*R9;^ZOU=}KBA+pMXPT^XtN-gU1Xq{f% zkFnpIfcGk6=v4HYlI1A~R%hW3GC;!7bYCdPIyo)S===qF-2$11^U=4xawv~W-zN%v z5Rol3dW67X_PI_Ggeaj-4Zf=VLG@J&5h<_I$1fhEqOV?OLz9x>2q?h-!xqhE|HmAu3N>ChSMGgU5`kSz}P7)hmXoOfdnR zYb^?Appe;+S|0mS17vcV$}zG$B^^L#y0251XM|f{-v}uv@-ao~*i%}diq0flkZL`Q zxFTj87W>cbra}%P&Y#w&h|Bfr{bq-rxbsvVk-c%7 z52Mj0TgZcJI)Pq6W69UXb$jLvQj>5^LMk?y=DP#XaHv6$xT37zn~95&AM!3nyQ+k( zrpU`cAca}%^;w`|)wfq78qEtS6zWPp%&OX`3~`}1TGQyeZ-i9M-fY#qiN<;0YN<0m ziC92ST2ux-Dx_tjfOXb2qM6N^vx->+>a)8^}JZ6H=*_Eq3t$G#qLW z1XUcgbWO+Wt53~53LkyZKlti*vp`NWBJ8UV<@OIq&3l*6p&YUA%(gPKuOgK_p!r5s znP;o+NHiY8_f?fgCB3_dN5_m?4)$-^T{Zz20k-un*!2SM^-^8A^!VEfF@_Z?zyLPsj9 za&EfJZcB$lTwVm6Lo~37vgH`6ohK|#JH@jg?Mn7%v>g{Vvj2tE`PW@ ztlThjUY_{GbxkR~JJ9I9E2QaHs%C-7{6_L;yi@Fl(JbS)dNt%O;=KAC=iH{?M^x4} z1t)TUem4lLp)>nx!!~wUzD36O1~I>(hE$$By=s{!`}+m84Q&-ci;UmLYAtlv%}KRb zEwgz75J+IY5pz>YoZp=Xu9m+T!8@vsPK(B$)-1;;0 zn0bW9h+Z-PpX=4mGar|)v+`VEmY)$Hr0I-kkfuyy{5?&%C+^R2ot1pCLd9rS_*87B zDG$=i>1IAIw|w2oG~M;9M#MS3gQ;%{c+`5e*v}(`-`_c5;X-7g_)7#A6q3xDV}I5o zQTGEDFTsrSqcq!o3dMeN36$gN^IlSABI7(C#eOE1&g8>rxHrn*n>gXlsKsxbiC&G* zb%dNl2+MpKX?iSaaUt>HG<`%D1v;6@a~j$CJhJoeD0Y6klYQfxB;h3#`@hJ}Jh#PP zb9k0Id#lAuD6So}W6;u^u3aGH5}?pscX+6p-9S3|BZb;7(C8OYiRQU9Tlz|p$7S)@ zMXE{Z>>KB6x5Vizb$I8}_o!qCz@NxV%yPLzWu3e`3CV>pWn0(ANz}2)kDWtN6k}S{5oFMbzx1vP0AsW29A_lzerQ2;Zo~w#JOUe^;&e* zf|)$W>a>Srh8(WlwibD1HIZ*L3sZjQ^&5BQ`T}_sp>&_y;6EwxuKsaX$&U6i74aRj zA*IQ4K;SI$>j8s?mTM4Dc!)HRKL1ENKK<+pk@i>*(gK1Q{}VN{O2 zT!$2$mGSAnt9DCsh~JLIzAwdo7U@+Dhi938OMJ9B7Ubc1qOTJT#-sc&E#mrTeeb3k zgf*H+NkT5`wsy99wNO=&nUPiIe3yfzo|`N(wARnfw-{CqfWNw^qa=E7^vp=7nUgM(~&g<3vW!SLwc}9lw zdgf`f;;Sndi{9_7@Tu5LGrv#XydIBTJgXAO^x_uCB1E%=B5k=E`}o6^MXh}Xsdoll z$>Yg^^y=3F@%{b0Cej)8Gx{Oh`Fi3r$O^8HlcuMU7QFW9w-nb4$j*Avfyg+ ztWrUS@EW|mDW~w>hVh;KPFAZnB{a@|qFiaXiD3V)A-$ePGwFvD&0*vTFQ(Z4M)o^Eo)!@DR~PTtDcg=uB%71U-Mu8ggH zl~;=mSH{-%;WCq3GJ~v)-J0sSL$#c5+gdNx`oa&CEnS~|d$y((T%YwIdbJXXca6T0 zVy^<_P#>qV?i&@6agO@{>$eh=rq$wtz^9X+QS9qcJ7G79i&t85UGQNF72B&K@21%I zq4w%qiO;*J7S>`cl>Gco#5;*|#W>q}&LeGlMR_X4^--zgI8=?)TrAYI_&8CreOV+_HiaH6Iae>@;52 zZ`Xz0LtMIwGKA{)#bJ*JflaUX6Q83f?_5rt_a)>p;xj+d>`CoRUe~=CwT%}bKJO=l z_y0MKXx>Mh@usaGW)0SY=Y@A5&cAXddNn@l6U}=nCo`ITsV%xAN!WkiW{=MJ9OAcQ zv2*GSvZnn6l9_wQ=MoL}2k^t>;g;Salzr=RJ!mSIxe$8R@6{9_8m`y~I4e4a}rZtZ-S zVt)?B^;sG0NT^hln)2sw;G z#StnIB?+AOA%tznZ%*Arq1c9}kc4|vDD1K6WR`;L;oA@m+weO?!@6tH65^WbQR~%W z-->8>{RXGSizw8>#F_QtlI;fK!!%V>G|S8@?zpv6iIYRc7IjVG*0`$z%{~>7`P@Y^ z53<5PzJnk#zCFQiqn;-Bmlv&sHZA6?%={+BL|J3V*^=5)`GeHT-KxsE)AHxaS+a+Z z54oH21@pmXqbQJmMT7!7WXK~`tIg+|&rb+~S- z#L21iD7AZ;=4k32?_X60rq>{Ewys2cUa?$>&G?+8lgEx3!tb#@nZ{sw#{lPntMOTc zawWg-`QgW6?0=%SGMV!rHCm9%=iG^EEf4z+ zbqY?No##c)%Tp`myTiUi&t0aKm#gfaOVMEoS?4dd&+Do0P}|o2bI;{-)cez=#G$Ru zaq&1C(=1Bud8XNAfVf^cu90>gG2__Lg2kjE#?t<%CuSygQi(=-RW5_evTctP zcEkD8tS4586g}Unk6=gB>|X7SLcW(NP-rkJZ8SYTna214!Kq2#3u!L|xQ@TnCne;o zGYwV{bz|vyr_y+OniNQt+j=2^m(2KPmS6oW^926vvCNNalz6f*_zA014od~a7piYJ|%X+GY&y0_h~9$9=>AL-!|{7|2` zT$Z2VdxOa3+;>;{A$LnPOR>qd3{r0H@CTG@@Eu!W?pnilrsWTidr)s1a-UW{99m}1 zSf(wP-QTBI{@tES*WdKYUwA)C*B9!E|27Yge>ia<1|2@HN_|WcASSenj1IJD8?-3+ z;sgh6Mr2wbcm)(;6s$NXP~}~hL5H2E+X4i?^^^v&XeT?j06kuCDeV&6q2d88{uk+i zLVA=GrQI~6b|zv0AMk^mc2~!3{6J&z_0tfqSR-y*0S`Ld;Vo2L&+hTXKb%YGPrs53 zpI~kx z^tcbomTxtk{J3F-qg8KQ;YFqgtg-4WS)-SF%7s&JP@RSxN?Kt3&YZL)yklkk!S%{9 zFTvf5^&A96YA)B;qlUS;U%55Dk_0L7J+(5f7mlUV%;sj)eDF5wk$4F;Gd?)vV0m|F zhiTaX9=fjn;EK_cQr68(Ej$_ag+!}n$u4Rno0%GMG9;VX8gXur0p$qJ(kh!-E&6l7 zsu(;$>$~{QpnXEf0NU|!fQR6GqZW;&&(MFy0JBcBi)MPW6E5?vV=|*$|7ovhWc}jO zCzw?luQ5F3(8n!l1QZlA>f<7fFg*zR@pC}`1RTcK*M$tT#sPMJwf^8*dKTL zRd!Wd0c~f<7Su<@i`K~@r`IF)GypIBf4QFa4|fO$PZo`V65kE2+-1V$K8y2_Y+BjFZV!`9hxzS zlT=(s&C>9+jrD|O=0ur>_;I3~h78{Rv~dQ1dX#DrpBDL_k;7v2z|s=omevztfEL=* zG@+1YHhzGAphddR8tU?w(gl1GKh75QC#qB>S%0)YhgnBwCbs^xn5TstW%kSISmVi( z*JErg**~_Kp`97sW`=Kn$z}$ftk~vWzWpWZkIsxGXPvgc4E@oWiLF0}{%C)`{b~E7 z{W2Z&8%2m*%JM9J3kFV>7x-4@G+8JVsATecXK!KRp~F zeyA<#a%Zo_Ayz#5sdB*;du$^RBpK<+1Qe-;tFI}%NdFrhXg{TV%lc1SNeLWh+e@AM zp26}sf1>(A-UoVDNAWEepy)Fg9qwM0(RD!93k~!tZQA1c@*awRIBj&t?|P4VA@J`f zIYj?BODzOi+<^;vT^1)$%pwA{b-^CX#flgXWC1-(h?nOO#t%GjV#W=$kB#Yx)V^9z z_{WU=&i%&X#NDj>mP@w3`W7UEjV#aMN&j?NMIWKhe5cyAq{~Vf9qplRqx}^vHiDKG z0@AOhak}1R?d`Gp1n4e38-dSc=?&hW)Du_4XnNyL1?yP@Hn8VF&(*Wg9H}(0!Vx|1 z0wrjU$yV5{u}y#U)=c}nFM)9 z7o4R3L9_LIv4yYa*kNo# zOFpr^WajJbSuK-~&UL7@$h~HLEay|(8`V*?;qf0Uk4yf?e@KBAb3wVqjF6~V2cd-* zD7&%Ry_6N`d7uO-Z5hy_0RjGqwb0@T(9(j$9ePNRVdi@_D7^~zwX%GeAu6qpnDD-JA6X*G(JR9TY+zXvMJ}3}_xv4nP;}p+Iort-vDtrxhvO zKdoF5!e*HvA42>v^Lb;#T}gYit0_g{a8pKi@1Y*&!FpGEZ0HXl7KAJ-wr3O)sl1Ga zgm2D$r3HF^kgWB}x2pkw9@V7b(%GKV>$kh@M+T&YbzYxiYv+~m6&}c7?~Z$lpqwaP zfOfW}HJ)29)+z0o%d@QNi6_VAwZF$K-+#QP(0m3&qC|}F(zRs^j}hcaGlzqoa-Uk z>Pmyy`s+6H^|R|XJNwm$6S{m(ZU1=C1!?znO&45?$KdXbdg6-m+|nC*uRIB92)@(r zy^PN{hI~u94ee{*yp*~$y!zHLug2X5dgA(v23;ki6G;o7Tw=O;XkIDf-;%9!+?dUv z+pvuH(h@ZV+812E&hGjOo_&<260Vnyr55hSQ^vRcIUKjLGIls7XYHclc%%6Pay`x` z^2jYp7=Mp&YE$lvr|y_{%bnT|!}8biMgKnFyqm`JVqXJ(i82Z!PNk5b%r7id<^*BQ}*9^Xtum@?V}v>nI8bDU4rN5yxsTE@~5&z`R*t{B-bW2oaF z*@qOc(eirY|0a4CzrtJZKwmnB;tpe+NdIf~YP3Mt)e~s32GF(i#1(NAT2DPZDd@lE zavS+NE40CjR@f~SdZUM8X@m5+NqN3~<6#WBqTSbfZCuS711!Y=z ztEc^(s>>k*W~t$6Z8^sCM4&~PHz@5p)MlWa-rNr?3BLW`KWyiToc3gOI`CEVX8VEz zPDb6nWj+~n{n7p$W(J+Td}lqjnc*;5v@>Jh*++}gVnzMIwbVSgo3*(@aF~oa$l1Yn z>R5h5Y;79@c@yYH`8p$7q~!F+oZCL-oSrjIV~?_^*P?wf7{g~o^GpV z{RW|3F4Ior{0ih;HYnt7eVxb1K(o<+w8MO1EhhLS4&=AVTKmxY`kOQ+q}0!v zQf`fm&J68D`a|tIGb6+FXyIcx7gkNZ#e{ec)F1oa0G@s(x3bW3!qYF~rxy-SKT@^9 zJZyP7b72o?IPV+cJ*av5@euDIVZGh>VGWD_SP^Oe+!8?B)+E}*PHP@H`)SeQf()DJ zS!9@feP?KVQMSXJlmTlH;iuEuDa3JMb;f5JS8J z2Fjl(7hL`A%|GaUd3$r){Pflw9zA4}7-5#s6W28qg}a}vXL-7!{>Rg;^3y}`uqPR$ z`-*xs!u&~}n$H3SN1zz#Ewosr476Q0=KBQWO~yjIaHa*{f=-`rJ8OpiBw}m%f(B?A ze}cRiVFTK}Dd{6O=wlZd9?P`t3c+6~h4BC%_>09ptvkeubN$TCY5n^KT`Hr0Z&2*E1={)5|1Bk9 z^%L}*x2+EA{9n$~_~wu0X`o-w6Ib(X_+yVY`>&Nvj-r(h>m1(LY_DvyuQlk=8Qr}v zx@&`er!Va-8C`XZ7Sj0Iigu;DucB%5kms!aB?xkS$^RIIhvr6$e>lOR*y{f>`ro~) zir1t0Vz$*s_1^d)QS6Ih5O3O69&#}2%=>mgI{Q0PGt=srtk%r*_`)A?qHTkmubHOT ze)*&-QTkAaYI%sKXhk5mVaEdthp-3br{IC72y6KePY=sa(f_h?4XA>>ehJ!Pg%+(R z1a9Xif#8H}_78WM2}f+Rv;Bpd*-BuW)3RAR+X`iT~}mJz9J~OML)3 z)N?@5S}c4~9{_Dza6phEcHj;ttU9;Yq$go6gD0|03QNHj;E%SwX^uM_(7u1&+uG0T z>9+Rf7BKkXjWk@_aYD@J0&RCB+c2YNjin)Iw$A8J$56=o=A2qTZcyI43AEd14nJsU z-CF>6?a4Xjc82pu8O1kSiblw* z;EP^nLD2&Riv4g4dR0cZXi$v3fp)J3J$w@QLO=KqtTV6FHOO-)yS#7u4ppMOWsB}7 zo_-U>eJ__oH-E2hBKuk3>tFVnNWLhcHc{r#;`gdU@!#VVDV~nBE6)&WT6b1TL0+6Q z4hITQK2u}I6(v_$4fCS>^qQV*PP=JF&u-8+WV9{M`p=xqoqS=}#Z@PyM%&x@fSLv< zMxM;7phbHEHnH^Ze`{v+`Z4R^IWz{vuN!o|jQ(Z}1f!F?dg9uy z)_z?^&uD13%jn5tDANDAde^2u(8=iE&pKad>hHys`~`F^J#oEZOgG$l%d0KF+&ZT> zw9n5R<^{g6`md?jEvLmXV<`lU=R`>bFTy#eMvNxE+?stFBBsMMMRC1u zEM;+rdJxw#CWbrDr{#-LK)r`_{1^xLM*mW5-X9@?ZbL1sjWsXX0iL?N{@~iS*fvoF z=s9Eli@O~&dP0NlmeFIz(EjD%?DZ1J>-+Q!l8-meTAV+o1vu=K(P2Ke*8U@7)yqb%UJy`G?*-uOG7 z<6gA}EWMbXW-Iv2&Z-#D`+K%>O%GGVYzSoLNA8!PJ#m|c*3p*3@e|A! zxdJEr0y$%l*$fYZcG{p}z#rlKEi{`^aCE60dqI)rQazkdY*bqDQ~&{L&)7S?J*7Qt1>!~eBtXa&{&W^=C1h~NY=jxH#dyW`ddlvgh8FYRDc9@wmYEZtzGOF|p zW@fg#e;L)kUE=cu?aBk6CpfLChgRuZM$J0k)iU4KCueP}ciKKV>wI52Np_eF`yvl5 zlIwZ&sjQU?6g59idwJ#7Imc@1|xKM`UU*-TF40dY3(H<>|^=q!M&&6TK@0+ z6!F=2x#s@(KG*2CU(j#=Mn8Y&a8~r2nfUfmX<*wW^t6Alr;oPGXcaGLv1ZLVlrm*r zF*Gled>5GM(IS(>$6#M8_1xpEZH_zG-+pn2`VrR~ z^+f2q^}f8ti8a9W(+Bk4av#ts`ROhC-%3fK>t^&m{SS_qzqe8uPgl|t*EM>m$Qk;- zNVB~Dub-aZJY6r2^S%D`X)RG5MlpZ2b^v;0(St(5)ps*}Z zjQ1AwLA?Wtv8ICho0^!-D(It`7V;F-YoKZ!i+}%Cdjm!Ov}ZtlMQr)z6j0<{=71+a`@nlTI(E~tG>^^x0Y$q2D7>YhCuOv(X911-+v7Q1py!iv4xL_m2y{4M3#2S)4FTG=oq&L5 zG5x{y-Z5I-EuWtbvMzmtOxu492`ze)0em;kwC6YIW*KeA<+sV`nPaq&c^kb0r@{f~ zluUbGL;ISHp4*`Q9?+=`%5NEf?+%#*zSdkU>7%GWYf#^p>N{g7^(Ro2$O@`ig*kO;-#sTX6?1F-3 zUg<|c1IYV#{Xx3T3;jOGd$s;p>5e;SQF3pam#u99s%cTW4W6O?2pZIHWhK_iIVAE% z@qXX$^_B+pdC}V%6f60__m>U2VMg0I9iQnryP@4G)BdnQpPSLPJ|4wf#o?&6*3~P39@o)`fUa8nL!^@U)nbeNh@?E&v<` znH|T-^PHqbErQVn$c?df^C+P6>k0Hf4LYaC+94Un%;pt&k+#d}53X%rdU-{Fww~(i z$gdf*I%qs^{-Qy>pVhW9H_m+996RXPHey>QbC?(8g&z#Z;?0wu=wtEl1(XlSS@@3? z)1^E^Jq+|!4T>BEbkhdK$OY4)z66S1SmBGD3bc*wii~1>uF#^i2kqbWsr|#94!`$i zrAs{o6n!$3bY;v2D9Q(*cwe}1cx6UQ`vJ75^>D?yheG?8EZHIr>U97{oC__+RKNi- z78LRVg{=!Zn$ZOtRKXrC<_`+(KlBbIO(~#XIo%(G_%>a{tx)~g+DN0R4;5h#~zX5?*4a4!kkaqHEy9ng0u zxqH=&mN5XN*Kl6e{B}5xY<3v>!@TJa%jMiXd+OMUn{k^L-^f)Js8PC z&C|9C##8Q;v0d=?ud1!-d-*$F+A&++d|lq+1V#Kp{2|R#|H?a7FyO&G2-=euVe9uR@zlbir-r8= z&GACdvRBPePuOPW(({W=ntU>(=YGx8{ln8X?5Fb6!P4`99%oNa-AZKVIOLqZkV5fD^9EkDq-K8pWdn% zp4vM?F7poI>0NqX!n#!V<*+w3Pf=S!_B9j*=hO8pVOP}u^;5h_T%KZVr+#{F^Yl64 z=>^TxrSsFRa=ZsMPcg3o&i?dEy{E`IK=GCxuA69B%TIVRsx=QZm|suxE}&iyJ+(o7 z6-&Ej1}ka6p_IVjfOMK!zIao<$lz_NXErF-n1U}fDYV{(!g`~E{xj1ewF-)Q5*!w5 z(1$aM7FnV7mfKSr6l;6H!T7pAEujdc8s-65Va03>&mnVb9zZ(2P=AoZ*EBQzFH$!K zdVPbgnbDgY^!XXRy+K#W=-myvLPqav&}A}ue}gWW(FYrJ@r*vwpo?Y{JBgSF_k1*? z|7_3&GWwqeoiC%<+@o;76c6k$UxWT9+iZab{bxoO>Y<)9?$`V>%o%Qt71fro#@wDW zG}(LaTfvL0PYeVn$~~Z{4@y~yx&kQ5;(|g`pz3H93R?hGutJ^okp@L;2ekcVXxCZ7 zPgw@k3P7#x;98(w0g95p$oq_pV*Oh|ZEak=(;q@&cQ9Q4TTi3Koxgc$Ywi$RjeY{8 zJu3qBcalEUpr6yYTfX3Opynx{{p~PJi`kJD@LQZdhN4N*OOyRIfo$z0o_;4}sznQ}`@pc(ZGHwHFV-2< zzVOgz=nt;9joBA><5`JdJv^ivIQYEKEe+rPE7#V|VWu{;8)vkgU+{HC=Qp&k$+YJ- z=xZ~2USG7GS$adJJ*T1FG^71#+xIN}jrJqQIKUR~(>vJX^akBB^L;TM^-2uTUX^R8 z!WXEog|yx8fZ)73)BddC>$7HSH+-+jv_&^?aNW*sIJnJz-k_T)Jy@1in&80mO+a1V zRtuNxhYerfD{b{g-aE3qE$y4K4DH(x3yU)!MPWOU^Qy)&cjPG`4dboqw%o{T=PL2t|Gh7EdAMwe;O-)D5G2K{43 zpWUDjWOV%o{dGoHYS6ngx=w>$lhLO)=wCCsc!T~qqYE~uuY7OUxI6mhhSv8~8)P-R zubtnf;ox6N8)Th*e;F`#gOo2d1AGQG1JE*d0~BLIKruR2eCKx=U8O;9&*&NrirIf~ zz!+KKfbl}0DBlbE>x{mlK`+VZ#tnLYMp4of4rgW*rAI+gS|GNa8}$1b-LpZzmC^S$ z=+`p(!3O3`j}GnIv%^G>*|~ku zmqgh*NV^(kDQHoOmh#MZo9N%J{!L$6l+K02b2HzY8`NWKIiNI#4DC82ZzGnr9B8)4 zwC%eI8)Wp{CN}3#T8`l0Eyt-1?Q1iKwuQHCMp5b)-F(IO(7u*#L;KD<{)=&qXR0@& ztoZksR|9>qoHHcSG>tmatrp*1$tS7ZkW*v8r1VosP))qOJW`%Nn=uW%=6zw~ko{99GS=*EJ}5Xn5N4T{EL?`S9|Lwk-v3 zm-KIIT;Igje+~BfneQ(eS|8bKOD;#zyDRy^$AZe(6?F2F3%$ld>tk0xZO|3746Pm9 zzE1_aUYUzO|J3mpT-$b(k7f3^Lu+*(4Q*p{w12yA5UaZkrM`fi8|aDa)J9{sL)%jD z(Yi7|iI8sJ(;5zM%zRsP<&3tv4J~PDFtnuEVfOhX+EGiX-=Z!kHN)K9y7F=Gwv@xy z43Ha7XmTOOw}4_~tCUf@XLQ)+GV2UiC72!5NwSw9KMYrhm{oX%2y&FSx%!VO+&N}R z(0Z-c*4^ITY1=U4X-BpDIig>PSlfEu+fi+M$7}Sdjl3IW4*lC)KWk|Fmqy!V4sH9v zXFc1}XqQZTY{R#|b^7;Bz1`Q2F>RRnwmsG<89lFw%}1WvHlnv-e$>!yB)_k06js^7KQZ7>JP5{t#jiT2hgmpC$4Qt;Qg$&{p)S} zTN)1DUTm(ihp z&7=CKMVp7bq&;%XOW@7J^(B_8eNLjZpkW=a$%gAo%+K0&E5r3AW|cX;z67(lhK zf4IYe>tDzC;_g3shq|kbi2)t1*)v-W%Ty~h&Qza(mki6)|7Y*&gY_<|b7>$kk{Dt@ zNdP5;kdQA0DpMF^ZXggWUpiWsC33U6xsaX64u5T0@+qiJOZ&cJ=w}4|qM@n3wPpQ*Nat@&I-d|Ulr*H*eJ}VIEuMDphGR+_Y}7Yd^I}yn;|;67)#j8ZsrMKcR>q_>u3AMybw~?K z?i?~+uF5s#Tjj9W^h{@kGaj)@XMsuQ9%)0Ib=Oq_MrQO1ZOXSw@@$i&k62;dQod@9 z)PiH~15(n}2Wp?roThxMtoDcgO;=vywZ$IO9bHAFjk-f@)NsVTLT|YSxHps+b9nQL zR4@y+qa_`noK^FcL7?5nD}xqe^r7Yp`7#c=8-H?tSl824@VMvYD!4{Ua=!1TR?S1c z^tMyJ)wT|oe04OFQI%EN)KpzJ{b12c=%%963{6Q^>G-M!WR;SvQlYoqD^@OJrmJ*l z?RCC{(JbEkL!(lXDl;9oq$I0!7$2opnP@z-x3@`hsC|2SVwlDCSY>`|r4AxY|%WG>^F5DhOwdQD>JSlo-x!oUB)1v1YNhI5 zCtj+olm0cf@72jN(FJNK+lk((^e3h%o!d#(dA2Qb?A%U1UrOP2;PSS1qGkL-TWV)r z2WQvjFWR$g32G(CnW@@7KV{FBua@m{&O_Nw^nEG8tP@matrKe3UzN(hZ>(k2U$C_q zk6-l?T@$2(@9JSgI_H-UP@kW`f4dit5572+gmvM$WnGM9U7#M|gFx!|<}EE7%m02; z(fcix=gC}CC~GP43{%&X-)M1o_VrT{d+n)b7-v5FGf6$IE%jMR?P*K>xum|YE%g_Y zy1-IVUVLsZpQ?j+qjN14J$d!-fQ~I6e6K4XJoCdspe?oTA7v`@ z7#w2%(Dv>%Dk$4{Mn<+@BXRS`{1eXXiL3QQgKpW z|7Y)()G2ML_e$#FZK=JI`nI;z?=`7>lS3`_)bd8vejsIvz2BQ!v_Q8TifGkZyFl4n zj~0|3INr%=i5@KIR^vOdL0OCV4^L+sf)uFJ*@pVJk~eA_f^9gv{6R~3usDvj1pqyv zG#Q|)1$~#H4+)A>+d=z?ptB8qRM6uM{fVG641G+{>4vTsbef@kf=)GbqoD6JbhDsG z8TyoJZaYwbS|j*QG)I?^dLcZ7&=DK?S_sO^kqX27Idqj z;~SLr_vvDl)Bcv~X?mfwztDxf$%mO^Ud6WZ?H&lmSw-D{?G-`cj{wEYr_+uzR5`ra zQ1~)VTbfSe5i0Fa=Z3b44}7lv^J1HuGBI_UrP4D)s+aUoD=B?&6yeX9fPuuyPyu||J@b7}Y*HB&Yn{VhnLVJdx%D2bRe-&D;jeI9W z?-F#eap)D4xvdiP9HWgn+$OYKJ6&I%aebIkXrLE22<^uU0`&S8iW*&NwErR~W`RKK zZw%VA42^Fl@)b1Da;^81dn<*UnjKfV*vP+DEpMwd$jC-0U9G)-^-w+NL8i%7>wD^Hr zqTq|_Ek^4KbD(F5H+!d{a|C_F&|?LC+|V-x<*iGz1bx(K^%f)E#k5Fh?={+)g7StY z<b%}-ih-ZOQI(EgWk zI84x=8+wGGPa8T>(EsUJ20h8*S)-jG99}T=1VMSvR9v$0Ld)By-X-XdjqfBuc_)=} zfS(3UEsxk=Xmx1-4T@Pvx9cw(I$ltmdjk%W1byDn$%10k1lpqn-E8PILDw6K-rCFX zn4z--U1KPG1E+;Qg4|9L^Z`S$D&w@6=LGGkg8ql0=);{BCmVwHbV2Vm6uqj`-fbv) zYe&&%g9B`~qp;^du{+q&TMdQ%aTK-*v=<3_v!TB)=-(OoF+p!M^in~;Zs<}$zh>ws z1^ud_eF<&N;~Ht>heJmBSl-4ieT9 zz4VKzzl|Jn4!!Eb-ZFrKE=a`h1 z9N?LHZpRsi9|+2Mqj;ulozPMa@K>F0%z^uC;Db6X{8PNeK<1--W&}@i#^pZYyU6(Z zTm@*)F;wS`$ie3yK+Aa;ox3{QIB0H+<@Z?$aNtY>{A+*X&a|2v%kVeC;bbdA>SWcO z)X6G`)X6FbwS8$Pt5j&^R7>_{JNXf_lRlpctK99bDR=TA6*Xs4DBzT^Ai)(9;m zqnXA*bE9X2)4M&lQ;b%<70kS$WNI~-n|e%qi(Q4@`Hm{NfI}?FxOZADC8N|;l9>Gi zUtMWiU})?y#c!-$5Z9gJbv@nD$lTQD;;Iqm{ag-Q0r7Pal%8u6zET17ckL~15e}Ca zxv}9{Rc1Yf4z%6WF?A>Qigy++UWU=7oZzdq$urwxPghGu zZ&3X{%*2AP`l+OiEx6VYIVj5Yw^brvqPGc3>#4pcz4)w)T0>bERSx@eyFlMP(=5Th z>?vq3&3^ttu^K0s<)#8a~1Rnv_EDqt+ZJCgVa5G0sm1BBcmnM2aQ;w zhc^%{QGb$}s{SPXzE~gB_8nMi5%N&~jQY?`&C|P5eOPEx|2NSR`e)sEc%5}8)~Ihu zZuI41|6!Y z9B3c34RJnIJxA8O>KUQ{pncjd<{PTE+Hge7g@mqvV1&zCm*PctL<(FX(X3oW?budRFUV|IjmdgG)^(z25|y5u>%$ zvdw42Xl)l6Fe zmpOX7q39_cz12|6m^qp|B5Hq`+E?X}`*ErqzHF@}_v2IxgP0Vz8W=Oc?)W$Wc0G54 zRo(fzNoOfU*6QEBW@zpPtGa{LT9?imlg=DLv7!P!OYN(2_?SrNMw8B^f{v{A{X@wc zZC}@ihHjngiSmxFCDSZ7T@mBTmBvy*lTb2U0hwW_(vpM5)*WZG@fvHq+NV(llmqOg zzXfJQP%`DfIHov8YanZCx=yzLMcEGbnp)~))!)>~DhG_hymg;vty`lMQzxseXqD4W zR(aefy2E%FpT$N$!MLlt2SA%TS>>C3ca?*$mO!Iuqf|>6otHXUWu`tNEm!)Jk>%Q# zcWq_gU2B{zAp7p91&nOp&Al*#+ewH%;G^cJ4xR%?tiv_-S4%tH%A2u^Rr~g2>>~GP z#eS-K6{KAu-s=*p&EY7?I)EdS3_1qm8^;z`V;yPB8H5Av|ZBobVopcU_{YBPA)&=Yh z?V0XY${oyAJ90O9l|$O7Du-+r*)IC8GmLDz=sX7!pTe?Me1gI3d{qCJzFd5wh|bmx zW<_@({gC>!3(eEjov@q{P(O;}SbaCH6sII>D^E$9wJVzCYm1%xfYiwvMRv{T5<8>#2OUuxR$bd;#oR zccg#56a)D(_39=S48WOph$HsZ??@kCQt%8=kS~+6UTtN4)lxSV3eWGDg5p`G>RrsA zv(hm2DkF#3A7=fv}em#ztW_Jpr%tpAcgCSYCs>b-?;t)ijfo0 zZuSu8e&cEzu!{;Z^9UM965|uf*R5g7x5{kr`?(?8gUaXrB42#-rtS^pf4|B7#-iQ* zQ#X~=nlsR|>{-SuTwO3v{jIjtYbBM>t|=%wfS5B=pOk#hG|o)@siZEpRB*-T+vVe> zI=3x#Ws?dG!yBE`FSY6&&;mA6zzzxLggyzW>&gfDAokoP_fdO>sdbKqQa`UPbzS-2 zIkOae7IosOe0!!oE2-}_&QDsY>e+P6_rkW+I)Y>B2ij7#%!}GmwZ<^Ufi(K-k(W93 zDD{Y17V8mOAX?EC<%4$imd54cGic%M+U0F6wJWuYn$lUjE-QKjEyL_@weR@vm7;6- z*7fr3hU)zB+(HN1cotmuOU*LcINl@X@K`Bf^>dKRamFE@Y14g8?1gJz!uG^{SoaCv zGmNitU{sLKqcbXgOL@jiHpwLUPr{e+2=LmRmM37|C1@PAvdBtybBh*lvb6jMdBo?5 z+%B|?pSVNN_Z#0k1!WoZJhC5I&7X)Y8=n+qc+gOfoB?`%`G9O7WzZXUd6RE^Z*cs^ z?jxfNuZ=PX%2*-2MVI&c>Kn5Rac&-i^!j{xJMXO`U-3yOqxC2opgestuH*QIU_U(-9Dc|6#?pyvbCzh| zqo#e|ly_r$`$s{4+j5IF^?2dC*7$mKD$2{4)%Y#q+~PW(A-Vm;a?>*KMq_RB7uj3H zWtb{_HyGdLB8Mf$;qL{#*w9##?-afpjj!j1!Jd&u7HNI{=8RPTo7 zUDk7j_F<#dTcDpZG=8`EPVbn*e97(m##g1o8d45FHCjF8>1T$XDtzw`%gejB-zK!Y zpZYfiWw+dh0aIIRxI)01L{<33k zswLE4y*ZnAZyzFjc`LYT38g+xXkW52Oc0c}f@=$){vIr}Ta82fZgIWE98{9qiam#= zZA^9JpW#;l8x$h}x3r}|4T=$xqgZ?(lLN(R|Bl{b=n_Gf z8+w_b*BJU|f?i?hp9{Lo(5$z;tKL?X3WJtlPR6z5mm#{b(4l@Y!ggAYupciP;OKOt zeMnG_u;X#}YN6$bbs*#JT_{+~YK$uUP}DeTWrxs?Hd-wiqpN$RWDCoa;ER^)xp5@? zT|r6fqZvHOF~dDV%aOazDJf^^5~KC;8&Hn3;!(bj z89>VsijNt9@+J}=XZ1&Kkdk#iEj;_-}kJTt9*EMvsundvw;o!e#^ux{!-Bzx1ie;Y{88_!p3pT)Ut?X%7_ zDcvU}V-FdZEbiyyzFW2LG|NqA-8o+!_s2db2J3dAanN_`+#hSt%b8R46gaPZlgQx| z%PqDgs(tk3RFd>6)Vms6a>3iE99TnIn^TNd%gZE#_++XPU?O4lAdl5{;pxynal;KpP)p6E= zMyq@3G4}xuY99_aT5Ykht)_+XbsX^HYLu_S0HsbUUyhPuo2oX7wpw%J_-%#AVTrxl zazQzc-IMjkeX!d5&$IGsZN`1TkVU1tq){=1`RTk`VG7h9uj7z-UtuX=_2U6P^VUq-s>g zfmAtU97vVJ;Nn0K<#CqvU!%)+wcbDx>46^Y)t1K(^bkY03Od2i7g{Ku+@4-$BSCun zKA#HdymmenDCbkt%SkvHkIi&R;}_vq0UH#)W%W0KX0&mYQ$`zCIb@W0l|x2} zS2^^V)xZk3TMevk!NMSZ#nF|9W^{j*&Nz|IOD3J%l~JX0nMh|K?d_KGB>Jv+EmR{u zGGf2VYN5$YSF0KE5l8(EByLb69T?NlTXf#-!|7IrI6_d@yDu@?IGQD1NAD&EE+h7< zK5%DNYrW^>5%(Hs+=`yHMK9bufIi%V|~*0RV`sukH+!OvYtVEryfJ&7;lZ? zq?JqCSLK{NZCGb^Xgu? z-9HD8_nySN?KJ+EI|;XxviNwD5!yP2qz@jCTjG(Wj$`Sy`AJ-uji9#@&q`zs)poHb zYbf3WGOEl`p#D^&avxx=ubv_qNGJBIQ)X2<*ULOt%B)I-`_yg_>BQ$?q|8!g{bxqF z7p9vz-PE(HJHsV2dROYh9AN$CKzfF5cFl5EeXm#z?pRg-fu}U>KPBTjj!Ng<)=Lky z95gpXj^Hz~)Xah9yBx07cuw^mFvL(I^)E|E2~x2>?Dydy1t{W8;7?pt{`j3*yeo*Q z*Mg*V{|;~IVrsoLi>3MFQVei@(KzFNEPwV(RvN@sgXuEi%v;cys`-A}I5SoA?SETW z!@0>fr2y#A zuxHCxt3~WTQebW0RbC&zvAd!ELapuI?gnr`VmgYUQpi`NWvHsU1X z;429z=iDL(@YP)ioOke?Oyt2sI z`SNTU-51BW#wOK_MhD9=^aNL-#AJWFUF?Z_eCIrnzq@QiVY-$Ku5=LzfasnhXW z#3zd%CS`ce$`GH2t!K3J^msjWn&(!>@1|$8KW@2Ak}~kz>iFICjCP(LA4ihM@1|$8 z^Yr+bgG!R;g2yLR$8Vv#Cb%y^PpIBza?srZ6N+L&H`S+y?+vt@anSD5{<`HB_l4f; zp+rX-tww@zmt8mW>ZcVh;N-Rs|M6xAx2Zt6(@#(Q!2U|4-rce=_>U(pdG7?2y;IEB ztr2Kxjr?Q|pzKAKOKzQKnPW-*e_jQ0>pb2b9N(gN>8%tQKn~Q0^j2ycN^hlF+Vobc zZxr|F>8(`h?9bi`tmmTgK`*7_ERJqto9aC;IOLeO_Cq=5t#ZgQZWmo*YZZYnAaBeK2D^9gPp3!~X#Q0RR7Z0c=z~XcSQpo|hQSCAs{^ zocT|TiCD#Oh?Qis`%a(P-M6fJh9LR`3$c*IMlm2-2zEv+qF^I7c3vQbB8mYaS}RB} zjRe%jLLrS};mq6Hy}dOHbMM}pZ)U!kd2hyH4@?1oI#z!K7wAR_grj_}EN&ZfD)2d1 zuCzF5;V1)Ou#o|{+Hms_ZlDc?3>?EXj&olL$Fm6uH7Em+!{7XraOotALQqTi+zg~o zXZqU>Idt{+?bZj{_Mq%Qfd{rY6=*!U9)x=QU4Q@BqNB)Wh9hICJUL5L+=4`29s%?> zq-~y^Z~R8vE)8N}<2(cX2`D{LK zze2Xd)wVmbB%J<6bW=;))*srY%90Mt_CqV8zFvDr{Gbt~+*_N;J_o?Rst(QT{v zwfJdahR=!`eL!bAurRoc8@ffB2Ia+aI-f_Mzh}u4v9tmwe3=DX8iBHmz`#?0hl9k3 zuC2&^S-OGGq8zZmxkT+%z^LO0OgL+; z!Zek6BSus1h_&>JA}ziUtsU2MbNI>V5-Q`Ixm$G9tZ#T8uZ;2VY00960A`Xo| literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.cmp1.ddb b/YL_adder/db/YL_adder.cmp1.ddb new file mode 100644 index 0000000000000000000000000000000000000000..e25ed8bfa5a55b55e293d38c142cac18bba7fc82 GIT binary patch literal 60924 zcmeGD^;29;^S}*5aCZpq?(PsgKyZiP?hpbj?(V_e-QC@SED+q?b@9cYT=#W<-+KRm zr|S9TuC3axp0m@_XU@#jp6*X$KtMoLBSHTCcKQ1e|0^}kf4EpXI*_q*vaqqRlZm@p z+nJGZaB`5b@vyRUuyU}mbCRi<|8TQ5H78RxHnk;VCsUIn(=s<9lQOgZ`M0Ow2I5~c z5D?3!{}B+ju>Z=}i+?2m@?0MwOL5^v)csuE6d`D6C>Z}RC;eAbqN{jOLUxrof)oWi zYebS&0yTq9LDNi)x^EDZtauc+BsStoYWv3#|6|T=&SUpuwuj6u;>VHiVfU5CH2<_q z&aLp)5&zM;*OoieL_;((-^Il6HfSTDmhY?a=I~tyvE3be7a`N8g)w~! zT+YV*;KUf^PpJsbPf5nc0;zKXC7hB`Zd0-eED#6%xUfgdxTidQZ2sy=7Ek;NjoDMo za?|17dW$Iyw--%5E!7RpE5(;Bc7*2wp4McZM-U5*Q)kFsg~=W}A*0q{yV7$Prmh{n zoipsDH$QKDcyu?S%^`N%-|yUWwCu1tnipM9dsX9Up`wG06~OQGOj{rJ8vFGxp!p8# zeEZH`IJ#pUZbIDzX=8$TlK>+e}iNru-9GcE6?pw&De7nI|q zfh4A*4@)Q|`h%3k9%aLoY*fQu58z+*_tz&Nf^6cP7Ly%oTVjJ|)g28ac0~BB%UM3m zjV^9a4dR0h)7lC)doQA#fW0eFGc0n~>{U5;F2f>Lj)nxEzg8=O)X<{cPew_N?l``( zHd<#=$N_C;GKmGW-@`VJl@!7|5#~?yZpjpo*K>^wm#IH}+;laVg$t4+YE^5qDxY0( z4hMIG&>NBL8yGpXF~t#2x=P31XnH)Ub1Mmqy40{JU>)f9yJD_XU%-KdyY-VkbQcX3 z^%oW3z)R2g!U_ZTh0s&*^Wik?_1Ng!#%<|$jU`b($2MI@{Y@4Tq#8LWlJ_DpP2K9Z zE3Hwjg@ebDt7&gKvjx+{)sV{OJ=#`F4UJU+_qif4Qwr5A#1Kxa#-)nWP9$t_8tpyz z_qfj3zuhkPq{UY-S`IHDpHXU0dt#|E#jDV%>6e&TvchWvL#8zJpPTHRham=`xJy%% z&LL}$QJ*j`y(j0;%XL;?pY~m@$&WA1T_)%iu*4^k3>HK){LIDSFJ{sC1CI;HJLoFq zGPm%Xc-~R3E>D(?<|7=<1$|<=LRtjOVRY2}WywuG|2*=p^kAasKf>uOiYZ;1irV7h z8V}30%oWib8{4upZ>#9h1{H#5(_I!5EJ!z0$n(oz(LtBx+(Q*Iq~FT-FY(uQ*|&V! zxC$}IQ!0P372}?-itf0g$k$gbPoGRg5D87Gk)LI@>hBaf4 z^LaTL8&dVlRuBT|q(i&ug(jo5>0qLRC72UF?e+!o`%z69wo_iG2=h`Q-({s^BNp~D zJNC?UWjx&nC|tKf$t%HaM@n~AEc*C(ydtL+TqA03BaUg@FX1>NI5yQ+2`S&J`&(!< zOMWA{?WDa;zt#MnZ6pJV_K(bf)GsUqj%MO=xS$9SiK4lkL%!34G<2`Nh4YXycos*r zY_iAIH|B8$S6dp;+iY?b7nNlUvmpcV>>t{D;`#UN>;`^crnsUug&u1T+sb7O%ME1| z+iYgD`EeLnAY1p9k+aiWsjH|D_m3Cp>Cxiwn)c9JqduQHd8ccpge*vWR&LhSxIMDO zl4|YAh|^M#An2>cv{;)e1_tWK4lgMJ)ooXc#1Eky_j&b1of&#an=W&tL+#R&I`(obdCsRU68}MdtK(wJw;B(SJ^*nm|K=bkgs0?);|d@Pf@t3n(kB_ zOg^GmSaiMkygKp{h;SM0pEQc^Z9Q21uE0lOND~#!nvT}xBO^a?&U__*eIArqOK%)q zH{;3WAj{`MmcXAO0MJ1dEv~bQWU!qCo4=7@2XGz!jNLhut!!#%y7~=GB+#D-(JWV4 z^}JgNg{k=I>w`XIcTEL@ef8a;1 z{nzxy=fSy&H{y@EA!Nv+i_U^+CskOIX!IOu+M%hg9DJ%ap&m zNpusgsA&1wkiUBZO}Z8%A`sfJCWly4dK;F`J4Y~mdJ14S8wZ^7NGqmePVKmISv-~R z+6M%tMJ9eL)4CNtsqXbkEl{GLaIlk=xRR6jRnW0!IeK|twQ?|>TZZSq+R1PkzUtG* z=7}y17>)KVqO&wPyK*_|2}!G?%+qw1bR@%daP|IlFt{tM-OJrc2}zZbAX9$rMzL5Z zc_>o~4%rtq`Z7}{4_(7_8`i!XPj00}hk>V0-t2Jh^;@WsKD8_ZUQ9CA)Xo;hJFKQR zN9)qHHqF$)J|n>mn!s-|Vn?}u#su6o*PAhtRcNBD_htlE-qWTH4dRv9R_Weqw`+VF z+>x-rTqE+6@Dij7(H;V~;2dZe&`tGL5hsI&4JHuJ`E@Ir4jC|jx!v{1TkDrEU=%i` z-S5PJwwf);IQnrcT%053Ra_;j`6YCA-l1(00)s$a#fY6YqrSAg`pbktbkRC^R^d|U z+)PWuuC?-+BLC*+h0NM*1+S!?D?cZJ_xRR5vdp5F9Aal2KXX|fgC4Dg;7T%4EQ#15 z8RSPYtwcWxeHP z8h4lXZx?vbmVTX2-w7^NNq#3PEyGue?=!7h7W3|P7hKq+k&{C8ag@QvN7-Dz=z`{t za`0B;Robq9!2y`R=V9l@2_=n-p6xAJY^}F4+N`23gxI`N=QAftyL`<5s-?Hl8m!CX zB?zlD>-^&--LxBJYKXhq7dA{Izv?zWkeyX$ue`JP`qqFY#XY287@F1OWJy(lL%f#J z+BRAP+q_+ll)#KFPE5r0eL$8Z)Kh7?ar3U*AU3u4a4a}RN#@D7Rk0%Zo)uTH4CVN6 zEhYVBi<$nDg{(61vFCDK!I+oFQX1*fBz1)ds%`tXiba<0d75-;URG5on*?xyJpfRr~OF>Y$d@6_XJC*I! z4ZoI&ZfQOKedL{D-dwFGaHEA}p<{mlR_uB`wjSWocGv5TfOi~iV#a-$*s)kC$p&hqT_Z|72~OB{q018pWWt{01gpH&IB^E1 zwu3fqcy_fAy{QY&I@N%!bsmYj3`MHZQWfIo8?{55#{1{4fx(ui0wXQ=Pp^xc3-+jY zYb~@X8KMqN!c1GjEu4r+GaSxzy&KK8<@mQZ*7XRJyBROnoLlKJjHBV)e+H1dhK4_L zRT0abJ&!~IeG?HQcnBp}SV-nW>b^kb^g7miI0fo2D8VX}i;j5f+Z6L#B*uK%Z0CXV z1MwIw=bjuIVx2qS+uPZbqw}?xBq;BFkGuMy*?EC9g9mcKpr7X$(i+w4-`&aIs=btOV zyt!lOQC~BC8*rdxXw<=@uhubI8A!CFH-yq)OkEM1@Pi5kh2l?GFkV_a8a053tI+~tQOPH_0pDjI%RLyrQ=}Sd`B|Vw+=_-V#)&gLp^T)V z?SqK$+>nOvbAO}t?*Y%04!;z=wR>T(MTgFzy`Jg!>+d2-hLiKvRgGWR?24B;oh`QR zTSibEVpL))w**+GvN~sKX!bub2Qx`kZDebuKVC&)*57PotBBmSAHAaFx)2!XiB5T2 zgjQeI+#Jo$9KnJ+DYQH&bw|^46;LX75*p`#{YZ-8_{@JcOxswfm{@0-r@YS=bv_L$ zT#}p#9_2L70^8;7kg?VY?z3GAF-|NF&@R&PSaslbgL1LI*!C*&x<9lw!%oGheqCM> z7KunD-jD!YKb}n$=aX*j0Z6LG4nm$O{WOk9ajqCDLfV9)b4sQWnY{+@V@#GnG7fa9 zGf*t`dz*_#AWW9kiHRYJ%$EJiN?}T_UWi5r1EXvHHSTbSb|Rbe&4pjn_H3H!gY}EN z?8+!zhCh#9YIQ-16Qkiad^+3rLLOF$!*xJra2M}|jZsSDcmt!r8O1Bx%iy8+VWL|z zxo6{f4Xr^MdO9>j-j=b5u%oVXtmGn=JtG+peVL+R2)?!)43Yu%(ZjoxN?wfrV zrJkB>T&X#{jz=g{t*Z4A*zmU5rQgY>cQE{AoPU=vytYvt!Gz?IFDl$73QHs)@5g}2ge~>mgi0H9w%ThT)%U_ zTEFv!)Sx@=S|u|)a0L4X; z#1=`@UqU24UkmF;OOyVXpX{Mfh4Jqnb_uIS2db%0yhx=N(6BvOXm!xN{i2oiB@V@$O_q%^j zHjQk^-pCfLp_JLWVCS%_hQZy5(D*qMdY2*zztXpC|VSKWC9PwlNKh$EYMft z@}{GuX-EQ3Ls?cnKyMRQR6WcQ2Px|lQ!x5jG#VQrYTK(Zew#^SP59fqw>QXk_bG49 zpizEB+}jV$i}(0i!s4W+IQSrwS6~=q7Hy6a7>ggH$iySa%1^~CTD!|Lja!-3{qbJZ z*TDX270AK`0F>CrtuULLqLQ~^;DTzO%gY#^N^I%ImHQ4Y;VR29IGiD9sE@W2q-C=_ zOd@3Xq3g=Fla-Pba2M1*b!l11C3slYI>mIsBJ}UcJc%;P%#XEedXI-fnw-pjBY3%lwAgMO(R-dd4G#M;xST8~ucB8e`7_|@&uRT=0*g6P~wjkgO!>UCMpnr`ommW<1R>^p+7t-^}8Nb4zcip*G#Cr zvqs_*VMNq6wbb%a%<{;(cq63bO% zmJpX6VMi4Yn{i#t6cHZ+4RdhF-9w^n^}e*jFw&8liWa8buG>v}qnTtYo8(vbKbVVhuNhT%?uwRm%GqdYw<*54Rd>kJN+%0Q(mfz$- zT7S&~NZuYEw1~e5A$hfm6hkX@b>z1ZxK>1{t&=8i#gw*^>e@OOIEYr1mb4$K@GG;& zyv;HVn|0ew63WfJ+JBt`MPYp;mOMonX1~XJxJ)AqG-Vc9J1Gr}I`qvk^CoZW|FWM` zl$$g1R5IP#wN~$MKJ4SC4#A?I^UNcwV)eE&=*Dg|5M66JoNdxl24M-w&Vjrz&n)4)v5xfn{7J#Q6i>z}u3N9V9!kU(3cO6YsSPWXp?&XlLaI4udSOG1rr zrD4N>4`vG=6-Y(aFT`irwXNwszH}JJ?`RT@(t>s`zM%TyH~R@e*$F=ww5HAAR0$bNfKJUrz&%XI&KvFtcmCVF8T zJpQIZzjkeo-*`ONV(l(J_d1NJ9$j8(3BJu%?~G^!>YL|cp`G#M95DFaI6;cIJ8)yD zEfsY}j`j_Y!0D2-n%exm8DhvF2k`P<;bR6nLJJoCM5%GA^HB6^-~5Tb-2@@Dwg_&R zq%>TS#O+G#4SrcGY7Q(~rpbHPzS#A-6QnF05eEC_`8#Ha$6mCg@zeeX|E!!(#1+{A@m^W>9s|Fv0wmgD>waO+%zDaOMYKk|&1ygMIk>>{3hDUd)u;b`zu{ zXg`11YL^$_X3*~~cGkQgqm@a#wMHLkK|+7e^l)O$hhJM-&4nd@i9XCOQ^i&9P#%8Y z^J$K$oT&HemXQvR5iMH@U_gfp_969x`_}084rtjF@LQ>QPKa-y~vi1dMtU>4acd)F8v95JI?%F(QUBs43d(sFi z6>-umQl5YRa~6JaRLXv9H=sIRladEM6NjcMhxUSFTWS?~>;UcZR}@C74Dd2hAiFbq^(g4EVwGrJ)b7n1@F)1gq;2y5^v z1%}^S;V>W4o>o^0Sq`WljT?tS*n*71>qnE4-#ro5n-opA!`?9luMFQH>)<(nGW`io z96ajabs`?;+B}I1?3ZzB+lauyKU}>yGbm3xyh%CHv~SA8fz!Y{if@&W`r&)RP)g4M zTjiLU>jujYdjNvRVY5b1fxE)%_`&kh6Nd zDVU^j7@-r~VGh!Oj<)WD9+5sv*;^`VA^OS08sZs}(8~f!_g;g3@c`F!929`3VY;7s zh}DK~6VGbVb)M;4l*oH7`w54D@0w1fBF9$q6$FMLCrf9fBkJR{@JPqPKdkvr8ktAC zdz%%^0-D;9xC6m}`S;W-6a!ClVwI{E=9qe=a;x_@HRR2XFZwD%$j{7yhpO{}FnaNn zp<7g5jsA1>Ysw%ob(IF7m&H?%Uu4+JW2?*kOUGWf$Dif$UE>*bK!X}ll=2mqP_~-)bw{O8DPGX}s6+WxC0|%nmB?z!4vO+v-&`S5wE#9;Cq|gt9m32^7zMdC6 z-aw!myEKx@4GGT+uCMM~9?F$(r+!rp_Cc5&Y>j7CfC@3-sWY%>q9Asy{$ee)>8P3G zJW5+m0735Df+MtP*SxkVN)V!q!se{q3?5g`L6Q{F9i3C7n>sY@#{Oq>xFBzun~rag@|$z-nn}&o#syfsX}H@ z3AK-I5+18hEu8~eN>rW)nj5n8(p4XrSkk=RCc~9ZxS#1}gz36Ex_tJAYyJf*r)QEg zc;YVdMP}ZT4*=7%CHh+7`<}tqDU{yN z1eJy#`4}eFy`mN48LjPAWU-HK>FU#6F$%iD$?=Z19K@4N%OAOg4sEfIxxAYE&bWGe zQar77_5mb1mV}3e)td(XuA}|QbcLCi+KcCtXhld*GcXEVen6~hY>;*!$*xSH(@gV= zl-`qD{#8P*r%J7-I&&;|O|=qeDEahl;D%Ukuw!;q^KEbMEOHtPjX@NxBx2iH7;#{i zEXK!O0r`q!2$jT2cSeO%AarR}QzEV9&6ZZ++n4S-Xb={Dan&D1_2A?%pF*{ONJH=P zM5M-@HhcqV1-qAr>Rb@3qmP8ph4;^2bn_)t4?lBA!CLit!)ly`#@(z8WH?nG%MTO4 zMNo(77B;WtlF0c`icMK7cg~{gaUU9S+#cY?F6+E?4z}xFbS?!i+tN|*$4jGz&HkJB z*ojHOmW^yN;tFfw8faFy279=Zis{{i-z3dNb6}Tcg!RczB|ssWmyb6^lzo-f=;`Y~ zft=1b>zc=)BX0z4FawVh`+Z!()^&m(3odWLlB~9fWFPKc`3Oh`@Xp!#qf3KN$5U1f zx{4&n1kELZf?b$}I@sx|P-M_VS8JC)`mY& z29CD5=1F~%)huVms>3yvhEdOHc`=?_omTJ`@+~7`-^p@%8XE1WCLMNUdLtX9t# zd;$y=7^!{z97g&)_G)&8i{*H8)PD9oqis)s5PEnpVDXI6<+{@Jg4J4p%L2J4F2O=S zfRfCl&k|AnwcI3n;*=dsT%F%t#@Bdf zb^EZ|9R4P&`R?EDEhz@N36*k&ebDQE^q0|VzplETpb9esY6cBq>Am;mdOjhZqcy;9 zm1~oKsY55m#{V5bCtzhpCV);Hj_MDg@QX{`PX$na0*=4fO09C7 z7<^GlC@7eMTNRjD6x;hx`_DYtUo^5nq;OP8xB{pPDr?$uFGwk=$VdTFtFte9a4~5A zwEqd9kFUHQ(ib{Eq`Oioe`` zb>Jzh7nPOW2DG3yxz2$9b0$Zl(0V60Q4kn54?vq-CZXq2)0_zK1X(T)&WC{bl%ts>O*p4;^>wE2E2C zRCiD(oq)m4)wYd-07T;gPRURnCuR3!Yw#Lkhp zG--(R*9Vho6V5MMc0wB1>RC#$^~So z)*GoFja~b4jpy}g00`Xm`-|pbu4RovV)Bn+#0Q?WVG=jXHGzgrNUq5+rqK(I*xv-y z`TOHuv-2kWNwgQ0fQU3P4xe+?p-vAZ20upDcj;Wg5pS=}yz<~b;UhOA02@c(9vsQm zZ!`)0*GW_(6XHl*gO-@yJMEn!LvkNjBqfOf?YNdEhqf>!KCPKrh#8xOG$ zfIS?f-u;`8`?cF64k)m-PeBkIj{1~XhhQAa2f5-cef`O+tyx};F0!wTi_!FtV$QRL zZ|?vi9ohJYU2jk++SnDZX(`9vk>w-Ea*HV~NsC7vVHC;=b+7%LH)p0H&#w#BBz4EU zKCa|ycWaV?tb@r;C>T+xKLV$wA@PX)<^=&F>#_c`Q2n(axNYuf2!OysA`u`8`f0YW zX}~2CXXF^VXkB+YM!5#~AiV`6kTIK|_w4rGpm5(%iw$NVI}KVA>9+-GMT}itrN%!K z1JBis2}QN&YkLi0{_r_*kaqUY@#JU=)@}hqymF-OdG|NQO3xQoKe?6E`v9KHmr*y1 z{CcR6;Sgok#w|#F2ffhh+HTCrisGDwhFP-uUL4-$4Vz$H$=#!W$k2dZougi*#8;%b z-bwD{}N2R-e>%~0JvL*++8HSajUFr5ukj@qL$<8k8k_*^T`(4|o1oybWhQWBR zSW@Mw#{l6b-}VaIB2|uaQb4^c-LYwN4ikgy=n?(j13Gr|*0twSu1`3l;OWIOTgsex zlJwTD_)SY3>4&EMqu~wv=q5TKCwc|eGDf3GCXJoaAs`3+RWJ!(PwrG)EOI}IBZ>&t zjlz!-iBxOlcYKvLhU(B8O=o}-v zaa3CsMa|yt6j)F*qn)qtw{TPxJ2QXyt2ieAyeUVb#P0o?U-y;TWILQp8*yS>GZ{ zn}&>ILAw3ioH?rYZDoZWCiJ@eWP6yAvJ^Ukt+5vL&hsPKd7Qw~7 zwY95_^zV=AgZqV64%_6#=ref(a1P~}LLNT~&4LwCN|`sn{ep>^J%8BnQ-w^F9i;YD zo#|8}dl$YoQ8RrH9ogagtlhMGD?K&zyo{hzw5alaQ@Yrj zI`2NiR|_*)@q9%c^Ln^aw0FsJ#q#DmTd6ksWJ%FGQ1UUUu-aq%b5`W^ctv40##)so?dqW7^LNFwML22^>eKl%{a7mK-_SFhBtY zY1u@`_|JTdu3iPyM+3ai-cK@TU&G~gUo==Y~h)BOiwr)@vnuRkNrIaf~k1xt;8xncc)?ITHG5JhQh|ygs$5d&v-+>+rRFz5zdMO&>M89RDEr z=9$r(swC@Ov#*W5?A+sJudPSccKURs&VvT4pExOnqZT#o1}$?l`)=i=WS6O9OLr(& zZ_pOIw&CRNj9Kp{zGkncq)tB;kQ#g*=6awd5Op#2dY2r;1IPdTOG&VMqq=THl?Jg> zKMfQ$bbatDnzE7LLd`R^Jhhk~zProcV8vt=bb@>+x}t4R=73lzN|{^4LYbQI0hpiM z1ClhF_L?o$r#ThQY!3xP?UO_CI&lmlZ#hN!nD`FGefH4hV%}T2p2Tl$4()4KsO`vm zdc%a%9PHR{MKX*XHjlAgWdaQUfFEya*hOp7qm;@nv8nf7#OW;LQ;ISxNd)a{l5lYc z3Ieq6e6#sn*2~im|4Bn5>6!k~0C5!IXD^!I66q^%<9`EoI0ro~$H@Rsnn74ZY$VYr zp+73!PKpmB&=jBlfUz&$ag-)X%3D;6GouQ)`3(L`^aAL& zjSYq+R2=?8Fe=`esQ-sr+>S61s?w~)If5~K`1NcnZR_h*D{CN?IMsZpb(ntceyca* zU@YB9a{*q2D*|cOZ8{_xD?_->SjA&nN2sx!{ZZ{G;lES>T30 z*IMcXo^*yG#(rZqp;y<5;RBkY&)RIB&pb4`K+poIatNYy1*7QyXnuxB0q*VU_j&Qx z`;1`#w?8l1z{@9wXc;}t*sGz5xST^7Q)mKO0j(BmT7#=iYhQp=4WB~#MuPj(m&6-9 z=6@+io%tF)IFa5pMqj|AsUz=pxzD59>ur$Q8|XRaV}F(BwTxeI;4!QD4dYU5Zvac7%2jDO1H|GoV=*j{{ z3!O;^$4&`|?L{`5;`!}q7DG+RPCqH#)F0HIbHCKoPp(g#V}_DUNwRs3f46Tj$W6_) zzQk6L3E{lH+s-_(NN;V9r?9z$4Uv9J;^Uk?Ot(l>%8H*w%zXOGnZ&>S+(2Mil%PuAUK6o~Fl~J2vAgyoe}1j3ZRaU^H7_4uqx)QytEU z;C!jcwO&lI=zATC-k_rf0t_amWmCguRd0rG4h8D0vLJZKWMfJ`1dy|$HlU#&yoUfk6 z_#cTD;3#R72zHOs$U}wMXrARs6u@emKt?Mw$)J)Pr;&~P-=y)$(+h4U9Ichb-51C- zc^^B;Grrr#a!%mkY_yj0_TP*#=OWN)l{EH~6sXBn)tU1!^Vi{8t$A0i^0Q zYj!5u_t4z!HVoGtxoL36yqwEqN!P0*`&xuJUpK_T;_sD{!)+Ma*S&i-F4LyLmX5!V zD(XXE?wpGG6{oA;6U!jdPDyquw{Lw~#bv=p1DKnuz~wW0kDHHwf35y6?Jfh%zAr*J z_4$`^ReaG`O0J{W*qv;3$Tr_(27>Tp^E9VHNBr~-$!u$GEcXB8hC3Q2Ne?3>U=0&5 z83g`I!}`)J(4WSB@oyJdbkXeKcaN~x;X22paNPe7(dJXKLe@7>4_|vXe%MGH!l|r9 zEL5z(U?u{R_HFbhl9OCANO$}ft;frK6_q)4WO~L>sxGbKn7f*sX68>qpTrL`uQ2B# zNN~`6SJsPcl0tgS!*6sSO*eg<W9WvLU^J;_QP1RTlZ!rZO3%JZh*1d+p@JA^76A zkJ<)j+rEv4-VQx`&X(n9XQC6@VN$lZc#1Dcz{2eEW2o zmPR%UEZmNt&pZwc9&g5t^0gmPrT1iZ%4KYxB)#oZaYbS}W)h>lye`yKYnWEUe=( z_0mX{udd3J<%pA1k;t%?!X}S5kE@;((+j?ni^6AOyj1+Ra%MQ{Ysi2il|RRx|M}8S zE+}63#doNe$%Ts_FF0-zA*P_8YrztSE7nh7&o2gd@^sj=W1E<{d>#iX##%xPZ^v;% zCU%y)P@Gu>_2751u4s=^KkgWQ8DIusR>40D->IR8xo4#6L!RZ`M5FZgN6W+6PZvu+ z`^8SQO#0AL23KPg2rRhNb00#SKqYX(2UG`>oBged5mi>SEbk@P?MN<{Z7CZ~Xd^Wu zvJ9tL<&@drvLhWgzS51dRGFKINdZ;#G%zxMRCa997yb)w+ho-CT8kz|f}{l`pw9X10~U}-cg&SWm> zlqHSp!rw}O9(yT|WW31RdnZ}S`L}I+VbYxt^9}K|zGgZ?ZuSJ$Z$!t2n7q<^s`V3ky^8znXu{)RV!e`Nx{)0v zd72(wI(_W#JlFtqDN*mHY<{mvg~NBZ8sCHe)`BQqoPF+vcU8bD9bp89B$|d?4*>g# ziIBp0?8mgUyh4#$j2wh`=Ae~2-JADa2&3;Gk)l>0xo-c9(>mQLCm`W@dY?1Da@n~c ziCh8M--&&E%Z#?|9Dwh2{JBp>G#a?(j-xIA;J|gbX9u|E z@3C@FQCRy4ONT&DvuZ{XsUw)6yH!^W@N(hclgvbRCB?)HvT?pTI946N2*3P!1dVAx zewx1DFj4=VRF1i?#haJ`1S<>+>X`*-6L3`a%p7OQ9l32YhvQ-oB<}BIT-`@f_}m{d z0_I4}gRcYa>cX6um|jezeAztEW#r&fyYZR72OJdJsP9HydQQgelv)whOr4YXOI8pa zU^i_m3XQ3}e{*{hObXtbx zM04HK1rp*yxl8DVC~Qf1_-yCBUMI$tZky2r7b^2>76GR#y%@Fa$6hX3=c$Ewo2%!< zBXQr#={4!!zbE`3UHdu#<@fZ^OR^W{D7V{bma3EoLwijX*Vr(D9zUz?D>P;rg-FB^ zM$Ggl$DL^{!jG!6N^V*059d=VG0klx%4;5fu?Jeugl!Vh;L;e2UpOw)$lRjl<8^x+ zF$b;u1CJEa>5lbsy2xubM>1H9&7f^l4tu$PaI2wUPMjILkIcU6Z}_K`WF`2Y)a$Cf z9=RnU{AcsL?+NzuxpZ5za?0wc28$w0VZnR^av=)|S#@a*RsX4LYmp1ltE-L#{2C3z zA_~czY18ir;g!(FTu{b|ClYZD3t?t4v5|MyR$|kH#F?^w=g###*Ar2vV9kPV>8}%g zG3kDCYAilfYZWl5r<=hR`FzdzeDl)su(M`r1`4uuM`^ z#i%l`afc^|aM19TnIWm|7l9D>xaE-8g~{IQ15WZaSLA zmn<()W~nX`sho8a?-B&Hb~*4CbsK;mIQL>?<0n>SES)&J0t|>9RrtCUE+!m3a(ivC zBp>p%Ink^{`FRq!klf<3*7jeG=`|!Rz+2{jMDuZCh4yUZ#~Jg?LOif9vRfTjc-kW2EoHlnW6ORchOH zy`k#Xhdc?kD>eZ2+g?C!oK3D&iOT@bbsMHZJsQyF5p7jIX*FGNg9_3(P`SQvO&oJGjp=mg; z`KQlYq**T-+}+U?zd!WU-4n zUQ@c&^4-j6Ydhq+a>a_e$KuW zm)2*zGD$iSwGP@f>nn(Ae@76)Hki8RP5Q9k@kYjPUSZ49N8Oo)h~-pvlb-wo>ZfOH z{esPJ&gb|t;b!QVnhn$5Xu|TC%6uF%Xr%Kbrsf-Z+u2+7EZvE$iD^ALly${gxiqX1A(>41F*m0rG<-uBUD`s|~Uh-yekL zYxN#Q;%iaQ!)qXmol$JJSqO_Wn~|BLXO4k#YxnMuq&0VH86jMs_%!+cGZ}|g`(1U_b*Z5Y%r=y)jSWjBM2D@sVI8H=4E7g zVS~!F_77=8st_!)&Gq%^EG-&Zv|S~0L$C7odN}iPvHKo%8RWS-CO1~^T#wQzVWGfD z-$J9*6Kj~7q|V9H!UDgscAKARnh4w{{AD7h)91|Tt$H!B^TpdtDZbYV=|NFrUSmBU z?<IJ_@{SL#a=1NF zJ|-|}s@*2JnZ#6H&FdlMWkwXKY z+dy7ES42T+WAyhsWQNAZ7U-T03HGx)@FX}@2sCyarSAwn`(m~Vm!}jmtj%C!iuLe;U zPT@Z{qb}+qB%|!f^oo|g3UdCiS!zMz%J0Y9sx#z3e0}*HNbTdNk{Ad?E^& z)i2oT2;WN{X<|b$5C+U@*nX$vcXqL(i{+f*{c zDdLfyL^uiHb;HKtp2l$~{*h*054`bsPD;UyGw)>b?W60+8 zTAwlX$$NIyWQn(laqmHTxK%v9sP_ZLn1;;3JVO}^PqRs9UJ+&91N?eV+5j{e{-va- zqhWD+I7TRvA#vMlIO*tdr%w}P&pX6*P7d4w{%s;Gq!Z#A!pb@{P7`BI(6iLJk3W72cn%W<&#Z9B`ZV8O~}kC zOYqM_)cQkRkBE2Qp#Mgy+%>9=q>ES;+EBs`l~e=E>nQJha{<=gW^LyM@&!U+J@5N* zB^L+}ct$fB`ILIzXU<#Cl{?r((bOB?eHuOp5X1BefWrEfrrj>mHMB$=v|8yt-CYkl z=smo@>ScS^ zK;{3;L)oE%hFL8jI*aFPdw964{=#e7Ap$>9S_67z5E@!-Jo8`n6($=(K3!?{vfJQs z&E)Fr6!8YO+){#I#$`Gl#UiHSkP*@r(Iew2sgWea$x{%mNJ)O!v0Wf|q2j@#<|_&f z*sQCGyKzwAqvyoK&-?#Be7$8ln6=qlC0&<0eil_>d^AlXY$4w9f&GbWrRg#Ri@L^?@hbMN)2gm+eb zAl_yl3_RT`3Ou!$^+qiGNFfQ)-|`si<^JvbWwyV4)FH!1qGZ84IRX z$sD0FS)H&|zDe6CDbi177oy?KyVF%GiD*cO%K!cc{^PYQ`_(3IQ9E>d$`F>`_N%U! zu$sCd(=_CgsX!4f0(%K%d`Mivld7uILjWZ4sBb`YF~#GQwO%3DSRfd9vF)sj%F92~ z%WEe0W!z&%Vral41GY}Yub~PC>kCQ0Ej8wFPykCTco|sEqp_*!rPWzmXtH3-5S7g%aer7;B zV&T;6Ont~K0V0+T7R+yZYZQ^^aAqV&SdT3EF$t9*zIO=_1V5}L5_O<%C-}E*13i); zH`YK#NDxJ7!OKkOtV}isKVF!~v`<=ousrEE1s0@$o&Vtu{|`3eH0gx=vg7ZZSmyWLuQHoYv@(Ry(Pu_Ev5UhaWbI^sNJ1y%=#az^FQ?#N*nm! zq=tx?M+U!TRmLjLI;rJ5N8=_{;iqYR%;fjk$8-u6Zlb#0uSj!lDV!LOi5odtrBV!c zc&#rL{v*W~lz}I?e@8nRY-4+)1_z#%RDBZOo?DP(1%M! z@JPPNQwPs3P)zq?#ktgkU9~n3z!W+_2SWc0S%Uw+-qkJ_zul(IZok`2T}F===IHnk zX_^~k=wb<6{5J}~*9vg`>t!*lECzhxLVaIk zz*lFmBrMRM@wLGhc`JxKOk^>3tdR0fZMy1}NYgk(T=os&6$;Q3yo1b)w4*Y!$9JfT z^?YK&q4ZqvKUOeub{y%!APl4Zk7My#PS;aP?J2|9n>AC$1F<9|*&2-G`pD?cTw2)T z?n1W{Qd0SPKOOHXq&T-;Dh;mn_yNKP_jgg>{vIfHhN!dIU!t$9FFIGF=a>DpU5;Qc z*|wP$>E!w>LlEJls8xfSH<%ibcFkYM*-zZ6Fj;f(t}D{Z_%Ppoo(wmLyNvhaEY<=; zsbS@vxzuX>Oc7N$R5i|7ybVZ-HSpK;m2h8A{}iRE8B_tKA^!h5s>yJqMSzgTcLOCj z)8YTve)oT}l=eR!z4A=B>pe@+k@tP}D-F*RM{;5ehnMIJvJ%(w{_UnSTl%b=U8bfx zhp&9)sgl0jQGE3)A@vz5sUIB|lKvB`15+p=QUAXIeIDU>amAF#dLtwy<@JGkO(Dr5 zJ`2hN4UMy@3~UI`rwEl;7M3aG2LS+w6RCD5sYF%myZ+Fa$kuz25waF*w=2Fm3> z*@`nnpk`KR&z}LDd+TW08wBRv>r2pYcII8l*vDI>HkJE*b!XZcY?NB}x9obI9r0N1 z1MI6tASdp&a1f7FD?tz!m4bbPdiuXNuk6{?;6RHAA(%jjEX8|p;3<6GKWPt--{BaP z7)DeMOzp2ac9OSCg;mnfgs|Z0WEPdm=Ac(6VD1k09k<^aYj(PC9Ob&Jkbym z^eMRRiEidzpn}9WxC)^yhsaya&?H9P05 zdK=OCHHGA6FGZMyFa4EyE&Ug>I1|6b2U1Z7x|dT~VueHi(3(f@&zOJ%uVpc+o+@H7 z+N%@6iAj9ey}hQ77)%*v04L<#az{?H+zU!c&?v@pxMA4MJO89yY9doC4nku z+@-8KvO{SB3gvErrR!#hyhZx_-JiRh^z9#{ZJ5n=zHB>?@T4yxy3s2la-e^m_Lnp>$ zM_4l32>#$>PEr55{CnoGPNK+Cw%E0vGFWnz;Y~WS%4PDy3Kl$DR6V7FYaznxXKa7W zPZV5l-6t8;WxnR|6&RUI_1luvW%_S4{kt=xb(%52ft#pdcIr%|d_FOtz0kMXs!mF!- zmX_Gp9FbAx7D>PdR@cSk*mWh50IRO2UBfgp;&V zhF%`Rk4xLq(Jq?PZvk~D;QTr2aT4J?FK>AkOs3GG@xw3q)cYWRwt z*}0JIu2=aIydE!Nn84T@%W45HbFAO{rTy77$OtQssB;QE;}AE`YvY#NP{_F?@cP{7 zf8l`pJeY~^{h+rWTz>7uP{R;81Jqm1Za4#7AnmF6s)-dtqpCKTK-DBP=bTAmRW34w znGfIMB3T|cCYVx@j_C-k`@`M;qV5cTtc~0QTEtaR!qj?2yE`kEui_;C9P%U#khqa2 zFr>2NdyhWEIi_sb8s16_417~)R|rFcW)m~CbW$b(g)BcdkY#9}pdw^WzeO<9bkv3< zDm0&sJS3v}&V-O|f}~{eIn~H~Nis$cA_5C}`hZ8}4TaiudcLeiU;j{`oLeJnj(i6P zwfEy{Zs8kUPjW4rz5r3#GUT2mI$nmk<@~^KBd@PUmpI427gZZ*Eu%)>EfQwiNa>4* zhV{e?NL4Pz8aTCMbbCH{IxEn^23JzZ45)Qpmn@^(M zZOhz?X(;XU?2>fEv8}A5kWAp z=b@U`HzW&$1779m;!?Shd7=pMvHw2u_~!L;d=I~>Jb%J1(Dgp)qE$P8YW8_c4lkIp zKWFRL6Rc-0_w4#to5n}no9iX|-b?9z&w^)q_8koonD+kZjuH8u=osL-soND4qrH9fpLOFhy%Bwsn?WhW^kSwjr|2bQBDQZ<_t2_X!#9jpvzm&fh_ z=iy?))yS*FBVydhFgH2qa3^6T{P)GdJS6=0(tjz@E$TP?dsu8FrvUuIYow?0Ia+P3 zU){)LL&1InzT$n}f8Ys!#wnmZkb?e76!-zxN5y4bRkgf@S72R(gP=ykTOpw;i2#6# zj9j}zx35`8_!%xX(pbz9>D679jl9~u3g(p>aYV?H#8>=7-5mtBejasTPYZ5cEbTFb z{$R%jj^~&kfn;x-F=fK3jnyhh#66PDR_|bDJ-fs+G!AXvYT{V^=m)II>GvSLkQ*CZ zi|8juqc_rbYrUgaSL21DvzCrF{Sl^b|JI$Uh~k>X)zg<2XyM-xbYtU(5*rdiPKa2j z%j2y)CJ9$N`Y#ZJ-TheXd1K8@mC+wfh<%mu{MgEsBeZ}vibcAgEQmSrLsUeZ@693 z{uRUa>*2h=28OM&r!59~?(HzYunipRY39UXYJp2@HNLnyg5Nl8GoQ^Su>tXrKbSTg>qfwPzt zhSrw57Hr8p%rKfV561heYF^7AqxNroDg^;lD3 zzfhL5LR!A%iA_bC9TyxCIebF**kxy%~|Z zXyLa+D^cD&9}>sJ2`-42gJAl(T`aNeljmpEQ-lq&FzE;n8P!S9s(>|l zY7wqoT#a9Azc&BJ5%%VVrR9LymLB)!=0V!kBgid|vi9h{ zCD=l|svmVii#KRfxVzu#_6woNMcyu(*d?Rb4rwNdoiT>-jDLehf{fP7u^l*um^{4ExIa8&SL?OADhG##?Lox0lYZ4imj8oTPq-F> zyZWpn?u+I*dYmCOX^eZUZmh%i{V<9g5_0Zx`NI@0l*RHazF?WSb0sZQka83+Qh2;O zW5hEL3RN)Gy@j z(=xhmp+f)rcZ}qacOufJm&R(*-vYsGR`tN6CEu|EW8Gymq@<275CA&rrX$D0+GzDD zn%ifbzwu{!S6_wdg-OLjg-^U&Cy||2paeU*rW7`wOl5u9$UZFiM$1$5i57EX;5LC( zpb{ap6h=C+p-7yccv;p)KLacZzkd5c_Lx7G-!w|GDT@QCNpIOljp>`00m^w&mZ!4S zQIXW7X)oeZL-l~V)Nzua35%F;dNY^oxG8=CTJsm}G8_VdNXLZNOZ80Kqu^7xHA9J* zasfd@k4>H;%>v=8g~E^7=-QJdJ_f2}kT!)GwzBx4Px5DY#Y`mm0jl)%_nFW+u>W&2 zr61Ia}s;zd)Y(SdBYv1l!y+9!%)Ap+8yhuFHjEI zUxqQX26KK=m$4?fhWM}4O}(4>fsA*no29{9V6(?LnJQQM%jxT$|IJaV`;yPLmP~wn zD(5P0Ezw(*LZwsU7r&L#jlJfVL6Zd3YA#xa5ik>CvsIgCQ95lFBUIoJ#MNWd(C0~q z(+@Blw%&FCTjY?SO5fO z92zS`0T}^Jy8Gbiux0+c#dCpFteNVxNS{L9|ba<|q4sjB#~DoXm*JBEQY`rW0}(YY(U9 zQb67nS^=Fwi8E`CJ`wom(hTaKJLBK46$znre9OP;BbBT^g}FC8xFO>miD~B}AU*B- zQGjmL7}uC*p;rgrY*jB5oFH`8@JMlIH1n;K{0jTtwARS_;l1H6*;P8;@UyVadoVGa znwaZ!6bg#Um>xD796tOIi?kvoajL1<5PeLrh*YvEWlY~sIDE}SQat|xBBWhd*ocky z=h6;kuNB@-LBEGzTiwowe}8aU_f*tY*49o73m;EoO_`KbV=cRaEsyxY{$9TrpN1Hu z9We_MMF^HyV2m}fj=C_9FPf3b}D`$2m7 zI(THTOGg~?xf0$t9o@8=WDDO7w=0Pqj5DmZc6LkB8J@zf0!P}B{h|-fRngz`i@{`K z5}9dWw$odgm=`x%0&eThK`kpYx&e&O@lb6)fZWC3++P|q;8Uwr5=fECEZNyOI7^h8$XE&-c%*hK|XoK9bG@Prt9+nodye zO*{}##CbW?mj6eCO37RBAh%c0a<$8XVCs4G3^}iFnnPsecr$DmC)rjgU$Yz~hkwIR z4kNq=?IN}mSpj2s?Ta+$5jpZ~MjWp!O^j;PM@H)M9nC7MbnvZvZ);L&M z*XB3;8ZOH{+SsuzQtK{cFOpVw%$kMeFcGUK5ldDqkRqW+hP`O}Yb>CN`v^F z%t>vtp>#q*B0V-$K!jucQ8#{tQzBZGuSu$d5t#MGB}oecfs`YDWafRoq;h24u?jMNKTz zp2O)wOR5Ah*k>zten%bq`q(FrH)ANU3Rb7@P3ub}8%pB!Wn;Pu(bm4WV zFAH)yvm4EjE`O>zm8h~i|Et5g;7u9FHzpj|KQzQGBkyV0T;>p7)8h`aO&+IJ!Zns~ z#OWn4ay7K`pe}~kbL&Vzyz$0-^HT5!JoeStDYLmqm0~;9YICH)-e>k^V2DM$8p zj|VZ{+&dUI`T6ZGHFW-4E*Ajo4x_ej?RwS7oHQB-ZA3v(8X8~nP5dCkAV&RZI;{6A z+BuKdB0rE+|FDF9=}F)e8$XIhW22zf_pMDGadjS9QU(yWBly4+H_;k4yYj;jQ!gp?@$S^iMABn3 ze(@5LLx-_YhOE6zoSaXBvj?9VvK-HujrB%-A0N>S{yMpo_n=v4mrq7|L{wJ-{z^51 zQc!oy;*nCWPNk$fT>`F-Qa-0ZrFBAS<0+neE4!Js$u^~ijjDQ%fOIr<$qbkVe8I5t z=R6wDNwBK21{^xr^Kl&=rA#ZJ4g1_c*c@0*mVJbtbmNbm1yp{OBfUUia4nCXz8HD_$*sZLKUFj*W5iG~l9lV|;&IieLdV>8J&e#QQjYdrQg~g~ zucU=QNW~se^M)z4g(|$ zy7Hwv*uzr?W)+3;1nHx?s~)AG8}grxK+8kPfWc)^Se;x#x1tQCt4lu&UVWm0Z7FHu z?{u5;0FgN9;_%{|C!!g*C`iRVX^4q^4d|iDrFCgVjQiqLW0TkQvg0AakJY|4>tqwI zH%1VmRU!dTF@z4_F$=sd=PbP;sFBRRx(Gc@`z63+aO+cxI_xj;_-#K|T^lJt+Depl z*q7`Ciz(?%1qGa4da3)B>_j%%PZ9d&urURO$aDN@47GM=Ca=u^@3@eKy z*xDPz$f@Q*`Z6;{a~ew37OR54}5zWO$Z6qT?Ik2Hb8Rbaxf z_;#>XPsg|w?Im^oN3eHVR9EMIVyRK6&H|~jf8Yfub_?sdj`#-3A0_|XUq5@P_@Cstd?9tvorA-^ce#UpaayKQv@<3LCW zKue1g67-ifv32MXgi57za4Q`d_5tuG7O^1dP3OeQpbIjfW0XbN$;&4N;Wbp$Qnig6 z(x#x9xYQ3$Wb5=SK5ApQnFz}iQDT@(aTlR%j$+Y_weL{1FnjyiP|FdJDXq*STNtZ? z#GX&7qC2-%hWw)7ukbRujutt}7XMkZ&x z^mNtYL>0(xE73-E42uU<6LfA2PFRZmFlwgX2hD4<+gj#2|Kt$ixL_|aVLiRnr1~Z! zr=fXpBgGn#3p!IBYBiPg=2;TdY1eh{{_K}Ln>t_8`Z>yko0U0`?1;$AW!~boo*9>} z#Zlw5t0{$iU`bihhrUW?;|n|!^fKI=CmZ?iIwi&=>PQs}y-S)SFmWL`Nx9zPI??Xk zgvcK9*`zQGcOOku_ORnLrw}0w*kRX5r62{svg(%#(zxncmur-rkC7lVMkIwu{d+s! zORNDH#6^oov-%)97`t-%GSJlWW7b5PQPFc?xH?h-(gCy z;nk>s_hAY!8*?qWBWlR2k@kBGOnXH}uh|GeJ7m+R65j019VVX-Adcow0;M`B({8=n zns%$rMRfD6#XJ6LmSTnB^h4hDk6aSFs@uA9PAEgmg;Q)(v{W;h)_Nt=g#oQi(t%Xs z&|otr+%~-Xs$9uO=IbY{Yafn-5H7>O4B^eF8Ad6$oX;Ay0FASEhXSgcPL5k$h`Z3w zd;=<_tEe++a|cAQwp-zTH%?5(%vP5|#2M_~JhOD*;GeH{GbZBmj>D+tA#Io&6Tc#E zUZhjEMa7;1_{T2|R0H49hIct*hMg_oUphc>bG-^nVLcY`|LJz|Y4%P@?V|?vPQ(eF zQQYIIoaQR`oi*KIBo)@X`DD~XEZ^tDCn(v!rxEMDD0xqo2S;rF_NiENDK*Q#^v+8BSMaYzKdGwV}Ir9F8wIYFRf?+A@U6TNCUKm#3 z`>A(fg~d3vQI|-H6}spbEqP2cV42DV`;m8mE>!h;edH8Nl`|A{O z1546=2jk62Nc!4Sm(=a;#P{CzioRbIl;VY)_qdeHWE?}Qbs;V#=nJDByud+drS}AkXj+JT80c~&x9eZzXFqDc1J9GT=2#9 zq}%6`(esCmC0v9J^!U9jk7_r(l~{!eE?c3R{biNmyl33Y6Gt}@Cf0EYiyoQ$yoxhH z%B3a0Ng6+=%!1Rav)67T$#G+Ik?nxIvNo=#i@=1}muMA9|GXopq{3bAwBNG}0LW%o z9Ek>4K^?9ssQ7zS${qeji$L^qO`)^V;s7)GRGV&IID=uh?i~-M)d5>R!M&=)P|1IK z@27QCLT|SOK8EYgLNOCMNOe4D-&Rr{wd$Cf_p94$q=qbX&$4;UjoOh$bsVPKEvhcZJ$oTP`V7y#$OE&-H8?*9LIsJl8?IwC|MJXraB~J2TY=T4p-P#(Y zrQ0m?-gTz1cO8YGW*bMBbIC$5P?kb!sJWa%hJK_mJrV0%PUrCZF4{#{;x&7|*6W&_ za;9tRcru_H>rDbQ|5{;ZW<0{z-B7w-No3E2-GV@!VomV`DQUD@=$SLL_fGmQSci~+ z-J=$fESyC~1&*32!AKHrGc2bQ8U%9WolA`MTw^5Fb+xM+nNVF7g)3bNu8+M%Mkg4m zSK-uXZ0?A$*$ia)a*6G42;5LCe}Ky-dRH!tw>!RDc~K*(=;I?kuGGbuZvel*V7y*7V+%9^%N8N_f#B~eO0g1a)uPyE8N#-o zdYQd~!guerk66LhYP$|lGxwcYiF)Z%n55N))Zk)K+xy!gsu21ESF5CCfBu9!rWrjk zPbD@-u%y2VFf70bT00PhHuo(?CAOu7SfD~=qdn>4nwze~l0eY#SHwc?TWD~B%_!Vfv666$0rP;E#FQx!xvRamzke@0j zH-G;Wij#Tu9!hzZkut%H9^AyAJ*{PhG~Z1z5*jkjRog1lSO%gr69L(2EfFI}b;95p zBsGqV$6W%>gy`MI%f_bt5_9trF$ z7|2kY7*mVBvS$#0I~n8byNPpWhEQ{U)JIPca(rdh(kHH?bGTbsKeFhGG4H?})}*Vg z%9)=fnc`r}cK@afSm33B1C&Sj@r&HtqsJU)FqAr#WquXs*b0GgwWeoE5RxX=zMtt} zi;iFq1QGXB;sx0|DEVmXYe6P8%1Jdg!e_A7CI*I$^kc)dD`yZ=nR}B?`X`TQQ;oMv zar|Ut7Q)PIBtB3G7MR6WrA&`1dD^h#*|xfB?UQI$_O{zNGoZH_h<$$S{xP73b9AJa z_>HCXDSv3qfx!fq0M097)PTqG=!lWt>{V9S9 zrQ5vZoIWsRkMcnB0P)guX7 zC~Ocb*I$OY>5|4Okg^oT$`If%zIf$_yBOIzupE1Hh`+7>*M>>|BBs&2^ET}#$@k5d zHz;wG8V;j*2fo&yz{#hnMMWpUgO&-mp1hzXbGwQO@?DC_2JGIHf2xYRWU)1$=5TYa zL#UDUqX5q^;YLt9G93`%EHGtyK@~TbjlhxPVTnENa@vklU~i&o@;vs}%!s9!{^E>< z`peMTWgD%a4kf^qk}lh8wybtafpHKQazEs7br*Q$vCn_c|DLjX1Ct08vJ|qwHfw!@|H2uK3Ke5%*6DljwiCd zc9mEreVVoy^ttMle1M&yl*sG@<{s{PLuq>RtIgWLL;o#j>f~bE-3b%W=QUD6mi!p- z^%e25d9AR4FI|2#K^?jMLmJ7Y%Yig5#5dO6jAB~<`EdH?W=asq;7GJLhAb|7Ptl85 z;IFc9owb@&tDcV)$N>A1mP%lvDwr0BIwNwsSh*UV8>fRs{UyI zF?_hA=Z|B}cZa17qwu-tTBo7Uf7hqCp zt;4Dm)+d)FxXpZ!(}qeocX$~6&Sy0VaAYiIxF{r@KSO(AGbbzC=`$GY;G2_?zKQ;m z*ri9rWg7IFK9tEvm1hmuvx}-!pC9$@#WR-%O^#f8FAR_DTTZLo85TV7I%RcXAAeW( z!@pTPeUA0$t#qs2P*;0$ID2mYC;g*$cO7z5BMI0d``YAV0&p}oaFwsQ{aGt4O7K7x z@Rd5?!p(6V>(`#Xw$dZ~^;+JYUgX`*+$C<*%viC?_S_}s#ZAo>`7?{egAd8E8`trz zA9zM^RD>6WLa-@JC|-JxmlvkJGQo(7Hu+o3UExz3Jn_{UFHrjp)Q=^k8qkwCZY(MB zhq?+=$s}O>E}57kgJ2JP+mqckg6v;l=>9rHxzU~i zXtAO70sZ`*MtrMstR5y8LTy&|)DKF0<7qq`zc54GZJyjz=CKOWNfe00C9e9*x&J~)*R`A+msoCzp9R$;H9iM=TzL|N6h!$iki%Fm=q&sPr9oI_8p z68C)Y8l{}u+hHvul7rkz zJbAh!H0E2jz&v)H9o4UUHour->NV|nPWUWo&d)#l@xhO%;#m;B(3V3MC)~DI{5_|f zX^WjHjHF8?J}GSvy6MD%=ih$3RxH_9q{(mgGSq%Zsuj%%v%yuvKam5uHDkSKMGBzD zn)<@$|1~Ix0AKs%W?U81o32v!W4mTu@*25RAK9F3wU(cv&qO)N59aNwjnqsGVpklB zQW_w`wU_Boq8yX<@2SVWvwpGZVe*cj~nri8}lUZP`{Q;KTl-<#4Bh& zZb))ViIm$apD)tG%O4>8`cW_WgeL~>s&@g4X^f8_09CJuN9;d3GDt$ot@83olx%vP zbzJi{xTvl15C1sjm&xv%X?@q}mr}iozTScR0(h6UL&|@&KDXFYE1lXHl!%*qJJAEr zT}{J+MWdbjZxvx%Xa`J4F?Jr1vz>gs%o5+U0~|4{%pEI-;c|Xl@gQqKm?7{bS?W~4 z$L5MI0SW7&6V+{Jg1rFO?BH+v0*m09z!E3J#QBfzCnN;0l3zeNsQT#lav1_QX<|E> zLnU2Z$=;fAXF0{zBHjuU|1GXfI2O3XnIGb8SK(s&(+kc0OZlt%e&Y_%>g%;y#!T9Z zc<77e1D?7jTyT#_c=<#fVCp7}=!icVq;2dtU+G)NVlN@Be(3jD_AffTJs3hPFJ0+G zy5@F3io`;f9{d6!b|d9Rm%g&ww~^2ttiwS+b)%m9l&`{{UHh*{Cx+x&CBpnJ>bcICh}15oth467!f$CoS3{wEPfn4`9apR%uOjnhM&Cz-ydP zn;%_wLOHNCH8T0yH%Uw3L*1O>Mxq&5U{?CE^7x>Hx4)Itd?@c%bG3D1^#UpSOzdc& zlCTYi3&>T_b~Gm5En|A?xk-L3K_9wibd#*H}K!g6*1H@7L8E}(hwD%Ymoc2`W zu9rrCp-%PhtWiKthUIKF^DXo2mKu!dNjjW#e#;8RbkMb9=;Z5wZcgs88FSPwSC~y% zi;R>_h`f6~B$1WKQcOB7<+O+0aYt2`6sjWP?*64g+d-9b69ATB-Ox$|%8wo)KRHE$ z`v3Y{7*00hcAVX6kH~l;Q^URVE%NLK{?$DDVWH(r%)Z7w`owf;$w%N|Oa$cSt@)u} zM$8{9&%-tt&^Q=l1noin%lkwWYaBnMiM$PW9Vt~J59z+X7}>+Q zYGu12t*PB{sM=n*0>-~qMMGHFP3)Wt&F^aH9PO8Kg3``l@xbOee`OlHwI+Gh8wCxD zW*7)g_yiZH{T7hW9=e+(ZON0e%cZ^XP#qlvu_}?bQ@3%DcXW5pJeIl9JQz-&V3zJq zxNxFyDh>xrr1F#c6ryHCAUwy^r+1?fTh!lVncFT;{F1 zC6*JD2qN#=@2e8Wx zrWi70?a&TgmK2p}GupFMfA*B#RLG_?O{*XS)Zu^Dj@y)uIxqhqfbJ16KbuHINNG>H zZ(iuvq~>=mv+H0sQpqa$(naVID5Qy zHIYg%qOo=EaRE>Zs~YHRtQO%??WH@`j@MH@=7kM!_mG7Y61)`r!js)5W{{npXHPjU z0rXERnA(Gs+E$h>frd{b9XT0fC3%NW&fQ&YRc<9|r@lSFuC(zm6Aj;h;OZTFJ8osD z&!bBhWeO)6+fO!K7N}2DF5@WeHbNBFD!E{eJdfB-BAAMBY)pNG1@6Ee)sl313SCn5 zWI%7l#Mfc^hdFJfD5)zRQv=BI>6pOa`dc;JN0MW4cizxY9{@P#$i8kX-ak-JkNreL z!39_YvMz-dz*?_aZ!8h6F~=bk+E2$iGbkPCQ=pgi0_vtJny#I`j<1IQ(Yqm@3QAi} zbkc}F<6^LVnZoSpgN8Xo3ScI%iuhRlQFG@iG?BWtg5{x6Wfp67ghM7@Z(3fpCvyh9gyY|wWd5*Ek~}98=mZv z?|oq-Q2Hb3R=QX8yfXhy365%`OUu8*{bE_B8Qj!HTf(T?yi@Mr4}(?@OKUqjr6GK+;TVC^VULKX7Uo^^7-lUe1Re19vnQ9R4IWI6GI)xo)m_*1)V0ZY^DQ)maqODPnYzoBk~Z?nbsH$n?6s z)SrGNcfJOAb`ROcC-`4xp1DOzT3*ZmmH7HfKCgIv=~XE-R($Z8Jzi*HCOLIC+4fPu z4Ls+Y_=Oaoa7MQSO3ek4auSpxQxt1G)Z5lhdl^1uGFOut@vRXl%d6w1ySAF7Hz-Ov6wm9e(9h?6%0#Jm=Ov9GSFAf^tfOs<7Vq<*gAmAgk9-4e9`d8zk| z=R3(yUWO4db9MD(s5%;1^p$~y1-AvzPrYtgjFNU*7oGrf|Dm~2{T`{%Oq=q~ z0}2VEd8Yu>U+WAt*n22cRZ`D2PEYYwbNdR4%GP?Qz`PIWF6Gn1AB-iQs~>#gzjS=0 zNIEbtJY^G0tZ}Ko9h?n3?~b*NjT8{MDaFx)tuTxLpw6~SLH4pN@3tyoL-< z>S(}M{6eiTH%5hrLaOaHl?V;!YT|72`1hxZI;W4s$i@ORuA+IyTuy+zocrt0w!4ku zN@Ayahkqfp@XRt_TJ?@WC`a}It7dGV$`%%1+3SWJMM97#cwcZjb^Nj@hiVWfRC4ca zNDuje;R&G?==jb-BzNvM-e(-a!t~})FuzJx8^dBO7UN7jTr-}=uvio3pg4cD4`^&n zx|_iT(;rV(9S?Ejq6aCDN)JVD;}Izovhdmxl;1M0rpl%ZofgWAg!|s``oY*-p~7D+<`ijiAt7glV-`Jx;B7PuejlYDO$1ga5m?a zGi9Jw`uS0Mj!|y;&mIXEyPO1{)=#z5+wWnNfhQz09uGU+*u`}+{)qzIM_xEL7V-;D zI0G((9y#=isZRb?&WuV2(9oI;d6qNPY!9gGGo~vCE70W2{{ikm5x*Ovc?ls~5Q6Wd z8>q5gW4CfuWLrYEBE-fa@jye_ym>}mMxj!@WOU*ZJ~5k17>iCq^Q z=gPXgyQ$eHgtth840kv*@9>VS2M`U9Rob4Pna`Uj6z@~I57BJWYN9`?&&4S{Gv~Vq zDFUTf^g+&{I?i^>%(A#m(uXtCbl0awr!j@U)`-o609AkXC6c^NK%uNxn?oHWf6JWP zv@07+q-&Q08}R_0YKXRR844>9Yb0PHSQ`rq#bX6A+9+tIvC7I2t%VT-92$3SF(Ls= zeezrLIh5&Rh)L3r;M|155t1yfg}Lq)=XL4DG(7STJxZOMaEI_Ikr?k0g-S%eh#||f z5E6%`#SQYWrm-<1qls~C$ETU2eDH>KsbSZimZ!N`G+{pqb-1JH!)G#SY>$rFwev*T z=Z)ghrJbRdNBpd77Y&D+D5TF@bu8;j=L!9EUS7y*YjE5#wo}vWL7{k^r(Y-BrB9!j zo=nogsn&;)wR_NTC?8WT{YqJ~^Bd`dJyl8?nUM$UbZGg*Z+2WE&+Ab3?f?R6sJ-Rg zfy5>uAGg~9%@KqgK*;>XM80x9m}qRY5ITWI)=M~=7_slAfy(D&b}Ls!j+B3Oye=eU zLDGj?r{@vp{d!8bfU3_Y>{hOd9JWA)6oJKRoi9!#*&07^G%5-t@yQ2A^a_i zO48XAu5_H`RvoVms~WzC;?AD%DUxMriU-PP0kZrd(+y>@=<N^k?uIMHOx1F!m2TTQQkSi9S*fKN2Ey^;Q3yu1Bz=q~`;Q-Ci18L`uWmwo z*nc)9nuQ24>3EbtpulL_)YN(E!zWy;pR`T}Ez^J7{PK&;7;t)bu>lScr`9n#l!IDA1~% zf9&Gk1iT>v9+^g}uNE=RGXEu^aA!yeH#nLQwx_i4bG0F?o8*|Rt}oACkvJPojH{Aw zZ0@AhHz+xdxOR@}!@gwb1{n0c%ocOSBpd^8G;}gPJ ziL+U?3D=Wv9Fam8jeb|r6wow9-BibmQ|D|-oy(GR(Nt71&wnZK4 zr*QgoD4(~{oBsDw+@B=+);jw=635!T^2%87UU@3EPVq7t zX#>b~X(>zI75bykUz?Cey+-P6W)+J4j1yHr&qh$GwD5qd=UOP0@ zhAyTd{7^X7G&a@*6rp1DwPzE)n?jjBvJ<8txU*2>5pVI^fu~L2nh`6wYMS}%R<5e^ zU5T^Fl3h)NGww3-Q;L^=<2!_5IEq$j-^4w2`TXi>LWhpo&;6Y)Fi6 zl)t6U`gS>{e+>V+Hkm;*;`#q^DeuY^E*f% z-Whm)DY5I+xzd%8yw`mQw%5JMigB8gHKUp+r}7f6mWlE9p=%lFf#`83A2Iz(k4goTo~KPWdHM|Jn!PI`>j$aFHe86TBia+X#u`Qd3& zu$D9-yi#HT1iTP;+3`je(3do`lY%wpCI8Rs{99+At`xjKjb4J6=E^->J0V6(FN;M8 zFQu{t?Or=HmF*7K=^=e~SALo-&@3$$0=Ei~rZvrbsLb47ytlU4ruS*;&-MGJz9;R7J_|bM;AG3i=ok7rJw=d%Kj-=<{WpZByeqw{Tz*amB!HwyKA(UH7p<7 zD-XV@o;loVAL|#GHTvk&wMu8S3Z-nJE$_S~gwZOLY@b}~W$(qB6Fap2Dh8HP{bkQ_ zRT^oox|)#@ze|sd&lil8kG@lkK{_{Eu-?eERnP*E|C~dbU98|dXo+RA&4DX9^Nm7c*!ROtUjudM=Xe3 zH5B(fc{S7#6pB{~E>3H)K1`v|9>W2hAYIxv3bemkLY_f=;g30*5RObdj86!>Htg}b zN|5&t|9@1QW1gr^_bbR^yh`vuvOL=1cBr>7@+l*btJ2(CG$s@|m}vG-h^1iHD#4pb zpTmfc?f=L5UGPBTjPi6&X5>CL+eGk zO!!;NMSs-t4~X>I8d!f=Bac$3H&NWVOyHPWnu485UxLbnkB}@b6a3nq81a<>3#gpA zOgKUa>UeRPFjd!!mhp=5T=E3o6P{c6XOkyfNfzraF*9<6R$;z~%E2UQ5Q_xaY6R^&zL}EOQ>J(dR1Uij{UrQr%WHh7kcwMF!3hvNHR;ncJ3~2(d-@x9XkYlo%@F%fYiRf;1 zHSz(m^^D@0DkdG(HMib-nsodC&H1w+tV?2WNkx4}ti_xmi?5p$M zs?PVo>C>U!as~Ou*5n%)(``8q+7n z-5uv9e2QqShBa9-dP(KRz9jv}B%R01SE3p4X-|S~dZ@)#mK#V01|-I-^l|&EY2iyG z*$G^pmez>zH!f5NFK{#=Y)UlP&)h)O2MX5u__5_C$P$>rp`oxWr4DtM#H2(*8>-Z~ z3Ad$CKsBaICBBA)@Zy0av(MRybEdJk05b9?7b*mMBNY!ID@LrHGcuLVGvF%;0k|4x z`63Vb#&V7(ggcC_T-82U=~VKO@hI|*d4DzdVks7gp4!l_3U0z$c$f~2`zmsWxC7Ks zrzDFSBC8e?O}6tF2$_nj!J%`V?%2Q14z-V!q89hA)!;`_Y4bc|B3I>O_Q3;1j!9O} zNS`(2N4tE1&^`qf(_hFc)Duvp<7u7lhFDBBRBa#7;FuXr8A^StM3jg9W_`MmS{7&w zF?zj%PcAcnWzH-eh|zGUY%!CSk?DuRlYC_9hV@5&YeD3yp^g=OYn}ZYPfb|5h`)x~ zl0to7+BT&%n(#uYLP{hAt5b5^mzL<)H2>>L+8EKhscB>&rz*okkOgH9F`6vPqHahC z#V}iI4H+3QqJe$5ma+B5AcZw_e_9I&qzNefaG0zn$Ph@Sp}za3RF)R#-PDLIS{xi| zd8`38NRgu9nbLHr|7R4&`7aqrG^5q>xqc7fO=Q(G$zp31Sye5+7L}QtUiS!RcmdcU zRE%it0Li}bzjBA?896RJ2Z~VR@eNM8?sHpnM*kfla3ECEvAe0&%O)#?$AlVp8TlK< zi@#XC4dvYRo%9fX*=nLcYBBEhkKQ;aEzfTpY(shZF6Rj$+@4lV!_sQ!!-_&dk>8{g zHkufhk-ufSHvu$wZl2JDX)e@wyuwLu+OiiR5E8QFtFri;4j@hViTsvG2-d1JS@M=2 zc@)0fSBK)=Ur(S=n^GHlU$TaOe$EB`88=Zvvfa_>9av zA?x8`I+W&pMMyU<+MXNA4#0|6#~ezcr{^%q)$ft$jNxb zs0a?D85`tmppc~_>G%Q+R*z(9QcOO$ZQ3rdr?^>J{VmoEguG7B{n{~S`2qMRJtES)BQ7R zJ~qo>p<=Xm=s*WPW5LgQnh>re#Gd1-vEZD`G{Yf0 zC&lFt@9nc@Axt$~N08d8{yk0EwJgOnTXlWMCq}&ag6DVu&ib7QBb%O8|B^yjDE*dk z87g#q5#wSCWg#-3;SlpEi|MewYVT8LKA2~$?PD{KM$_f1zo1aQkKY6#alZOzK`j27 z%9jvg&$Gq+o3Mvl;%{TF&-wpyq^_2zrU!AR2G-LC2yrc$!6&E5H9O}WI^Prp_U>(Ha-SY zrD1DK?s|SxQl(=r0y`9WuHDL2kpf5vr?N!US>p)^A{d>k z5$Ig!9p-E`wfTGqpCvwQ`E`oqQR(vPwv)b4;ZoDH*qYS$ECMB~a@oSGvLWX<)WQ~hwT}P}@h$VNx2Jgq zyhzekdeeUIxRwQd>T(r20|6cMiP8IE*N8NiY|ERV#K*iyVT~#0Q_jmv5}%g~Qfr7g zdON_m30TurA|YIWrD^F<>93{{*JX@Au1drGFK$)7Yp!#yQ}E5AeQlrN-q?jznU9%B z?F<{%(svZg*T`^hsNImHgO{d7w?3t;Is4s#;q@tP7y@P|?a-JG?_;GkLYUK@fLK_1 z$q1fBO0I~P^q?J5+$NeX1go$XC$X!JX@CM+M%0s z?a#bU520!rv~6nSPD1veP|W8$6pCpWK`*(gD7CVGu+7t<`vN%*9J6}n5{v+A>IFios`mjYouBy*CA?uQzKQ@$|&ygW2 zW3IBeC%&CD&1;&Q~%dm96Jud}I#Mg=)g@i{T9Avz&BhYR){$R|HBa_!^SVYt{TaP-5ePkxKt7 z^`LpAYafzzyNg#|gJ*GNv?Bt=u8Sh})q1Jeb-O5#woyfxrl0@^FWiqCrUL=!|hADC(@j0%@s7mu^ znJ}nBol3djiz%i^A%tqeu8tcqZ$8#OHJiB3BKy3(?$3ap$$NV-)IVgm9~1JCe?Oc|D2pX%Q&tygQYC1(0ZL zMjoLxraYs8wT^Zu&DZT#u8Leo$l?@J=JOf~bu5L#{@8Y?tof-Fwdc4h4SzuZyFJ^X zG+R;0#^vGWWakeV6S*p%SCBr>A>Tlc-VP15B$Z>l=Q)>ACy+kI6<6i_Rw~CnM`a!F z2+cbT`;tWV6E1|QthZC{o;*7*OBUnrJFw-~Bx?+3)%D1Kn9t($nd5q>1_=^T_ESA@(|FCadMfQ2tq^;mOonrb3 zN#_*Yk7yPrJ5R+GTrVd(A4oAhtOs%g(Y%-JJlsk-_U8-8pO+54p7&O=twIL!Cf~e-k-`TWDs@uS_$ZdR2XjXr=b* zmr2%etKi=8wZXexs|4gp5;8L66?j4Cxgk-cPXuNE2R5(!WKy@@k4=j{cSr-VO(i(E-Xhc1dB?Yz%h21|&KDs}fv4X57 z$TGcHA|dQ$w{p$;7^sLW!*`*$m-K!MGT`&k!W_znSFa7XuG{Y!8^T{m`rKXD{SMNH zd$os>RX3qf(}-p>@>SkP`ev%pil$9UOre$|eLhXdvxqaN`}-)==@e=g zqM7&CwC`0IT78~#+|d-Tttjr_CmLQ6&HFZUOQw6DWD}T?%K=d~G2P^cYyw9uZ&?=lnyOP(+%k!uQJ zn#$W!D)aal=Qz~D#!C5c3zpxD^zBvSpjYKnV*QbcidT_!QtGTxD5UzZ&+|+u=gNXj z;#?E}2@#_@tIErH4q*+iA(~ed!c=E|?}kUVnKO?lV*QUD%9&d`_8eEGxtKI$8)8R# zJNk2GKKu?;pS0kTmTky&6Q_G4PmNY2*K~71A3md+QSGmmUq)}*Uqyzi%}4cDY1PTI#*e9HTxC=<7T#O9^K?sz zQO#KTDqF12TL67p_?!ziFNA4nvk)PDIR7{G9iBscxGw0!dGd4~an>Z#be}wHjQS3x zor`QS-SUuWMkVBoZTK{5y|WF^q4qP=>{>vYFA5-~7rwP>==5mj>p^o_51Lb{^=^`J zou2fk7ev#e!B?oTNC}4fqnzl-yk0aKYhNlr6XqLoVs=<*)B_O$$f5Fs>r*~S>PtTQ8)+o2XA>1vr<1G$E4|_K3-M3mB&Mue!(sc(tSOMp`DV`W(&hdPc zJc1q7Lifs$BJ_Ky0GS4(lSR7Ed6GlEJkemxR`!sTXR!ZW za$0(-7C`TmGe+RJ`&_z~X*Jez0GDIibPWL-<+!XOk1%WUkm##?q16`!RT}Vpk%Tna z8sEOMErvUZ#PNas))UyCWcwW^lGHkQcA|vHR07ChVa^kM)Sf`jlOM!j_txS@^MD{Z zmVZt(E4DPS2I7OYI{2?^mJP+M0Q$k&wlNgQoI~Lskfx*brUOv?mjWckA9tpi&Sn6? ziMd|bLT3=nD!U7kt#VeP`FMLZnqP@^b2`W)IlvJXLwRd@sc!=9qnB~tQ|L$BHPtl5 zCf73ajz1ue!gq8pFpD*OXL|ks-TQjmi2L;N;m9&`$1-)fZ2mr@^6yuvbp2&p{=)lF zx?+YN|8Esk|KSb?Bw%%5Mlna559iuBw`Ra^J)waV%o61q0|>a}Iu>`RcmnfJQ_`pqt2lG8ZXmvR;`6 z_CgN=mYH0yge06uZAi2B%T5wW^&7Z*A zCP?NgH*!EKPHiLF9iFJ)09i8Wa!Y%)R9Q`);3?2d%P90&P-vh}-QN2zLBQ?C0SDaS z0BzmggQw!@?s8^n7s!9;pq`57khWH*v_7pkaKLG))4z3TvX4rZuVXs-vSEdjB;L5f zi!>gv#>%s0jW+d!3#VS+IE^^uw7^=FxoJsw$MW)n>(2*Xg1Z;W*#|{xF4gH#qukt8 zZVgwGASM1stc&{>;Mmak^JC#&Y(nrpUp}w zJQ?tn%lJzyaaEfp^QT7JJ*wa`-I>C+Tl3BLvZdWg=_9JbdP@H zs~B^NW=13Jrt|Itol!HK+xCpKU!2?fvrA)j4y=n4ecYTzKtM6GJ}%PesdCO92lP+C zVYt37beKI3u=~s92iM%&z}=Q|)+ns9yVwe7J=^nCd6d0q-4t?0Jz`4(@WTHM)-a@`&ZXz|pEFEO)>I>tJce!#5kyDPPuo;ZSY~dNX^@W-y=UlP?N1$Nu%{N_b}p{NjoTn( z-!Y!)(_*g=Je`@okN33LIN2fhcwv=SccQx_bVfFVy>Kp^{0wCjINsZawWrv#0N!0b z)o`E~=Bd@!FA&c7FPHQWcQ_!g9zU{GC7{f$%Q;Jnwg@yFt~Cs6;SK27<8ZccfOWL} z3tr%h^}#iYvia)t^z8$i0X-$5yR|6N8|TS#YU<A4*4?J*UHU_l+9K;i;eVv@}kD2+M`HjlN-R%38X?Cdi z7Bqv6G|%Blce<<~kI`$+gyX=8y$bZo6+g`x~cb`gWxu5)3q(za$Z5rcl=H(^0 zAzo)lD8v9Z$SX;Pw*jQ%M0qpP2Uf0qWMrQwS{~X4N#53$$Zy!A172~wz22VPGWn!bhiYrsYSw?Ie5!9a8H)BZ z{$oXG&j0uiEznxcD7RJ-5;bcdT6lr58`SQ(tU#+DB}i_|fEEo1@Q2h)izh&H>kW74 zoj`|K?^WmR(mD(U;(N-ub4fTNcNmjpV?@~ zdeXu=uT0YF`9ADO1|9SrO-m7!6WI&U&Q=e=a~+W|N_}SfEGhBC6XcSdcH5`W=Lwp9 z;ROk;bJXn7BA$yUTX*+8>sH+Pl6#;#ETEc!!`kV;?)Hc0IB|du??fsB6e$X{?xzC9 z)3?Z(Y3)D80~Cd=o+m%JPODJP_0ViJp@FpSxXpU~Y;l{D{c6ZWTn-c4KOW+OwEL2z z3$EE?aJQqJxS~AQ^hUgwpM*37-x>E_hVzXP-<)nE`>HoDE-nqPzGL9kxZ6lhT(79m z6%sm;wD8GAXPAekN*VvgWSwseY=*dv%6Ka+QB$CO!S(B$uCL(PCrB#cdi5Z+a5qdD zU;F21+)B&X(U_dJi$>#(>JP~EIG@NPw9y5hTh;bgt2OZi7KxamaG=Gl#;98G6)+71g1)7!9b!N1nf1T)nFnP2GXg!XH z=Qy7tkFxJ#wTz}Co~_ZFKXlXg|e+xOYU*T02oGWys&ZX;Veg*JGO6~1$Vc%z4+X@m5+Reo|B)-xsh zO9;$iJf}*-ha?2hXA7G7JUp9xU}5Nka>M3LRCl1aCUj5vpJh(Oj0?CAH&-)zA*V@j z#B-OnF0`7RW~t$6bvefKM4&~P*O&HfVl&Xr zXzmA=1mEuW!s>YeI`c+xkLR zo5igCclKIU;JcKZxK88@0{E>k#rUF?1-)xu=TRWgY|@id<^F^B&OCTfSgatkM7!yrw1182t55Pe%f$& z`r-5x?FkE;pUzs?!z<1QIk`twPe1PD_6ch(qkSYS{$tIb{xeGety@ND^%<>tTSjsGPX2E-2jnVmb5EW#vDfZl9ih z1`k`!pxx)hs}bf;@>G2mC^!PeSZ1bOB%$@nDBGbF@5yD_XCzwi&FGBz*7HK>F+qo# zFKB?~5f*+1AkHJ)4PLIoa-lUs+Xardv5FD z3D%o{UtJ?XXQ09;SqiCjjhyg}k#~77fUWF(!&|CRfYrpvNbCD5bn%4#twOQS4`}BT z|JRg+)lZXiYFi!F`A^Ez_;QBkX`n~QiL3fH{IR7?{;Oq^p=jm9I$x-4ws*4G7c2Cn zgznji?p~o^>!iIsp(_t)p^dE`sMm7aI*~dLSL~oVy?9KhZ7vKt^SkH zkF{4(ucbLM+3F*5ulx}5sD$oYp`T3XCk80ubhxAp?4RQV6ysA9jY8+iwUt>8-yq4> zz;`n7WX@P_Ly-i_T~(#9t+&vxSwp?)TY1DmtuyuQfONLEfM%uDFNDZ*+##M5KbQ;e)?xdv3gwqJsF zSf)km34!YwI3PHooBqQc=6WG*PPV^LGiwP9$@q3p*8R(=MBTO33mPJxIPt$8S4N8u zXs!=Hhk6buT8o)4>I0y43l0cUL=W8IgjMGio3t9HGI%1}q_7lh0sd&)Ys_(n1F`R} zdu#hyJzdw{+yVwaymN(XJx+)jOQ7|BR~skvqCpyh=2ZzjcYs3QT~cc4SGs}VGeozpXkRT%;iIdIo2jmla;HcGx>SY! zDWOYN==}*@r$rGO=QZ-9ev7+0k1ZpJQsLP#?VT`b!)+tlLGp}dLXGyUB)Oi$`*uR{ zwTK)ejJ<(&?+X3GB=AN2;6Jd=RHa$5muKBGC-Wv>*mV(!6H=q@ z?R-E?0~8}qYE{spJpr3&df2};5_;3XI(Uv4gW_iux?VzmIY2?PyPS}A%m78oEGa*@ z*6+4#CJ4|=D_X7@K(j}pJ$XP2&DM~+I>yjpa{FPB0FCBcpLJ@-3AXyYq!X^!4N?zx z8_0=ky&iengkDh5zAm9>4N#>2igH({KhVkO-_Jf@#MIvW%J~cEnsVZL^B``xvzAv~ zewlU7t7unA9Hs(aSaPY(ben1=Rri{TJyKenJV+tLcy5$b@FJXZYs6^s%dI&VLr6MS zQWV!42Pum?)PuO@F)`d(KF!~%0ct&@Zw@%XH@Zu)sXs!5xQ$|=ZLFze2YBkz@`G#L zV%tIxpg$P+FYfTYbzD!Y&^;1*@&N5F2j{GpKu_C=rcXZJG{xewK`g-G^$8v2V{Pp} zJg^Q@vv2zg^}{eD$lW7fj|_AG-^rQ}pGtg@7AMGw7Ba?bbB_;CV5P|)uZ5)3gb`ix?D5KnPt6sZFQt|IpgXVtT9~YC|BiK61aPBx24n_X`t@nqxd)C4=jO z30=5Ff#7^Ve&(!XcmX6M_dv_3FgpN!zc9u0-AD`#`|ecD$=Li&JyT=*1HQVVHMHjN z%?ajRXXQeX=hAy6@XB25ZP59$l*VFUn_&oHSO7jU* zQQzgo#?ZU&vu>8qOT}AqdCT=5Lhg}hPp)WRme7gh3twMLq#%FHTk|#0th)y{FO1LH zTT*qNx3ThBS*4KQGDpgT7d7eae!)^9!)UtdvoG`Hsq{ z+%uS!+3xN#s=Hlc^91$E1DhxKZdDJh&{;;!KHpUn-`Xc)FvJ~{h*UouH{m<;apg(CR)@&nbztutia3Y-x95+9dyNs z(i@sRRZi6$cQ?qH0NVXoWPp zSI$v-!WzFxr9gw5*0b^FC^>Nzlcrz%`JOSEW=Ag}z z`P#dkqF{j{^}r#o3INXq6Ph`+vgHTYkIR|kc0`-sJ!)T<%36KbrDDaGF;EMcN!DK&f_=lL(t+uL|k zr8fO}8xy1iPOYo$Ze9Foar|kG-Tor>kBXUrX#dhIW$*LlQUtVC+t%;%!9obvy}~57 zQNRK3qnR}Q2NdlBpzxNAo{`YJo&_}KZ;$76K|G(7a_EfOL!iS6TOegYs|e7#?F0lg z&z2ut?;X(MZt3*2&${%D5^eVw5?b`C1Nd&5XfLbKtrJ?0%fC9I7Y=Bl^Q+|!oH7TX znZAUU!k@y)z=0n^t5*s@h)GsgT0mb z$_hO%N!z+YFG}buD)jszXt%03d_QrhHQPayhX1T6tc_ec{P66LtD-^4Hfxfdszm(8@D)iF{oeKI&GPGYBhdpu|2dMS4GYXoi z(vLz6pzq)02kABy`hC#%I{DGk9e0RD&b@VB*0u$xrblTuSV;U4G^pS5O04BmNaT&| z{kGrh?G+qy! z$q#$f%jF5sKJBP2673HL(h!orvIW#yE4dW}w0lp~wEItOhX3#DaTw`4 z@%BZzzM!Pcs21sZ8xB6XTk^hBD*qkTBI=8yQERos?2YNe&yErQ!nH1c?QNxND(~yA zFIG*SdSyjx?ez;f(LYO=Tn{}z>2Ov>Ywf6dM9b>8y3ept(xHA|eA9&HaW2Gkn}p^u zF`zacb$X=(zo`n^zIhY0w%Te|?0a*iLw8MPDOA^mcuyKqYdct4%*?(hjWHJh4t-|F zG4eb&X;F({bOCx}tX(|{=zMYl{da}V?Xh-fhB33LqA${RDfz**?n^H%2+-P7Z5{db z1FM6^^5zv4YW=LbmAPr+Tj$umW80AS{KR1@=nFp>jm4`cJ<-SF;R`4qkhAa~E2eXK zhI$z2%PJH(3h0&2RILE{l@N)@`?jdU$tj5nuGg{xHo1iFmseg>X8$GE+?n@F?ijT z(9ulS<8x*XX*`u?5~1%)#PQ*&^&8ywUUxmKYxBbOZoT$x&F9cF!UA z(c#o7rmyXwShtRs7mLICqnSLlLp=^`z30))qgtmPXSbP!dX#)Lv#Yk6YBSN@qiZ&6 z(x>qiv1_f)nrH1H~K=^6I^E=JzYH@&Qlr#uuK}?^k|a0^uoE6yT}u z8DpHW#|fVPD?P>6yki9e9^8YVt-c6bzF&!_8Wue@JpD+Li+JX} zYDV#dZDuV!kF3(<6D~aush%F{p4MUaPfz=$=ix19OHbRGX!6pt)^%>h)Aj^fMA9kG z$37rF3`+pb?SffBZG}%gpFNsAS8LAg*>mJdj3FY8M=7LfGViQ!KTrDYtD@rC5 zP8fH~d-&k}S3JE%PJ60#5m5j1k3yZFZsNi|SUuf1Jq1^sSP_)N?vkF~AsC+OJ3^-O z&hF{ma-YMRR=-VQZ>^r9wuJ7h3kuHX$(h40EC0){x`swy5at=_uWryn)5?1pQo{US)gBZ*wr+ODqtB0Oap|*;pUNeK0 zG~kd+U~oV>%_?8KDW7$)Hr2uviZ!O-icC-e^$`n-fbP@#(?^x+C!FrnBqBa*b>&5*K>v>d;7i> zyh!`RKyaem1B&_}mzAh1fTAqUC}Ij!9IZfM3!nlPsL?)Lp=j-Zwp)jKohAH~bwI5E zRND>~3)Cw>QSxVf7fLABzhzX{#+5t$AtZJO!}ViwDlP8p%}ZT#2Wcg`A4pp(0=0LN z_OH;xM0U*=Ts|pz3TU?-Mrko8(gJ>q)5cIFN!m0yR1!$nPU7i-;_PTgz#H=j3!VT) zPCz=|BWDh?oS=A$R!YuR>pu_`VR2+7bh_zeqJy<_px;Lh9~! zKyY4{XwR?s+N{|ND!$hz+8j4Gze2Ybda%r^G{J%An}C|Wbu3J?b1J^J zSK4ZozQ0cT*0irlI@EK2Yl=t&#%|4O8Qi^2esJA(APsjH$cgJKDimW6K+)^Z9L`PX zHWhkdLbt0>jGBPMD=XCaVk9Kdeaob)Xl&5P-(U_&(B-R1C zRfYZ_q03k3T?wssI=elgOINh_By^<;y)&U3SLlxt`uqyLFQJQ9=pPgM+zP!vq3c)Z z&l0*^h5ja?>sILX34LaTK9bNyD)ga*KD9z^<$Jxx-OybsTH8~t&uVsCJOAp6gMBHj z&pP{V9WZu-l+QH-ds$iA%|CVI@y?2Eo6%GN&ZYLum* zMJbxgGuv&VyIuXuPFj@CnZt^S?`;)o(rOMUjiE!m&dAz`xh)5p?GkPMZo)&)C(D1b8yGBCm@?nF7)-45Vmvpx^ZmOhpufbkF@x7v= zwUNEL6uq1TvcZS3mY3SBnoP}{-m`xLNi%Ut~Vhm61ATDPNY zEVJ7VwbgAjw3cRQce}5T)lG+7UqH`|? zuO4ICIPtA}teYkD(n^|*Jk@PPYr}lIqP1GO9(n36Y2TEj{ZGZAZ~2Q}S8ofhn8sOOR5DD8F~ zZ~%ImoHYvVmy{n|yRCD}fCFe&lM~mvB(Q!~-Tt+<{p}S8Yp>VR%#zb8+D((RI*lzY z>O8-BqOHeXw@PT8FAS|)@sqLs0k&E%=}^abrG$>`s~**z7Ihx7lJ?63FM&6Y)|Y6m zwmFI1f`)ahCL66UQ9rBKt&G-}s8#0n`V!Pm%g70j#aO@o1BwxUplC5<6s=33n6u01 zRS886kx{hwK>LykMV-PN46Sv*dJWK`otF6;Em{m2#flHm<`o}^g>k53VQ+|{ZJRlu zjR6j*H!><r*<9LSM9J>^0RtWc(fi-t+P?G&LveI zvQhVXmcUkKOsi-|_Ek%6mn@lktSH?^_N_~!x&+7A2dq@jKA88_Bc~(#s;!;~^;Duzt4g`inrXF;mG<>`iLGYIwLd&+WXalQ zb-!g~NwtoxkE%;$L%T=zrkW)ux@~VQF^uBoQOn6#C60VNSv{0F7Yp<+p1A#%$;w0B zBQEtQpw*l8IE<|gt=r1BuA+OyuwJKYYmmQQ<$ygTo#C-3SE!Y=v)@A*SyJuWr#!Ru zsr6Xq#wmsB5tq#pTCY#FF}&JKtZiTWgSB4k5qivS!5=WMmCMODC3N=JZIA4r_8sLc zwL|TbqcTdZGG|JA)bThMlDv*}5*SZJ-p7|@@^@yAk=?cM^wIPb`;F$kJZ&FPA!@_k zgNH3wa9%cXwx@@I{otv6XU3j>wtWir2y6SC{#$tDT}hz{PM{$Fl>FqKOznFl|Ej{^ z%`JohiZHrMy2bt=iFWxE9J7ukM?5&DdTKiUGjXoJR^q>`^64t9eS^osey)1@uB26& zCRwXdnv^N__)C*RD_<}VwR}>4Q|Y70Q)Zk`)aNa48OIstlY>QzTn-%O@=2}ZA@1pn zeI1;$Hy@tDT281-3FB-}>-_n_6jm;SvMY0*$b3@A*DN?n6C|akiFw!OB{A?Hb6NTi zW$kD^zWODqCRhhoZDGSYuaXmJ9-qM7?5)QKU+hX^X_2Lk(qc}g1=0a+5Lg{o`%26I z%66vd!3(dkvLn}KU7aQbx;3PJ>A$neV}^! za`*J1>gh)5DfGp8uAJ(Fh|w$4Q`F?zz61IcIdQ#1PK5dY>|J}T-bJ+)3q_>0)Ph0< zrPR{W2MQ<=Vr?shq9SPS6_toWjERr_W9}vK{lXxkM*koNA*Lc|G{VhQTb1Y0_&}qG z2$TwxQz(kaCA|nOR46*@H*1}J)?xd3zSEvlq4USruV>Bv_RQ?rvuDrDo|)eks*urJ z_#36x6S$J^sUuSB`B9}RKmGQ;LLD+%%-`O_e9=~s`oJNWI2V_aBiuV5!d=k@}M^RW;d{smGQYRr`UI znXKQlhG>CqC5mX(+PXkBT2D4q9ysb0v_khbbTj!*?@+ZO{=%$!QlpBiUSbG4_BTAP6dZVE)A$pUcxV0U$w-~yB=&gnxM)VJc&Lw)gp>v3? zH*_}9K|^N|z01%S5#4C$K}7#(=nIJ6Yv=()?=$rIMDI6rKcWv9I)msYL#Gpc(9mf_ zA2M_*(anbLP4p2%_aeI0&^?JhX6PP7w;4K#=-&<9jp%O0lMXGx;DVN)Wau`cdl>o{ z(LD{_N^~znA0fK8p__?L?NHg@dy7?W_O~=oHwrcT3tf0B`7jIVRctBW;elYDRn+|% zj~WVp1SnQMgLVp0=kRQz@MVIwbe+y4blM%A8~P?b@VSPsi*4-6q}16=m1l<3DCxc| zDSW%|_$J1;LvO+da|_6OXZV-MA9+tH|KQtWD-=68SV~yS3YtUso0|>Q{B50~^GJJ# zp>v4lH(u8Hi%KTG&S5S&j3&NAxp~PJq#ShLl*>W4?fk@Qv4k9cZs;qC`i|dXqE{R3 z@kE{PQldXHTJ4SeAVseXX7NWun+Y1FiOYzh`_;CWoBwOOa*Q1Hs|OVzdDGN|Yi` z^78)Ba?@!5ylonMe^0(4N#rI;t~L&8i@anJV+{_kFCS#I$a1@xx#e;=+-PqiZCS8-3Xjl-Sf z@J-`z8aW(1M2r96l_>b4{)*9Ug*ng@&6~Y~=t4tpA^KuN?;v`Dp?Y;`zM;30)?YEw zTTIK1_8QX8GgL1$Ifp^g`nyec5nW~+eoS~9?O z@{^ZW?@S$Iw7(*U{S5s-qAxJ?UZT?t{r{e2@S80DLfUD@;X$HD7^-)s@{&z8TD?B? z5<`DazB3Hfn^evLei}5j{Dl8Pt4jyyP^?0RU4Mk=R6}v^4LHm&^Z}v=7>ZdFXb&=U zBhlH0t|yAoI?8Z6(fNk1B?{jlXyK0_x1$Wbo+x%@f)?wXpgq>mUl7F@9<;dG5VXe` zdJR#GszG}dQH<7sV$22y*z7=I&w=7}aG;kFh5ZQ>wh6SS8+r-RcN+RtqVF>FBBJj# z^vgt78hSp__Z#{}qW@*+7l?k)(9aP4h@rSc6}4#ESLM*OugYO!wC@KTyW1LM^;X&% zWR=6e`x+#yB}VCon*MfjXlv+IAD${}=+fW2smH#yvg6j6?kwI#cuepv(QAA)Nvl2{ zpASD0wD}s7(~1K;)5z^ma`=s*S~tp9%5F1S$pQXq@Xa~soDF=?poM>mTJ*CX6)Pk7 zrB+<7HNMNqH`XdZdlFG!Hxh?fe*mr4VSMfCb>!f=DV9H0CBQ)|4e+m{#=U7hHt=!5U1k_$NGlFUb^ z8?9uLx=RwPf8gsoZA*ye9#dXp_ky(Vl<({LiALq-K9_cluE;SBk5WUz?N#|MkVb@depKYbP;M@0OMe8zKTIfJKnl_g1a^X--nf0@O3{`w7CWM77_2lYl|v} zUAbRi?4CzU@O1VRe3aHWe}h?#BWSsN)SF9m?4$qTb$?+P|^D)3zMs)vz zkDISz$$Vtc=#+C9u)4j09DJ147~pnY*4{@EtySdXv9DFgC-CuDqqNgv?+;Rs=mq>G zIZTX}NFO|6NgiH5TH^ksG}Zk{`F*)Qxb54$v?Ao;{+aY)G%YXh%JpFxrT$aX68UGN z@$h=@pXNWmU!=0 z4{%!L<|Who!a2x3cpuVws(X%VdDk;U0YLk_Uo0l-w&ZxC&UY!%Tp!%R$nLnGx`G@A ztSywA>rTERD-Q0F{s;N4FW=#V&n>y2#ur*{?=A??3k)6amD9ZCo1WFS*fsPF-r!2= zWQ?0YTf}JXwd(UNVzl;)7BO058d}6?l|zddt#TM!#OS1AX%8wNSls+I$cSJAE#fCZ z3(u+k3MjlPprfJv8EN6+1cw2l@ZJK&Oci|LD+UTL5GdBe1I26^XheacWSE2eb6U^s zanfREA^2kd0vsMObRVJ*7>c@Oq0rMs(C-t)NEzs5M6qHPXgd*6$IGUDRSxYuPL;zK*jw6poN8eZlM+?~ za|YO*mAcs_iPgSul)TaReSc`j?UUVE>KIxwo4NUpn0Br_mI|7LlKBqET%t}Z4jx-~C~5OO z)_k|mqYNYm*vqH|Rzy%T=b$*IJVvXZJvHAa+x4Ps$9qq$>15U4rju0;n1w~}KAFAS zqZFG?R$0j^H#=G7ajof&;$dPH8{>rHuCD5Xw&`S*ZyUR-9AdWw8YLU$TB7K@rju1> z?jy=_HGeX*TujNr*Avt@KmJ&OtNQ@tXU)rJcj_ zw%En0eLFLDQRlOAKh?bo(Ozuc>zQoLRfZ~FxoK2wW0!;0jlAWTP?G)3#;-Q1BT5Js z!TByF>Z?hLul6<;dZqDQK@J0kDmRb1mX_>jjZ*x2I~|yfS@PQQHg;4kl(*aE*2Ws0 zd<{h7MQe-J7O*$6XMS3#onWro(N2?BIW!wp<lefK878)0^j-tWZ(&(yKEYT{ zKDz%aUoO8<#8>OavZLG2IOIO_h$?nh}J>vhvkag${2`Q(Wj&8&eVnOx z7mCR5kb>g3O7*vxKf%%{^;|3KM@FRji{^SO9dYLPnddv3`6{*kR`t{y*MBQiQP0VR z3(5)<95wMq_B!$)ORYJGcNsUKiXlv-aHS83kE)bcf~G)P5hfTA=_Lm-8w zA)&7mry>5nx?WJ14f!k@rn6|!_YYH>$IphiMN?^HL(b_+qn`IMmPV=dt$U@aU&>0{ zjfxD}O7(c9elD#i`gF8Oe>TKx@@bY;R>Er{TdCCA6J$f)&)=4>VWnvrf|hO?0x5i{ zs0Q=_=Z)+CfMVtZbTns(+j-+^8*qvWGK&ZrND}iC$v3QFlW&#T*w1rAwtJM%bw$4T z)=S+R%HQ#l`$a{&ho|0MQftmYPvmbEuW(+$BK5T+Qa^90`t90+VgSfFEA<}B_XKiQ z>Ypri1yjKlpYN4VlGtlLAgi1SgD1NWHCmkPl+dLvnBB zZ~UBWYQ#N9cj*Mdy?c`q^_jmrK7v4&Q2vQ&C>Utmd`IbIaR!uI2Uz z=H_M4i^ksPPiHOiGR!i*8_0K+$>B_L_`0EQCz?z0MaK6o@{Qb({Ga6?_$dCG@a;u# zK>h9FbwrOY%X(oEe@!%hRe7$_>hvoiJ z_$@iiGIWq=UbiES_6E`(Z0H7}dEN5&h;t4uNxgghLd#7rFz2<%Z=%azjLyq&xaD>e z>vo`__Yn2Bq4k#aBBQ;TwEhb8ABpC5%ir|QIV`r^en!479kq~i_!DXUmZv`xJ=XYM zmzGy=Z$Hmy^*;404AmRiE=kE@U!&E_*?BLVX|#Gz+c`YI^7<>=n~3K9;Bp zsEwavw14fHn`?>m*I&-o+uQpXU%d+MS|X`WG1`Y&hG~ZCRdDYC(%-#}b~8ETb<5i= z=irjuQtUY_ZD*=G{|x^tV25HR5SF$Ss6#PB3KV+};P7li#dnIK50iGfp__>AXXyPz zXBvvxD{?!~P^@VIon`1C(Zz_nWzQ)9H6+tKTwRrK;g9n3XcXTe1kwSk^{wU z|AAgg^h`rn5k1S$&k_BQq300&sG(;QZSD5dYPU6|!Js8rlL;;PYl_}g=+M5HVF#^d z*mo2S2y_lzb&89=KUO3WF6>LrqxXAMU$w379{<-ciP(?00Vit@pC)4nQ)X8Wofo{qLp zr@nkmcOiQ~-ODQudDkBlBc88#=PT1b#wtcUUzyHx^R;c20sE#gLTWV4$G3h)-h92< z$1JUF`^3#ZlUxVOCa z4n{Lx$cGt?U$V5xtg|~!X4U?Vm!2I>J^P*YSlQ~_EA}4Sd%W=Z+l!f-k0MxzLBIQ@ zmEl;@`aElQ()yWttbKrk+lT#0>peEN)v_?Lj{|<%jf!0upwda_t65TRQ{6_%R(o!m zzg=u{IFof-WvJ${JG0$%4%SEi!&zQ$&3p_vV4PcMG!|U#7JFZ4V~rx?)oyvu@_y0c z32LuaTlk1RgSz8uAll!|y({mrn(GZ%&ypp$#8BDE)^4>;d<>8^^4_wu+YNJ;Xb1F0I-;y|h#S{z7~!`R|L5an?q$FIrdyIOCci1fgS_V|#;4|E@*n+=^t^uZw% zzuelq%ua&x_+vd4(s}ZFDp0MbHZQYznb3z*$_EZ+^D?U(TC{Ps_AT1D$|3iaTeNW| z9eL0#+PF$5pM80ZY>PIoc2|+qWAidGf^8|@Afg|;<&w@X!v6}`q3|uMzX`NO8&^5C zXyYn}7A0Qg(4xev90qAMu)`fz1G`(WFo<6XbT!cy-Cw0M#ia8vrPEGjROy^$(&?wa zU0QyLu`AyT^@xuav0r7ij571xYDIkHQGfl!4SJ-5Vj2dD&WC+Cj%COr1bx5z4ASP& zEcrhAXky@6#D3KWoec4aC87O9t>%#q?Yu~pvq!Bq?Q7aM+#=?&KF#)3Em2gD$MMhS z$l#;XQlfc`x5seG$~D_p<=jTzDu;Gbv&zAHRy(O#rP4bBv&}lHl$zgHy&f|Y|l5kTgOUySFq3v@>`QZ7yC7)UPJXT&?+{A^| z2ze{{szhs{+Anry3*~1(CY3b`w4ZBKI|o?XYiW@Tq?7yAO=eX(7uY&ilUbFD&Z%8! z(#h|^XfkUu8@@84voNDs(`|ZIb!WU}Chy99nB6#T_A@e!=G3fC)eo4}(1}&|A9PF8 zu2VAYNt1#HYq^*{Yl|7MSP(PdO=OCU0+*LYpYF^>TkiF)t4^#YgT8E zXl;MjO)ug}7yMnf_wl#oYp6%;I#N(;UsA3Ke9>dC zFCTp8BUrv?;Hwcwkwfexpqz_}9KhF4A!ywpR)#<;G*15mJ*9lWAyzJcYFB*`lDa+y zRQH(obKiJ=8hLe*bMV#OG=4rpxrJYbGROmq`y_zs7V^Bt`PpZ`n_PFEdyRF&dfbYE z+;mq)L^uG|Jwe_UIuYVGmak>I<>zhtsd3(#ezHLKqkD~2hFDudEp*F!UgNwq=b4u1 zw5-=y_n7DRjpJ6;&1E&SAk&IR}l)mtbBKP@n=C?<5%eR}xbKu0qV z+J4$+GPiszj8P9II*_y;38qtaqghvfb>RX|Vf*lxUUmqZ3REZk{KgNQuSDuqLly>q z>BglPoq%d|%K3&h0Fl#l4mTdC67mAw^M&*kNVQOf68n%(9$HAY@=Xmj2=4z)RNl|!5J zRyoN3ZFAmUmeyx#nz^?*Z?@F^v|2oe_&D*ocjt z7f2CF6%o`{1rgIoKy6Yeq){xKd3(FJyJlhT-Fx%R%r`Ud%_JOv832%{8c*N?y(odO zrO&0oEv+mApL6NB1}80?WB?34=KyXr-9CmJ=s+O@TXVG2+?T@kEJ8vZ$`BOrw>Tpl zI?1CD)DS+`1J|cB_3eiOy88Qi`vYzJQ1+m}152C>6rNlULnHpadH2+yqsV5CBV$*3 z?mSU(Oc!~11W@0IvUzc-`8#cUP$*8-CQLU8Z-6O;+&8a{QKAEBcshhEq86Y51#7d( zz%|UXbTWyO2$Ho@m6iILk~2qWRkVF7?5D!iZ^|=jNS386GAzIy2DvTwE}4#@BqGJ> z$YM4Ku^d(TY^`@*C)?4q?VcGBTMI&CC$)^TXMLL{`6xIX}5YutF%-e81_#a-lA+BE4 z=}P|M8nK9OTg|V*&kH?#R@CStD$}9G;T7D_EmAZnFIG_bJo)k?PoBC_jKB_GrfIoa zAPp_h@D$+jFfpQQEAn5LO?(!e_&-JqC&aP|IEv@L*81+@0XdMkGO2)`71>p_oOCjkw zL`dNzU9&?m@FjwxDiIF^#_qaR_e!@ayBmn2krcTjeylBa@(NYdU{c#c_uY_AZw#Q@ zLeq}`SXfTePxYEGqWY-&rzPNgPGrDbkH_07!M4c61s3=t*<4$ivle+gXh z`~OS3EB-I_f~EJ`zG~lk;t3Ti8S)I zT@-xXazxehrX}mAR+3<%=@U+s81!C>Ti$)M%4+LCZBIx}CQa76>#w?QvFhQY-WwL( zs>_;W^mbXQI@4ac)6<*CEn~{>qd8js`s4o$GaB(+U0#4Sn=1 zYKBT=J&xW4-=w73LY@Blv5;NfXWu*45dICoAFb1}*b|v&8l@G(j*v;VJai0N>Uu6Y z#sAv;jwexDwLNg~hh$VjxvZMXww>DAU^yF37vo0`@!eKtW=B#8&GMqAi5OuejSMgi zrzlSjSHI1Xj$wK7I>`zoG^Aub0xlM}-LH6@cd zTJ5T3e+HFTcB&{1Z=sw;>FVafV%w|!6YP%ZjbM{Iy7t{2npIulX`6uEOA|Zpw}ERi zkw8}Ijzp(xtKY32@@5iRJR*L83T@%LPM$}^ysCs~E$bzuQp-_|2A&v*hd5bgwjOm7A~VJI#K_JtZCWBqD< zd-YW!UiBikn3GLj*mhOfH;TgDUa7i938QiitToTXIsJP9aM>VzS=PK}s?`cSe-28NIm})Lg|E{u9 zwP?T5M~aN8x*)YS=P0o_<1{I(1N--B&@ZnYR`enZCNmP76ldGh)_?Ro>&uVQPbV$M zpWlf|<#L9~C42GQy%6Ok7eNCJd80X!TYKxzWVmf*)?9(<&e-pkDP;LfhJAoYf z)_oH;Jo^HW6-ZWHa?BTgjJ(jF3Cak1r675(od4{thG}5qvLkxv5L8vKUmU~qB7Z0% zYjkPqhsE6qCndpqE%2GF{gj)$3BCNfmT*SfgrgGbzY zeh+C`|wMu z7@g7KFIXDH;lE?lr~338t1q@iq<4sug@28ia`cXLvcNSL`_|?u3HB^E$O|-L31$ZPezO#kQe0E;1=No^evWA9JG!4U;PL z7SQ;FhmPmvmVpsy-U>-V%BEsbjrjXD7^hi&>K><=Dt@O&eDPv-jwd@o&-dadYKIc= zuUtx}N!uv=6Uz$8Cna1v84hIn1((o{uWw&Rc;tY=On7v)7a(32tpKE4>|mFR4&t}3 z`-gS@l`b0i+;|(&@7tEE1|0mbaMuS#B@!$7q{eUw_ST7KG2gOr7Zhb88TqjF=?~F=%h|?NY4v^6ncug0A6g=dozdcX~flw@H)Z_Sb!H zFS8B$tLI(uFsL#s-Gx;o_Zak7XJbe7QFY1rV)rUm(K_-proo4z3Hg?w-)bU> zK9tf50xQR!t4pj5zH>{gnRkLK-0U1(9?jW^ss@%FGF0xXQZ{7LFJK;Iz1k<~z>i1s zWvA@>v}j6!h0XNZjjv_ZLpi6F?S#@=N-aTd_Arq~H2#gYL2lD-q|&9=2<3M{T=lj= zzag-!@5D(EtuUH)qnB35rB~znd57)b&WzOgov^~tP6L*g`Z0b#&RCHx9ve>?S{CA% z9JfaAHO|-Cu@jA;^FN9)&UZPmxp$PTh(7)|&;~z$t!>bC2yAI!&=Nv;~55?JL5c*`W=4U#;(5nKo#9u^kSeb z>ABmvX!QYpetJk`2_HO0ARc8&JF#Zf_@I3a2k<6PqP z5BuiEYngbeI`-pVguAhA>CW%DubR9@OQ#ob<;KkU*htAKkHtEiUKl%)JvNys>a^lp4zY7?RCX{pau7i8@sn#+2?s93r{33cuJuU)kB$HV+I^G>>mJ{c0bW?$cik>|6_}cgNcOOB|&? zH9b=QOn3@{^o)l}uX`C-u4aW~5BGSm`f<{rBIh?(TlX1@ZT$(qtoFoa@$MUayE&w4gB8c=+z+E{lhSkna_+-Y8O zuC8ub^{NXZ5O7XLZY8erR|x$Xhp|(&{lcSi&)C3>U|GWAgu+ZK$iZw!vo1096T3h^;W(6svwX_;p z(_*O)ej54Xv`?ZY%mTQAb2}yGc^6@biuZq*8=Rr z(o_f9`0wfYYyo0WIbpu6Jec3qNON1~VJOqboSj1OvyP+UFuT}lMK@}byV0e$dTHBq z?b(+{WR?dogRJw$;jg?hf$KhWX;hE$#_3L4eT!z!{|yk`(h^pJy!6FxG*Kru@D~nE zwQ5NXLllvgiDAn6GoIdg>!pQ5G2TQ?YZQ^EU`q+kUR}N6ld>Otr65PY0g=HDvCg#> zU1muJsrFN%_mwd>VFfFZUW%5U0{WA3>R~;eO&ZA*YL1GGnZ**V%NYCy@tLuGB(b@x zdBBihZd`>NbKr)5dSImBps#_Y#}Zy(tj)wp+GL2GWufdr{sDGb=;EbmgnM`DhqBOJ zPRV}NrT*os$p;A)B*uKHY=JE$1)y@Jb2Z*;GG9JFK_Gw4Uc0p+szKJ5nPS<4hO@>_ zppGo&*pIYHZk5x_F5LF?Q#*Ck7Y>0UxwOffF>pol6mqS~eEO;}rR*1RIyVV5`qjn1 zs7%a-AF8e(zeUR=T0XeMkl)JV->D|V1;m_mN=v?Cyp`S zwNRp69o0W$Nync3ag%#wIa%;T0`*&2X!pV_>W1TXsBW<&tGf7ees4NnmfFkL{H*=7 zN$Mz$!igc>TC!#T^aysjB<>ImaVg0r%Ujt4>E=ciIyZGU=?upF!pxjG!a^*=!YY=w zen6^nsO-V762(M>4`Kavie(zIWqG*CwIZ=)EXx0)g1>W*Gv$Bul$CJnc(Um%~e!rJiVwse=yl11mhy&NgKf#Km}DejTdKUcV$(p^)A z2JzX>??9TvM33@=uEHl~h*`SHHaZV>4sV4)v*Gl*Q-XuVD)1}i%90foqh*W?08

$r|uqU#pZgqNj^hH4F?2e5P-Ji0rE7xEF8Xkr>1|C-HP&XAk$hU zK>tyV1<=ExZsN9ptxWs0l{6nB{%icSmAKOvvbnDQJWQetY6`_#LCYX6+PgJIp>d$6 zeU{R#H8T}+2VKP}A$ls4z3tkpp2Jq88vs7)@r2XX{`9+}@QXtspjR)`uiKepPhpqW_m*}Uh|1R{ zuq&U##y0fJl2r80+M^6|QkGlupPP&gNvYqdmQ7hMFE-#1^j9$Qsti{MsMjUgClHd0 zomRK;94NFkzdbj_)$5w$f@P*Rh4K{#)eRo#$%QITaQfD24Ssn@d|~;A{a%vw zis~iscb|!lotGD5Ok!#{?Kd1X&f2yAsY*YNAJ;Q2G?=(z^7uf~KGLK5mzATej^q`_ ziC~=rgEBAC8%pwa^=1p-g-jW5*Z=p}KXH)b9Yi`%)O_GgQtyK|Sf95d^{`lOp0(?} zr3+uD^S<6RU%27mnWxfre%i&K-0aNeRE*bMP`m|-WYp9l{Ai1~5Kde%8E)dq?EL?! F^?%d59QFVJ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.cmp_merge.kpt b/Exp28_Decoder/db/YL_7SegmentDecoder.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..b01b4d8d6ea2c3f7604df90a0ad3315ef25ecad0 GIT binary patch literal 234 zcmVuG0001ZoTZV$O2jY_hVOlfA@^;YR@Te5hs8atcu)$8r;tr%*HDwj zNxHD$!<#jrhzf$&nfbo|hgpq23cUj-Eq3hjMqCbfu;}6ys!1c2%6egu4#?nqdPE9l zavkF(XYevhigWm!-sJ&ox3IietWAXbyaL{qb;)5pn`aG8+d#dncvCesZ(%?>8ijBx z)d(DhYk0sNY_y4qWPJg!8u29jWLyj)V1#(`sV?Cj37tyao(xjj_>R41p!!PuE{P;v k$VvaT>?g9Q|ET#O>fn}0&Pyhe` literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.db_info b/Exp28_Decoder/db/YL_7SegmentDecoder.db_info new file mode 100644 index 0000000..4d58563 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Sun May 03 20:37:39 2020 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.eda.qmsg b/Exp28_Decoder/db/YL_7SegmentDecoder.eda.qmsg new file mode 100644 index 0000000..7ec9509 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.eda.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588514812218 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588514812219 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 22:06:51 2020 " "Processing started: Sun May 03 22:06:51 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588514812219 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588514812219 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_7SegmentDecoder -c YL_7SegmentDecoder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588514812219 ""} +{ "Info" "IWSC_DONE_HDL_DUAL_SDO_GENERATION" "YL_7SegmentDecoder.vo\", \"YL_7SegmentDecoder_fast.vo YL_7SegmentDecoder_v.sdo YL_7SegmentDecoder_v_fast.sdo C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/simulation/modelsim/ simulation " "Generated files \"YL_7SegmentDecoder.vo\", \"YL_7SegmentDecoder_fast.vo\", \"YL_7SegmentDecoder_v.sdo\" and \"YL_7SegmentDecoder_v_fast.sdo\" in directory \"C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204026 "Generated files \"%1!s!\", \"%2!s!\" and \"%3!s!\" in directory \"%4!s!\" for EDA %5!s! tool" 0 0 "Quartus II" 0 -1 1588514812743 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4529 " "Peak virtual memory: 4529 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588514812789 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 22:06:52 2020 " "Processing ended: Sun May 03 22:06:52 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588514812789 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588514812789 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588514812789 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588514812789 ""} diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.eds_overflow b/Exp28_Decoder/db/YL_7SegmentDecoder.eds_overflow new file mode 100644 index 0000000..bcce32e --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.eds_overflow @@ -0,0 +1 @@ +3002 \ No newline at end of file diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.fit.qmsg b/Exp28_Decoder/db/YL_7SegmentDecoder.fit.qmsg new file mode 100644 index 0000000..b86eecc --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.fit.qmsg @@ -0,0 +1,47 @@ +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1588514799754 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "YL_7SegmentDecoder EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"YL_7SegmentDecoder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1588514799764 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588514799816 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588514799816 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1588514799902 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1588514799919 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588514800438 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588514800438 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588514800438 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1588514800438 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 183 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588514800439 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 184 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588514800439 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 185 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588514800439 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1588514800439 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "7 17 " "No exact pin location assignment(s) for 7 pins of 17 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_A1 " "Pin OUTPUT_A1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_A1 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 408 648 824 424 "OUTPUT_A1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_A1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 18 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_B2 " "Pin OUTPUT_B2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_B2 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 440 648 824 456 "OUTPUT_B2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_B2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 19 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_C3 " "Pin OUTPUT_C3 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_C3 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 472 648 824 488 "OUTPUT_C3" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_C3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 20 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_D4 " "Pin OUTPUT_D4 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_D4 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 504 648 824 520 "OUTPUT_D4" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_D4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 21 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_E5 " "Pin OUTPUT_E5 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_E5 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 536 648 824 552 "OUTPUT_E5" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_E5 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_F6 " "Pin OUTPUT_F6 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_F6 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 568 648 824 584 "OUTPUT_F6" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_F6 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_G7 " "Pin OUTPUT_G7 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_G7 } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 600 648 824 616 "OUTPUT_G7" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_G7 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 24 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588514800521 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1588514800521 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_7SegmentDecoder.sdc " "Synopsys Design Constraints File file not found: 'YL_7SegmentDecoder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1588514800686 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1588514800688 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1588514800701 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input)) " "Automatically promoted node clk (placed in PIN L1 (CLK0, LVDSCLK0p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G2 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G2" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588514800728 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "clk" } } } } { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 232 -88 80 248 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 0 { 0 ""} 0 9 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588514800728 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1588514800949 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588514800949 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588514800950 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588514800952 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588514800953 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1588514800955 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1588514800955 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1588514800957 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1588514800957 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1588514800962 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1588514800962 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "7 unused 3.3V 0 7 0 " "Number of I/O pins in group: 7 (unused VREF, 3.3V VCCIO, 0 input, 7 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1588514800965 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1588514800965 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1588514800965 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 0 41 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 41 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 10 23 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 10 total pin(s) used -- 23 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 1 38 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 38 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 2 34 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 34 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 40 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588514800968 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1588514800968 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1588514800968 ""} +{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "enc " "Node \"enc\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "enc" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1588514800987 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1588514800987 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588514800987 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1588514802488 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588514802575 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1588514802585 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1588514803153 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588514803153 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1588514803219 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y14 X11_Y27 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y14 to location X11_Y27" { } { { "loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y14 to location X11_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y14 to location X11_Y27"} 0 14 12 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1588514804064 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1588514804064 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588514804392 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1588514804397 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1588514804397 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.30 " "Total time spent on timing analysis during the Fitter is 0.30 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1588514804405 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588514804407 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "14 " "Found 14 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A 0 " "Pin \"OUTPUT_A\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B 0 " "Pin \"OUTPUT_B\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C 0 " "Pin \"OUTPUT_C\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D 0 " "Pin \"OUTPUT_D\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E 0 " "Pin \"OUTPUT_E\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F 0 " "Pin \"OUTPUT_F\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G 0 " "Pin \"OUTPUT_G\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A1 0 " "Pin \"OUTPUT_A1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B2 0 " "Pin \"OUTPUT_B2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C3 0 " "Pin \"OUTPUT_C3\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D4 0 " "Pin \"OUTPUT_D4\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E5 0 " "Pin \"OUTPUT_E5\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F6 0 " "Pin \"OUTPUT_F6\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G7 0 " "Pin \"OUTPUT_G7\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588514804412 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1588514804412 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588514804525 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588514804565 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588514804677 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588514804933 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1588514804995 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1588514804995 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/output_files/YL_7SegmentDecoder.fit.smsg " "Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/output_files/YL_7SegmentDecoder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1588514805083 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 9 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 9 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4840 " "Peak virtual memory: 4840 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588514805391 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 22:06:45 2020 " "Processing ended: Sun May 03 22:06:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588514805391 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588514805391 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588514805391 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1588514805391 ""} diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.cdb new file mode 100644 index 0000000000000000000000000000000000000000..27abdb87cfae0d59d9c3c6e69d22050c05b2be71 GIT binary patch literal 7587 zcmeHK_g9lkw?=6y0s;!s5}K7JO+X@1QNd#gSm+SyLAroIs1Xzc0zptg6o^s`9FeX_ z4Lu+wG!4B5g9Hd65E2sd-kk5=|Ka}ft-aPfGqcxzX4cHJ_q?wJA0OWrk$t>2glAWH zXzdvs;vE>Eq@$;GPD@AWN~pJ=hmx+IuF|>l+B&-0y61HClwz7YAR$$4op1e^ zUs|Qg($URA!?RT=Jy}R{`SQWK;yKM@)+&E3Tj>uCUd>QDEhc+3EB^aw7sEo93_I|{rk(8#{Pa&JM3NuRbQISp;wE?f(Lr#&R%tVyDK(vpcY8g zJntODSW~`Q_Q38t_1dR*I{h+nrskZHvz6Tz#&Q|y7bfO^X+WAQLThCtzer-<&Rh0A z_V(-x%C!2LqhjPxba`8|%BH#)5+HM;fH-Q#cDW_G`Ik;*)w8)kd5Ua_$5a9F6FZ0&2wS0U9` z?@O&#<^P~(dgYW=(w{qMSKC|0_dDhN8*OqrQg5JpWW(c_;-_m@{`R-KHgv+8N|`PK zoB!%Kk+%&|=+#suTLsAU`#Sd;frFw8aaT1C|2fHCZXwm)H9LS%pU~|4kOgiTD9yAq zxsECfJvTjlDcC$Jc{+iLw^uD04_+9c%h7xgT(!vVB5OYIxUcg!zq*}Oqu7%4ay1RT zB*TA*pC#zoGveL^#eGlT*OHY5Zsd{P9XUwX%fXDR!P?HhRO)$EA*yDvsbRlw6sp6D3SNDp8`+l*GnX$W|I8!`6Fs$W zdQGb}-Y}Hjzujgi8XT_daQ^h3n4Y(bacgOYlwVuClPf4ndlSmWPLwkW;12PfT2SG4xSH>SUlfk|MHV|P(fa1;Bu?w^>70a z*r@$oh*f{pdi-(Q(gu-2_B zTKq?%{5e@q#P-i>b~Z^~Q$zkIB^5+hUSpX*X7^9hkHmW{I0hT+=XDAPJ-n1!S0XDG z&Z>oj`9Hrr>Z5ZS9+whep>8UoeiHHEl-Rw7U^Y#SS_ogkFVOuR7w$!DV6nK!-KtdY7%AgAO&MR zd;VK+$gc}-&rac!a}fsw+4ZVj09@i^)ipZxc!kzewFWt$_62lbiDD{}VZjwP--L#% z0sN}0aBxfcB9NuZ(xH7cfuDVL<1Svx8}-!jeKJGXTUd$sTgA|v^!tT`v3bQ9J!Jyy zsCofF)fhs;GT!@!3~}W7wK0iRWx!zozV&R5Fd`4~MuH;!g7(f!Xfq)1$9j;bHnbC& z3a8j|$h5X(r$<$92pT=Wt>vGD4n!D#?*0@9S=SR>ZA&=h>;;gI6LLxQ#sV~dK%06- z#j|RN)A#h>twjH@SUtj-=-z620u>6K(e640&dhj{l)K$@j3(2lK&TytCE?_m7gdmy zXm75=lUe9OIR1-nV+xEr3r91C73q+$esfn-?-Td0cTTbHwX)+HiS`B9jcH0 z!g*Hl?)iap@3t$(<$v7u3>_B685IFb2kbPvlrMLDDr6Th`X zkpOQ5DHcLU9a{BZ{zKfW{$U0=Vg9 zFh$~^t^!=Qxir~0+)-x1^|^9BZlVP9a~$-s05@_@92AGUF<+ER^1tynY4Lm1g^5#W z6F2+CV{K*-n@e@)v%b;}R9XaDF9Hn$vrZ!s3WM8w>c=qFpwwA^H7MSpgQs39Q?x;tv7dOCbM8Kot zW?jVfZ1f!tXz(8dtoj^juWh=!I_jaBlL>)UJ#X>kepQ!aTcl(KFFzkf&`YuH*IA4aSEKfRGBR%@N!3F0;!0xW{+}x zqrX<2^WpqwrptUr<}l5xo1KsYAF$~Kq??xrN$H7eUJgHJQB&}>lyIzX)n^h7Ta9(eQ?J4)S6K5}VEu zPa)0nRM(`+!ay^CvUE-qngopSAdQ6M&2xF0gJxg|VeS)9jPTHs0(<%;136~F{d|2B z7pw+&^0Wu)0kYFM_@_wq6dj$seD_?*ZU_3*T4_ER!0$Hc^SJD0!4jLx=eHp+YZEZBp?<8ZKHDn z_Yt{V#2o&k7I&OgGLgi>cBH+AA9|%pLu79Fi-crWto2R{;S)!ebT!%0*g3~_N+4#>kvsF1}%IVcQyR^eFZ#t)sHR^c;0E@<&61_D>I?8WS z&KyBe&*iRmXCk^@afRhIT!Ww~?%^&)T#K9}uNc9?Z+Cvb6?B+W=3bt|y@)!!Qqe_^ zb|QZL`UsQ+9Q|efLpPdD3hJDv^fGR+t2sJ_Z3_ewe3{q|qb1F3CRTJcD99eFAQ^(Z4ev1$a)2omn5`yNAE1SvLpA|F~ZRo z<(T$}%ql71bOrXo#@kn9t_TC(v$1MJ#ZRzT?xEM-lRe811C~jiLU?i+>XA050*a4O z&~+XU%{VZ51YD>Wh5E7T&T@e4MT~!Q{zg>qQttZ$a#;UVZleIK>^~y44z|Qblx3w1 zc^(UyP1!!gh%kod)&_Xhw2M^cp(BgyBNQ9>&rgGcrY1}F89>%{V-F2ILY#yHQMN?o zTsqviJ+>6TvzG0M6X0aOK%FlmHSNReBXdwS71r}XjqNv^-{KEyHJ}Y>8Gwmhom5=wB1G$O8Tr5HB|e z4mG9}UDG0%aoMQyJ4LF5PKYNJDYtIxK!B+T23~89?tFXg>qGIre@@OUF zzDvV4WnY3F7?^)v-^9_-mx%q9cedb|a77G+o5GK48vEErpl|2&-QlubXHBcC566zs zQ~K_3aINTYX*9R16aR#xB}x1SZSa7)Ogac#O3$mJur5yn<6rMzTxZQvKnS67pRyC-Lb*}@l1 zH|9Rn((A!xi~H`x&WLYhqlmJ~5)h2&l_(3=I4IA)HSo#BjU6tQbB0jT62i?Z_dY$v zW|=6$$mz8sFsFb&$R9AktZCS25QMl32~{0Kv1aMm*iBhy`rL8`7iD~}1ezoNb0zJ9 zSlcWc%t>b|h$CY^OOmZ|>w7TDPdt=)h7-d+vpD5rQ@x1U#pxsEYG;5^%n}n2GXSXs znaYqoZ*ii45d?z<+gsg((QdLa3v5RA<_Nd`uO=hnEuu(W<|t}{wYCTAEdqpHel9AH zq9!fxP*|QeX)sDCk{{Mzz(~p)1TbAcrnJSWf1Y*kn6GcSyK)Er_R1np{oJGv8K?3S zmR2z3_YEVtHPZ}+B;da#J3UjDw6+bxtTiGp=a7|gP#)LUIN%{a(w@J3R%)5f z&PzmEhdChxndL1}3;aK0_S>g7NHd#rAD`L5S5&V#&&_J07|#pJ%nFnGW+={wC=6YW zEms<_(z@tvFUpB$FGRg$-6hM{JACEh8MRVC^hiuP!J#g*(1|QFMn%F2pNv zWx68WLP4KcrcVep?}WY6h_*ewkm0pEYgH|S0sA8i9Wf+iLgNEb7Cj#=YTW)jhM^ATok#ii8Hi{n=t zKc6W=1IFe~HFptWX_BRJAK4TS$D-=1v8FP(!#j;wym1EYJGogDpR?c=kt~Wtl>QdS zq|ONM8LKanLv(tFBi{ZFd90boTaYv@$~3guT5IkGQx*;Tkl~%^r|TgVU=c9+0U3_I zuw36&!`4_aAnc%^lf}gC5a)6;`R)3E0ZOQCfirO+qRGhAk^)x%a>(3JM1eEXh`ha9 zcfF}Bk9S`~#l#1^09EaE?5BjQZ1(NB0nWEbM;7-NQ)Ot4jpdPy zlZ;dyF=a6Kd1spF6xuyX6{HImLe=Z=pD|y|{uTDgxLHDH{^8gk#IC!tHeRm01MxT0 z)YnSPBm(@7-fN0)5=i+1CR?#hZ)o7fLrfUvNLW_vspH3c(tz8JOXYnfTa^orh4_kq z+~2(mzvzLOg|>ZTM%p%E9ygIj&gNW~rzbQ_#dhYHCVNJ(#OgWT13r!TyFw7O02f*J z^GiE|Y(_xlqPVsEu#30I;m;U7#%EYNd43pF=vP#cwg5PMe%|OYMmq%>qG8)*7m;F%hKAfS?yLP1 z%%o0#-=Xy(-B@TSKE(L~4%^F;Wt&%q^(oI2w9}Rl=(Qn&XtN{YIBjd&paR!DX%-XI zjZbw);#Sx8i!*lG$_OULzgoleeu>Glv~Sa+)MSW@2b7{|l?aJv*vx;{l*KZdSA+t~qYG{3Au0Br({avg`vr=MozurM?!rF#z zsqcqcES`H5_LfS7Cursx%It32b>C|r8DPZ(5BI<>&R+~-O#NoDse)?ebJ&Z0lT`MZ zx*2{ps^XZwzhfPeADyJ=V1ALro%rr4cN3Kr-8zLd%yP1MkLZDYNm0;a32Hl>v0-?s zhtV8ne3!zEwZeJ=XLrVTVjfDN*Pc@U3T3;>e_V60t!BH;!tG~WX^JZ$FAcvL1Sqhm zs2FzNfbJ^0`3RWZtiA02*@@=F{`Q8U*IPE>LKGX9rZf7&y2C!N)zG*YE(rq3^sXag zr<oA_HIb|B#pV{qmfhH)-7W0P)o0c_(6 znlMP)sO7-lLH&Uypaj}W0}9^IHv@9A?4vUoU<1))C@zL`4;lpsf+pIBxmczt_Y8E+ z>;B4iT&Xj}#t$09ap06R?LalNAZ(X6v|IClP;&upsf=bAT@V-G+Gma&q!oZXoNd8xwt|Fb~Mc z@oFVp&6E>6FS&vFFrbRAdn(5j;2F-$qYD~|V@IUeX{ zls#KSKNsWl6oW{8!+bO|8Zk$REofJq*}%}leD>0ra!_)64nKF*(2PSp`9gdu*fn+l zUrY<(UQfl)6$XTm4Ed9Hx_o)Xot4+r1#9ej0nOqETzSitERgm}c-5LJ`+sG;i6q>@ z+itRn5Tf-_Zvso(dqWDoNN$>!or9We3Vu2Vg&XOtHz$%`bfPL3>}!~2Mo)r#ajA%= zRvhTH;D-QNUsbL*XOW48+wK+}=l-Jwdlp)!evo+G`k7Bpf`R%aUrxsRgPq+!+t#(G ze9L9loAJ(3Yi9%%_N&hbZcb?)IT1FgqGYQfCN1nMJhII{q>{{ftYes}ms-uWW*Cm- z>z@2{>|Yz%5gEz<;~rq|#WNd*$$vfnJ%j)1;Qucdk_T6$rvQFFJ^(=Mdv^c!Ln*5x zdH(tSKlT0X{f`Xg7YV*MTM_S)D|$a&+Wtu=T4($DlI;yOz%%NVA9gk5z3Av^4>qJ+3?=WKLB_ZyDf}kGJ%`_suwJ*;}8y6?yLCPltPF;{ZQEylU&t zAmz(5>x24lu?~?G4ZGpf9Up%B4_v7_>$0DHsG^>;CuWx)Ym_4x>0-2fy6}$ku|Z+G z#)QBuukI^OtC8}?N5iiA_Y=&);JZ{6>3y$2Qd;5(`-dwP4;j5-O9{=p9P+&Uz3Tq< zVN~`o{kC=C*^ggD4tHTtA=Rwtkai)7i$a1Bm_qMX!O9ElT>y>r z<+~IAWW{dMMbwq-e7-s3gwN&VDX@0ImuXQcgekPSJnoR#hmLGfoa2z7_Pm^6-}MZQ zhhon^>}~K78osJyuUld*7cR{>e%)Vn{8{K@C)=zn@qEzAlkChQ(bE8FyFdHXa`N>- z(u?hz6ChhN{0MB|;(g@4;*p6vMrmjndGLAMhfn@VNlc5n6luPNDl^ULHP)+g>!5eJ z7BkoH%wt-=pMB@!=WprP@uTMKSYpLWS91D;r@4C^rpi8Zt71fyT2&$H7F+aR!Tk^Q C?bdVv literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..91f2f0602d9f3c40716e084f145e929aebed9174 GIT binary patch literal 15557 zcmZXb1#sOk*QS#O+i=q`Gt&(-Ck=DM%*;#;-!L;X+%Vs88)jx|n3;L=?Kl7K&a6F; zURjc5`)Dkk8A)gm5D--eke{LR=PB~3zf7H+E$!`ySy&mF8Ci%$TrF)(hyknsVrC8| z7621~nT3^D#nj2o(%6(((a_kMn1xtHnpoY`h*;dj(&h8cz{USm2LVCH@xKM44&}ev z&-Y*TfIRj6nnod>e14Xq^aJZ&Ee~SZ#o~BjM(vC%ZeDGEouWxoZGDL}rHQ@q_Dd&3 zgd155YhKLAY`Q0_AM0l61;6EUp7Flh0$JTDRTptWQap3w!W*W4d{(9AQDhc4>y4z0T3eY8D~x zg$>md#fk6RV1ol6%rgk>Luv8Hym9eW8{zSLPmcOSkdWXblmj2~?-{>Xu3LzXYa9HR z9gEeAkx!lmW>niMK|MW$QWgDJU4g# zMK|@67@hryl*PHpQ(FF^BbTnOskXN~^6vZ$H*rR_1&LwC$_MKw^{Sm!`+LwAHE4@- z=!G45z}fZnTZeBLXhT16!ZmI{jYIpXvX|dZM{diIZ}6iMTk34(f;oH28CEAYZ+xNuC)&Vp80WpbwC^JF>aZ4-v2}l{4D=nV7{&gj`$r<_dcdub1LX?HTlU_A&HrV{6ibt zJs!-N{_}dB+{WgLG{>&ED5MMZ|3_ZxVI}AalSlm44mi2}_CxFLR1ln2W$R+|oR$aV zupGS&t}qpXdI|zNy=P6x+-$6E0H_VCfb}3t=^@tkS=I2~z|&?`(ctxGD=Om-g;|r2 zuRmWIhz-wTR7E4lqwTmOIBhAbSn+6&exexv2{CeRAjdL%bov4{iBr$Q;#O;!`@6A- zD)g9{PtJD?PGH#l#Hey**YZYg1_9^+uMGHAnMlfu*6oDGav_}eu}#x?*Jn`H0+XDJ zE8yr>Wrws7QOG4HNV_zFD??Q0HlF>={AUB-_PUbGD5DJ$%T&f2frWb(0Y$8mdxze0 zNb{7PMJ|xkKNu*eovRkEp-^XyDr`mSl93VNIua$#qMJwydJFe>mM_cQzq3dEb>|35 zvLn&AVaYFvO_!yM*>({#KHy+&V7Tm}p3hmwL}pq@IZ8_mC#Bp#eu{J#Gj|b7XV^fq zmH^H%`JL9c5Hvc#6;-^7HKhSz*!SpHpCU^qIXb6HqMv9p=+{}RqB0_bW*+W!+wJ6D z7n6EmM-Uzde%w~Z7AYp5y&f?hwzb-9DJ2tB*RRW!7Bi;IQjN|SV~SOCDnc;aMSJae)q1xf+3dqZWhwLzn>y_eI$W~wMx3D-OEenJPbfA z5a%emlb^--C9hWZ9^If=VH>}YQlb%B_&1A z*zs=;9a8P5?U&H}5a&wjv$V@tk=x_dFxIlph&YK2zUF7>R{f|Pnk+{Os-ig#OKl`* zxq0qWtva7eXZPd$VhBT#Do~Tp8s`O`7OT>ev(punK!2(ABW0IZh!L`Au6v6)Jxouc zxJk+A!f@>4CnOGSn6Kehp+eh#YQc>tc#i?CCO6GsHV@YUz6?}3m|O*C6?%dKOnAK$Xw9OTxWmGwHckcy+xV(3-!&eZAEw zznOM!8dsBldiv4@%DU_RnRgHwBJhm$?e>-VcdTBGFV+j(-l9GZHDl@PB-XLNPFZfQ zjKlEYvp?08GtQjkMLSl>YnQj-!e@lC^FlA}_VZ%qw2<+732DqzN_VO!c@F6E>sP8` zmN%!dx+*tNs<7E>Y8c54aMnoi)5-}40+s)#dXG^@{;jSrclkpFo+@RpS+kG(YUQey z-^kIBYkd0R0-ftg-*BJV822YnN*HMvQx6X+@SU>d!dnFmN}h8OK3LwjX8gXSHnXsHOGRD zZ`CjRiXR6VCeyx6(@ZZPvZs^pf&?#_&)l4u!;ih`+S8B5kIv&}lxI5`@=7m=AAn~l zqy;8bpcksHGx}tDMW@^KDZq7SK=q7}eZPYsIb*~4Mi%^IHsD8oE4?nS6c_^kYK&@> zJ%xUkFw7i3+mg#@{vO2d1zxMS&6c+mI=-Dt8We_nBII-vGp;P93IVImTBf~Hmi>OKYzuXO%mwpve_j{vFSJ$ zh|)vDx+s#2$76Ef(PM4D^1YvUo2giiLjFIE`Ew7AhU=mjpMxq(%uRl}wR&KCHR2(& zz!uF@`9R#bxk4e&^iAC9EV|KR1o=3ld2VvUWz=B=^=dF_vN+diU2joGNAQ4(wLI7F z_{MZXX@4j+`JJo#vMIKHyvX+^TkycX>%M_$qUh}iKCz?+@V8FkFxT1O?X;=Y-vRl; z+f8Qk{wVid5dG~2_vl1FE9m7J>tIOWiEHqF_`quOD6jX~R<)cjr(K>#q3+&rL&yfV zU@mb+RVz!^xJlYYxoFcf#g8Dm?S%(dy_{`HmNch!zww?eRT<$|tihM84wADcz9hvoUC2ZJ^n z*!At1vxEqCsycA-K)4x|Lly|||*)9-n^T_^Xr2`sAHba+sk zlCM1{kKpzXq^;$tEf{Y8%^6`MjA1Xw03^~zEfY~h@`}tS89o8Fut-a)b@1daCXlev z%0X9ruWE1kBU>|!#PamfH=rUg`ca?a_6|jhaG01JvCJ?@s`lcRT;)%i*vzfV(`-j4 zux$AEOYiWh{dAThJ`VisZCldMSow%q&**~_szXek5{3cwfEJk_x_$b*sfD@wo2uV8 zPx~5>3%_2ge}K>VICGlEcGj9C4#mw*vkV!M29bNl*r^l>l~9jlf%?a%Z7clE zBJdUGuX^*wyCiThwH8twEUdYHc*m@3v43%Ra@pxGq)?sOHyUkD>sh}n!2-+WKbTnK zR(*AKWtQFMGP2Q6P~Mk2Xpc76k7K)`U>rUhRT}B=dgmwwpGBgn!BaW$WZ4EMdG9=} zW)c2s9A zm%%cSS*j`zUeA#JuLPbBkPsHSIS$$Zn+zBUU=ov9=@4#D?C5@*KBTQetrcoeOIVHk zeU1*+5m^XQQ=zM_ySEVPplq(G!xWa85?>~T&s5WZHk|zyNo=EMh!!kIuN-)skPuzn zoJwIqCsW%>56NH~JC;xkGG)+Zus*WVlu;kmYQr@BK(nZ>biV{~@qL&2Y(+9oM@e$AmvZg$}kS%`>Q*WcCe zOjISvmw#k*FR#E;pXS?eAg|@0S`gYf`xHZM7OMtf-aVgNRlCf|Yrl!iWESfRVXnTY z-&AIJfuDg)XBHa*ad2qxVJ}ERWG#?84Mz%Q zbG7cY*Z+*}Z4d07c{1M%B#^v63(%T%Kfc<^o6i!heb%YE8-VF8fIr>Yyb@uzcgyi6 z9E1BVFL#WjhiiRh-s0MpxpAx3yIC&%awioj_*~^Tmk%cIMpJnXBIIGZmn&r)o`sGS zOufiLE){&tFK7F}EM>eKZ8>(~e*1$ehcS9_ z+TPK^K|+u+?Fy1lo!vyA7Gv=)rRApLATf=tKGBokY33FE-r#PfY=1F(n8e>^H-F^d zHJD2ozRZg;?2U@9e1F)cR@!}(>c*xtwo(4GS|L5IUUJb@!RD4%GK1Re;??aCyya&n&G3u@S0k+G%mX6)e2 zX6U}&IQ}fql~^xX z(up;;hvnKcQDN2f$G5KTx-qXRe&=d_=W?6lN8rrPWq});(v~t@ALs%U#S%E0I>Zdz zhX}K}>$#nth>Uc+b1gI%d%9?QoRvWigbwb=9sHOWI0037n`!@cm9PF*JCUvoxxjr! z)5DWp4sntdw_A5^sr8wnM%|NAo9WG=+Ox>rMAHMiTs60&ArD?CK_}yjn1|{Y9YV?d zH3hR+L7;d4L!O;@+g>YxaCRZ*z%MC&u#IWmv+@NEF^rWpzaYuD$w+DJbu6;yO3`47 zk%aL}!J>m}qqCZ((csjKhAE|o5eq`C zZLed!y0Ll!XC|G4d|^H8Nt-~4Kx{mh~Jc76|{sreDg42 z-ki2QAM9#&y9*&5!eMI+7UY0?U>~aoCC%>Q|rF$kB%@uxLtkfDDwmIw@~ifr;LezXJ?_mCxw&Sq0{G# zy4ip|Tu~1rMkaNw?y%g#q&}!CMw=MBuwP$@8~eL>htR&?3tL3K)f21#T!PDIxE~FQ zO0In<7@v}4BN7f?-=wstK{)X6<_Cn`Kg%MYxdz~`JhAl=<6PWT-9A@YTFyw<;O$TC zb&L5PinqAlXlBK8ecsJF3Zk z8biEA$l)r#wO5JDK_d@NZK&|pk2rFTQaRtNTv45Nwzl^uaz8rO`F`)AQm7hNwppk88Wu09)Be<$= zwZRicU$!52II(;%P%&58sZY62k-t4<>${U2BSAV|kx#}0x3cQK-A)Dm!T%ThhzIf|8^czE$tpHx+I z-sdmhL)~lJAdE&otLI-hX`zMz-#nU6a_Ib8b$-N1+6!k^wn&lb(bWT5ZyhNtP*_%e z6O=e(_<%&K>3T9>w^6TrD=KxIUC*s9IEGyE;^EKsZsL{`wrr94JJ4LUF7A@+Co_dd zhkfu#8NW`Zbc9Z>5;*CHwpliCi4Bq%TIA5wjmB<3uWMW=OJo&}t5W&H@ES;9n;zh$ z7BEiG@1S-||KcMf25a=V)p08ewB6kwiyh$#g3SOPTSj2 zLO>vm4`tEPK#q4Ua)SWh%wAexMxz( z7u;!tv4~~9t8#x~I%@sk9Y*|H!h5XwyCsxU1t&3jc2*$#(JmQ?m3ON?!|~Gl&mATH zu0?P;4*eqr;{Bx?evmAbg8yUxPA_W?2%Wv2R(31^4X^wf#DhDx2IY z#QmZ3<*cvFa=?{uDI&P4${);fb@O3ct|^#d1#8`?ACRbUvnfk5wmQFT3ZdAUADeBrQn#}UcVI88 z^w&6Tou~b+i!{<3qYe79G@r+J+M4`738i0_Xy(u$_H(fraC3Ef#lI)X%F9Jn*uTr# zYBicVA=#hAM^0kK$lEOa#fO7Sg22s=%0))}-O>LdSww}#I*uFzN$Kuzxe5s}$`!}} z$#7G@gtvXNU`Z_qzxTR}WUnAykNNH=dpV1huMyTCsRr)*!qnmE(Ehno< zBiMD>ui$#h?u5rLY8LwQt;ErR(D$M~I+HQth)yuzBJDOO#u5wtF`SJI?6uY#v028VN6*={ zUC%BnM|oiuY5Xo2yJfIxNMvAx{E{{LZ`r4Pt>|p2$aaG=){*1Qa%hYj{i-q+^i9^; z$DqR>f7NBoT-zp#=72u>iISsLA)inWq&ZNR=KQThAz~*}$&Y$wE$)xc>!_WpJOMxu zFOve_Xgt8A?`ToIl265KFp0){Y0q>;ur+15#{^+m(@8cx)qu8D#J{R^O+r|J2My9!| zagykTrgbewKRN^3QT+)WskWJj^&%cI`v`#DKrRsN51pm zobbKJPlviLatC|wpD^N0+Wt~+kf-~g3!fnNAPZL)#zA)A?ZY;kCl)~m!RS7jOtf$* zX40|-Sv$e%|F%^YqUm6sTp79cq&C0t)Sic!yWp`Qp5q+!HFqav7}Z$uLL`*TIKC*=vbM}IiC8fSS$RE40byT}e#$m6YVxtL zFE4B?Epc$q|FVU8sY_WHE-$}lmqWL;MqKF2@v5E6KgsuAW!(7f%vM}n*W_w7?6I2A zToPVFfsTGw*6J%a+NU8ii@kWD`nZ-}^GqyT2+QOa9C)weg>&M0e58w?dwGLxd1gV!)~>u+=mcFxYp_JCBxA6Yav-Bbi%PDzxu-g!v9I+p zM0!jHTFZ`sNqFilg1^|+rxIM}SIf{FT#1{spQl2_O9yLbMSdT4@j-0EU@!~9E ztK)TjG`8FLHNL%6AobTD-t%wQO`|Q3g6peFyyrySJz`>{i@Ggxheugwot#{*?vuwG zH&DIgF+2}x6etR|w^8GBIGmPgg1Z%Jx|$otDAc7Tzgn4^rORxjCq12(`o^r?)IW~w z-ecU%ovEZo0h0&*@HUUn{UW(dsCgWrSbe-btmibnpQlNd0f3LQzh+NPmT2dWPKwyj z-sz|6nvHmu+%^U%q|P$jEdT2!*eRPNA}2~3IjG1MczbmDR|ra-)=CBnI$p*s3#>}N z^-9tuTfWqXYH5ld-#&%b$iBd)!uz=Hn;L2R_}neEP!FcMR68osW~LK?_+QXqB1H30 z%DnG}P)VBi+ml*<*^fC!kJH~Y{V7!-$_B|^n@{>`dBT0)H&9lZQ4rjj9kQs%r{?Sj#0vx#I*KUa^Xe+Zwh;1Nk`8^B$LitW%UjgpYRRN+&PaTO(1K<)u7ZTC#AGq*3qKdyho_@$mG9O}FD;guY3JOUI zJdc8uQy@v zuWy?S?P+GcJjo9V=aLwWiAG-X?1+eH+eRW>`E~uTrpH67OSLy$j(lvF*1LGIY~wr$ zYtaeOr}MY-23V`G1mfM3F<#OYas@Q+WtZ1O&SvWyvF^M1$;|7j4-)BTo7!XT7)N|~ zGVOc?a_;Jv@79l2EZk=;+h1~?oLT2jqpO@3yFv)LZ=5}}yxZy@pCc}`PU$kM=>>T7 zeQ(Og7DK_*nF_X>SSINRc;he%xz)bDE9x9rP7_g7_u=OlJFZuEZf3SjlPb+;OwK2w ztYEj(^ZWS|x(jsYd{+K@)z%kIBe-bMe;I2E`<*Dq_XJARrHphrxkrFa+!>{ihnCr< zX_rN0UzfJiK{m@Dt7^qZo?brO)wM|1OU}95iF@U%@0%fAY`UF8ldU5Ug=04`vxs{) zvkbFmyr9}Mtz3QTx%B&nauFWgdkU0w{*e1wmwvBhypbica@MMrjVwD2dEa)Q3Oxbm zw<(3j8Xw*R|2ccDoCnsF2BM>`+nKD`g%p|F{=G?;m(pj3g-O{_ino%tn_ljZJh3+W zoZORk`q{S(J;m@xbU60+<9eS=<(wLFz6eWI9){5iZkZE0J*MmEur{Qp7fk7#=8s$_ zTNBUL_iLw+DVbMuErG>%R+T5^w*y~OfkXR}vrOw}^S1Y*`^C}mS5T|}*~xRd zZaDWkcjL~wn}a`_oL24#N>MvI-%A6k5npNPpn^7{;VBOv-d0geY)I7@P)d8pW$AgvUbfdht?Kc{hKq zX&o%~snUqWqz#2nT<8PHqg5tVTyiMGXK6?W$=t;`a!X{=GW5!=0EqM9Dz)|lwZW1T zOWfQE{`kge9HyRSsrPZ|%+ z7kKF%;zKXLC@&P^AI@YqWa8cJDKFS|-@GYna6I+LcbiC2J{m1~gB5mrKTsmy8G@`K zk*}$q!F+bI3GuUzj5~2$R3yV-uWHsy=P|^u!!LK98IDFAWcpRWM@h7JW38+!yR|R* zu=GMPwOwT=yZ>RF`-)K*7>(`s zuMh4GC@I){F6!6U?!V?6LR9y0Y`=Ld>9gzYzMd_qW7V`*KJoGVwO;|3%9`H1Y;Aoc zZQ& zu%MVgVttw(61SeOK{O=i^4xjaC>g&5JQ$Gup76-G$Fh#to+4FgnuOo<)Lkx}u)7fE zRB%w83{H2cRwqnK-cd0z;$);%1qj(?6Q-HcZe9;fBB^QH{IPpBmN=1A6y?}nDFpx@ z2K5dw`AcWPqqP*id?`s0k&nhA^2(TEC92tRDCiQ($-_kGZmcLf%9R2DKp8q&`ISks zCh%Xk4J!%Y>j1@yQI>wZTw>Uf66;poR0VJSjOhyL>cX1mxuw*&sv)J*P}RCODlfgc zLe*qWPmtE}aEvjyZpuSZpOd=s)V8dqA@%x76tc~%XgwIFC zi>ld5&xZ>)YJlUDNYN@|6s>6TQI;89vs31eY%D76Qu*`mmTPsgB{fHf z7vH?>sX?>8!w6^oURX5ZeS#F#&Nt#jH~op`JB(6Yy)3MfD|8XjB?ap?+zlENZDbTe zuZcq}cPiv&7nxFZ8)sTZxk6>L500O)0Ug`x3FLaP`}pLgy0u41YNTb zv95|t7*cnJdVGINsZ{9-m*0k4-P?CXzT9T3$CA2mu0&-oLhEqH0*Vg-Z75^zM9hgC zBiwkFy@iB}|91_E5Ry)pgM*=|V704!OfGlxQy>xo?R|Z)=Bme*eBiv@kG{>n;^kw) zJd9kI^Hl-KWI=S261*Nc!`cy*HhSj5dg{V@?!p`OfgO94u?RirCnW4??w@|EpF z0@*9fO5Sb#SpYNQ>6AMxqT&=4RrzaY(}W6Df!}Pdd`q)}&(b$BWmd;(3wNEcYV5n3 z3mjJBNKB%CT46EztTj<a z{++MBL)XlS)C8lCUn;aiEHsN=R35T#GmkS546&Cali43&ERWWgM5ae2x-c3y4hSa8 z>K68~*NeL!Crt#IP%Z*xTmLA>?ZyIjiEq{YBT-^_eVhHo3<$$!A(e~=M>bY++Q>S6 zK_fOR_^6Si+61U%U$;RfL#q&1oX=kA!|Q{Z0r_1q8=(^cU4L>mV&VxO(jMs);k)j%ajv0>{n!P+LW{d2Z_;Bx zYZ8mbM3Zzv9nh}-c*TbUvh@+6ATo_=#lpy{{tBW;*=#WfRYcc}UNP(cQEM|JR|CH~V#@%x=vdDs~73R3Nm`Z_7$28}$%tI-p_%7l^QWkvv28-i_M(pRWPer;g zI&XuTuJfhuidkh+sMla{C}Im%hY-(!iT0l7A&_ZUkYsGeK_)zeYW%=hZ8##uP@OMV(i*QVyV5Sd$Xb6A8^jwj;e_jfavTk4gAglqP#X=0R zm~FUO5JQN~L^4p<0)^H@{wcZ^k8AEUeBnNW!+&>D@St|Ve)d#?NgsC3k{y#9 zdKdc12{(zX}L=1at@sM?Qtd7xboh5UTGvEiGm zb05w+*Q-}zU=Rae296T@+vMZZvuH*2VBskUHTjojk8(J3NFo}jCD@wUw0ui|7SJM7 zqek(=-lmj`191`$vpd~z!5?X+IOjW;hvm*??KSQGMTPEjcc+5VnQtid&_oVGmC?BR zpJ9X@WZy-cKD~s-d{#ITw2xflO*Hz+=RCL7;gJ+x;GE`>W-wjdW!C+@ON%t!qtmdP z>xISYR4>vu#&%=G_8d~hYJR3Vt`YU6o2VpE9 ziKriw=sU4!4s2hIuN@(iL>jMp*A98>w-%X~bb5$D*$TT(259JBgZI!r_|GMRwfZ_z z0sBa?2M~Yt&a|TsIAcR#yL%wRdw7u7+$Z+()NhRsTSC1|Gvpo^7|oNhCE1B%;56wh_P%TqKe?iG%P_Wn2$17mdq5=KJAg96{oJ*7$)MvJ;Uc zopjlgwE76}gQzy5r&`P5NIdJJ6XG{FrfxNfB!z(8JAery>ITq=V_|ypXhWWj5SR(y zC->~2RPjPXG;tlwE}%0~?F8x2p*_%+)?SAN3(!W$I;Qu4wVqc>^bv*FFMvSQ6M z6-#?&k$e-o!J>S)Nq*x;gF}sn9tr6NJ?W!AG(zYsHHL{c3n`51hFVmu&BtOteB@xe zc%S$0I7Z|Pq#hl*gwgRK-*@B6iG6ikz;*Hbh)sK#v%f62t~_Nn3Zjb3R{q*Cb^Cfvi{sRZ_>_bQMnm-v5};!RONFk{ ziwdB?=YG#xon@~#n&YN>3LHDa6#C}72Kb8w#oPjG;5M|qhS{_4X7K9PDk`@2=`2A9 z|I5-(A<>T~aUYIrHyz>!?1Fwj-no%Sya5Zv`ld;ZSWyGbYQCp;$eB4cA$sN_KE)wE zK@-QQ@q(~)v4WpXP>-L9=Sl+=bCE^XQG=glQIFX)^c{ZNQo}J#Hm9ADZcC#%vZMM0 zE-VJAvpn5j9tw4P559XF`<+u&d=M`@LZUhPMmY#NJgP=H*kgck;9cAvI`^}&ufY*B zo6+jO+Sl!S-r%{qao#1xUNyvCq2Yg?dcVG_;9TcvOp20j8=_qSfgY-2ud-sVI%2OP zVz0_#uhL?#T4JvfVy~F+f7)WhWeKs+y3x@Th64F$08c@{$3mcov=|>!a9%@0E$!h& z#zCG;U7~s>7CQ$b%7OrC#78%I1f9;q-b?U&b1El$cLx}ppI>9_Dr$U_%fZIZ!F`b8 zL$WqZU`lBt9*8jh?D%?^(R5P6kqN+%JX%(W-)&XXE_YS9BL22~=yp(&5?lCaLHu0X zV7Pv{a%b*Rxe92qtlVl;Q^{PYUiJ8ML`{dN4@t6V8$(pV{?AjB1UX$F_6KZ*Djs9C zZG^aki%(D^A8Tv9rz00-Xyx%Ko96Ok|L@w8_kj0vn{;l$2}e4!5wu)d(droM?RVVB zdP+|u=B$IqZp^C~;yK=cKwN&T$Jl(0PcITaX-Y)^0oYxUY19`^wa~c81Jdrg;9S!QwRYM$l;LT^y`@}C8Nl!>4rXFGJ3Z! zdq(o*U6nk>d}b%w6yF8&9*N}Oym@(&7o)b{9+iB~0#_G@>ZyIO#ZWnUDYa88{b5D0 zZ9l|AU+IXgj%!YZv4 zatq<}AI0jhQ|1=KfhRj!=Q;-)!ty zo+^Q@QetcLqyki=0!*ZK7-*|gjOV0wc9ym_wpR8wAV$6VvXzyM4J}(sdwm^S8$BJ? zhu1%|UQi61#}~(X^RvtRZIy*JrS5k)X2;wPur=ck8(tYPtGZ_s2Q@AhE= z9uWNr_Jr*ZmTUJ1cltA!lMQEytB|Jiclmj@F!KcX*TBEMeF+K%ijP9{hOQm@n%*Ek ztKFEF(wbs+4#|`)4U$W-Sc6#k@160`MwB4&s)-Q&@^Z^LmD}l`- z?viviDizA{Vz|Wpr4csRoHKlm^Z^%RUO0_JfhlR{M~){rZA$@9)~7ka+1)2VpZd<@ zkxF|r8B@l1&>4H!mi0uWQ4kHfjE^k1Z+0NPFQ?OFnSrk zgH?3T*0IkM`avbYw&*&}`MxO78JQcXd^QL-WTuOP$MAju`7wU=q@P-5jmSm>z0oJJ zQSf;8%eMqyg?qmGA-QaJDsx}BM8LUoC9AT3UD z|C=XZApg2J?U|2yPc*}l$U=SZ=Tzo>dKw@*0H709I_^#BG#>1xQZd>of1lpytzQ&< z;Lu#ohJ=4^I)@B=vDe&tz@;=~bP$=AY_SU@b=tQ#d zhaYdO=5_~|7GD#ySluU{Cj8&;cn=t{9)Q9kK)G(aw?MsuP%UR33=>znsj3bf6DO-? zDc07M!|(&;Oe*VevN_2j^H8~wgv6)5;M==L}&4EiJv8|t-fF5 z*3eBh+*Fsq;gBt&&fFEQ$h%$ zpC`{su7hI5JO6zIvu$|%(9+RJq`y-R8M&L+=j=jPrJa%Qowi#VW10)V+PmV8z`YDD zWj`s&h~xW-U$C4y`N5K7WB zCfS|mh=NklxSRaaa$cQkhplsDqw(OAf?f!3NZZ~&OdA$W~rDd_6YQml5 zeq$z^9&@3(M>6cz=Z-l2cNhpmUXDCOj@JcZ^CF#jTO|B>>b|CUB^3VFGloiRw5lnm z=4Se-(?F^)pfg*G;o^Y85yoHxoTNb-!7tLb!$nYbQ}>#Ly`@wc6tj&xI$fJZZ<;>~ z=`2}QVQ5f?>7z7vnAeJ6O|At=?2w{&)ldX=dVKi^{J=6u8xJahT-0W{S`!+dBID~n zGe)AC;slqqZ2Q>xr(}Nnhbx5X>C5Q2R0h}(=em9W8F7XdrAw*P8_v+{zVbz;#>*HyW(7n04Y0uJK6$*D8y|WD*q72> z&wR=NtU5!?BN{RH9i{S%e4+zVtN)@zgh&sSW15%R!|$;1!lUniipBU*5$T)!8?%~= zPJok$a}Jh>+P&<(Nu+!xsqKE5BVb-7PGF)m)les$Qp&4NP9293EWe z=QDy#y#hK$Gz#h}j%CCYGyY;V0Yykm(>zk2=P`77Y1)m6Dyl)v*Tu-#kj|`Q`BV#b ztr2LRY@%5SrblEW9Cm-_6s;UGKw6rVh=|{piC^16$C%r6a<*Uv%{&;rn8Svz2u2B& zZil0@4O`>NrFiMbCvw<`LGeh+6{Sqo^sg|?ORH+UJikPFjx&l&wWu8eN@lW;f4N&o z9mXDC6#K{i3yibdh%o(1M-P^)!)WQ4{9)GqyzDI_)P8--air703UhtnU;#_=*Wq9Z z%G{5)_8ZxCPwN70|E|}`k~%BY6ts+0^ByP^1B0rhs*7LSWp1s*{OsNHKII{O#HDFB z(AgDP6ZV*;lm4w-4vys{tds%~s}+vRC$AOGyszef5m2AC?&bDQ$D#?LRTS#Pt1|M~EXb{FAe0wH9#XLM-;wEm0jjat5&!@I literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.qmsg b/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.qmsg new file mode 100644 index 0000000..8128fdc --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.fnsim.qmsg @@ -0,0 +1,16 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588514885944 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Functional Simulation Netlist Generation Quartus II 64-Bit " "Running Quartus II 64-Bit Functional Simulation Netlist Generation" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588514885945 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 22:08:05 2020 " "Processing started: Sun May 03 22:08:05 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588514885945 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588514885945 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map YL_7SegmentDecoder -c YL_7SegmentDecoder --generate_functional_sim_netlist " "Command: quartus_map YL_7SegmentDecoder -c YL_7SegmentDecoder --generate_functional_sim_netlist" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588514885946 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588514886504 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec_counter.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec_counter.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 dec_count " "Found entity 1: dec_count" { } { { "YL_dec_counter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_dec_counter.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886568 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886568 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_7segmentdecoder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_7segmentdecoder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_7SegmentDecoder " "Found entity 1: YL_7SegmentDecoder" { } { { "YL_7SegmentDecoder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886572 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886572 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_7segmentdecoder.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_7segmentdecoder.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 7segment " "Found entity 1: 7segment" { } { { "YL_7SegmentDecoder.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886578 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886578 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_7segmentdecoder2.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_7segmentdecoder2.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_7SegmentDecoder2 " "Found entity 1: YL_7SegmentDecoder2" { } { { "YL_7SegmentDecoder2.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder2.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886585 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886585 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_sec_cnt.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_sec_cnt.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 sec_cnt " "Found entity 1: sec_cnt" { } { { "YL_sec_cnt.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_sec_cnt.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886593 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886593 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_cascade.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_cascade.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_Cascade " "Found entity 1: YL_Cascade" { } { { "YL_Cascade.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_Cascade.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588514886597 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588514886597 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_7SegmentDecoder " "Elaborating entity \"YL_7SegmentDecoder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588514886668 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7segment 7segment:inst_ " "Elaborating entity \"7segment\" for hierarchy \"7segment:inst_\"" { } { { "YL_7SegmentDecoder.bdf" "inst_" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 184 384 512 360 "inst_" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588514886676 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dec_count dec_count:inst8 " "Elaborating entity \"dec_count\" for hierarchy \"dec_count:inst8\"" { } { { "YL_7SegmentDecoder.bdf" "inst8" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 184 168 328 296 "inst8" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588514886681 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sec_cnt sec_cnt:inst10 " "Elaborating entity \"sec_cnt\" for hierarchy \"sec_cnt:inst10\"" { } { { "YL_7SegmentDecoder.bdf" "inst10" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 16 120 264 96 "inst10" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588514886686 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dec_count dec_count:inst11 " "Elaborating entity \"dec_count\" for hierarchy \"dec_count:inst11\"" { } { { "YL_7SegmentDecoder.bdf" "inst11" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7SegmentDecoder.bdf" { { 424 160 320 536 "inst11" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588514886698 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Functional Simulation Netlist Generation 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Functional Simulation Netlist Generation was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4577 " "Peak virtual memory: 4577 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588514886848 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 22:08:06 2020 " "Processing ended: Sun May 03 22:08:06 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588514886848 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588514886848 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588514886848 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588514886848 ""} diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.hier_info b/Exp28_Decoder/db/YL_7SegmentDecoder.hier_info new file mode 100644 index 0000000..8414958 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.hier_info @@ -0,0 +1,237 @@ +|YL_7SegmentDecoder +OUTPUT_A <= inst.DB_MAX_OUTPUT_PORT_TYPE +clk => sec_cnt:inst10.clk +clk => dec_count:inst8.clk +clk => dec_count:inst11.clk +ent => dec_count:inst8.ent +clear => dec_count:inst8.clear +clear => dec_count:inst11.clear +OUTPUT_B <= inst1.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_C <= inst2.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_D <= inst3.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_E <= inst4.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_F <= inst5.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_G <= inst6.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_A1 <= inst12.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_B2 <= inst7.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_C3 <= inst13.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_D4 <= inst14.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_E5 <= inst15.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_F6 <= inst16.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_G7 <= inst17.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_7SegmentDecoder|7segment:inst_ +i[0] => _~4.IN0 +i[0] => b~1.IN3 +i[0] => _~10.IN0 +i[0] => a~6.IN3 +i[0] => _~15.IN0 +i[0] => a~8.IN3 +i[0] => _~19.IN0 +i[0] => a~12.IN3 +i[0] => _~23.IN0 +i[0] => a~16.IN3 +i[0] => _~27.IN0 +i[0] => c~2.IN3 +i[0] => _~30.IN0 +i[0] => b~6.IN3 +i[0] => _~32.IN0 +i[0] => a~24.IN3 +i[1] => _~3.IN0 +i[1] => _~7.IN0 +i[1] => a~4.IN2 +i[1] => a~6.IN2 +i[1] => _~14.IN0 +i[1] => _~17.IN0 +i[1] => a~10.IN2 +i[1] => a~12.IN2 +i[1] => _~22.IN0 +i[1] => _~25.IN0 +i[1] => a~18.IN2 +i[1] => c~2.IN2 +i[1] => _~29.IN0 +i[1] => _~31.IN0 +i[1] => a~22.IN2 +i[1] => a~24.IN2 +i[2] => _~2.IN0 +i[2] => _~6.IN0 +i[2] => _~9.IN0 +i[2] => _~12.IN0 +i[2] => b~4.IN1 +i[2] => a~8.IN1 +i[2] => a~10.IN1 +i[2] => a~12.IN1 +i[2] => _~21.IN0 +i[2] => _~24.IN0 +i[2] => _~26.IN0 +i[2] => _~28.IN0 +i[2] => a~20.IN1 +i[2] => b~6.IN1 +i[2] => a~22.IN1 +i[2] => a~24.IN1 +i[3] => _~1.IN0 +i[3] => _~5.IN0 +i[3] => _~8.IN0 +i[3] => _~11.IN0 +i[3] => _~13.IN0 +i[3] => _~16.IN0 +i[3] => _~18.IN0 +i[3] => _~20.IN0 +i[3] => a~14.IN0 +i[3] => a~16.IN0 +i[3] => a~18.IN0 +i[3] => c~2.IN0 +i[3] => a~20.IN0 +i[3] => b~6.IN0 +i[3] => a~22.IN0 +i[3] => a~24.IN0 +a <= a~2.DB_MAX_OUTPUT_PORT_TYPE +b <= b~2.DB_MAX_OUTPUT_PORT_TYPE +c <= c~0.DB_MAX_OUTPUT_PORT_TYPE +d <= d~0.DB_MAX_OUTPUT_PORT_TYPE +e <= e~0.DB_MAX_OUTPUT_PORT_TYPE +f <= f~0.DB_MAX_OUTPUT_PORT_TYPE +g <= g~0.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_7SegmentDecoder|dec_count:inst8 +enc => _~2.IN0 +enc => _~13.IN0 +ent => _~2.IN1 +ent => _~13.IN1 +ent => rco~0.IN1 +clk => count[3].CLK +clk => count[2].CLK +clk => count[1].CLK +clk => count[0].CLK +clear => _~1.IN0 +value[0] <= count[0].DB_MAX_OUTPUT_PORT_TYPE +value[1] <= count[1].DB_MAX_OUTPUT_PORT_TYPE +value[2] <= count[2].DB_MAX_OUTPUT_PORT_TYPE +value[3] <= count[3].DB_MAX_OUTPUT_PORT_TYPE +rco <= rco~0.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_7SegmentDecoder|sec_cnt:inst10 +clk => count[25].CLK +clk => count[24].CLK +clk => count[23].CLK +clk => count[22].CLK +clk => count[21].CLK +clk => count[20].CLK +clk => count[19].CLK +clk => count[18].CLK +clk => count[17].CLK +clk => count[16].CLK +clk => count[15].CLK +clk => count[14].CLK +clk => count[13].CLK +clk => count[12].CLK +clk => count[11].CLK +clk => count[10].CLK +clk => count[9].CLK +clk => count[8].CLK +clk => count[7].CLK +clk => count[6].CLK +clk => count[5].CLK +clk => count[4].CLK +clk => count[3].CLK +clk => count[2].CLK +clk => count[1].CLK +clk => count[0].CLK +second <= second~2.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_7SegmentDecoder|7segment:inst_12 +i[0] => _~4.IN0 +i[0] => b~1.IN3 +i[0] => _~10.IN0 +i[0] => a~6.IN3 +i[0] => _~15.IN0 +i[0] => a~8.IN3 +i[0] => _~19.IN0 +i[0] => a~12.IN3 +i[0] => _~23.IN0 +i[0] => a~16.IN3 +i[0] => _~27.IN0 +i[0] => c~2.IN3 +i[0] => _~30.IN0 +i[0] => b~6.IN3 +i[0] => _~32.IN0 +i[0] => a~24.IN3 +i[1] => _~3.IN0 +i[1] => _~7.IN0 +i[1] => a~4.IN2 +i[1] => a~6.IN2 +i[1] => _~14.IN0 +i[1] => _~17.IN0 +i[1] => a~10.IN2 +i[1] => a~12.IN2 +i[1] => _~22.IN0 +i[1] => _~25.IN0 +i[1] => a~18.IN2 +i[1] => c~2.IN2 +i[1] => _~29.IN0 +i[1] => _~31.IN0 +i[1] => a~22.IN2 +i[1] => a~24.IN2 +i[2] => _~2.IN0 +i[2] => _~6.IN0 +i[2] => _~9.IN0 +i[2] => _~12.IN0 +i[2] => b~4.IN1 +i[2] => a~8.IN1 +i[2] => a~10.IN1 +i[2] => a~12.IN1 +i[2] => _~21.IN0 +i[2] => _~24.IN0 +i[2] => _~26.IN0 +i[2] => _~28.IN0 +i[2] => a~20.IN1 +i[2] => b~6.IN1 +i[2] => a~22.IN1 +i[2] => a~24.IN1 +i[3] => _~1.IN0 +i[3] => _~5.IN0 +i[3] => _~8.IN0 +i[3] => _~11.IN0 +i[3] => _~13.IN0 +i[3] => _~16.IN0 +i[3] => _~18.IN0 +i[3] => _~20.IN0 +i[3] => a~14.IN0 +i[3] => a~16.IN0 +i[3] => a~18.IN0 +i[3] => c~2.IN0 +i[3] => a~20.IN0 +i[3] => b~6.IN0 +i[3] => a~22.IN0 +i[3] => a~24.IN0 +a <= a~2.DB_MAX_OUTPUT_PORT_TYPE +b <= b~2.DB_MAX_OUTPUT_PORT_TYPE +c <= c~0.DB_MAX_OUTPUT_PORT_TYPE +d <= d~0.DB_MAX_OUTPUT_PORT_TYPE +e <= e~0.DB_MAX_OUTPUT_PORT_TYPE +f <= f~0.DB_MAX_OUTPUT_PORT_TYPE +g <= g~0.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_7SegmentDecoder|dec_count:inst11 +enc => _~2.IN0 +enc => _~13.IN0 +ent => _~2.IN1 +ent => _~13.IN1 +ent => rco~0.IN1 +clk => count[3].CLK +clk => count[2].CLK +clk => count[1].CLK +clk => count[0].CLK +clear => _~1.IN0 +value[0] <= count[0].DB_MAX_OUTPUT_PORT_TYPE +value[1] <= count[1].DB_MAX_OUTPUT_PORT_TYPE +value[2] <= count[2].DB_MAX_OUTPUT_PORT_TYPE +value[3] <= count[3].DB_MAX_OUTPUT_PORT_TYPE +rco <= rco~0.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.hif b/Exp28_Decoder/db/YL_7SegmentDecoder.hif new file mode 100644 index 0000000000000000000000000000000000000000..aeae432f2efa2c8dbe76710c7ad61b3167078d25 GIT binary patch literal 619 zcmV-x0+ju%4*>uG0001ZoXwTrZ`v>r$KNgOf3Vb-?Ez{#KMZ>-16no;+t`@)WI6T) ztcHZ;2NG)czt15lL!||Ts%c81?>VRQ`93*2UkaJ#MTQ3h?7NMQ$ zcnB+q7$WsG{W`f7);qnal%PffPjb69JTyTn)3wc&&okU;l%8I!pM76NOmDiw+HGJr zWZ!XtAAR+i!z11ctYikl#2Lhy zrLux@;-p9wh|olU){%Hjsq5HWhZdc5iRVE(;BC$nYb(|A6|_QENtekM;&z@Q11*+` zt@Iul2Q?^ikYTmbT1lm|O2SH@5?zU^B&bAI^4lhu8?cDfyo7YWMf2WPlZz)q$~F-T zsnSGb@T-7W0oriY=g?&b>9T)J_bhrxSY)7tIt7J$5QTd>iaO&4ffq=JIGP46-}AJl zt{eK?2klE11}Y?b2yAc?Kb9Qbz0@Rij!jcgata4sMkK{4B%FgJoYP5cbJh*PDFte~ zf)cI>c+@AZ44ii0NF9Wn?$cPwsDOIQZEUt<(;d6ser3if6xGngI@f>Ft089@%{%^&UI FgFLFgE64x< literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.ipinfo b/Exp28_Decoder/db/YL_7SegmentDecoder.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.html b/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.html new file mode 100644 index 0000000..283a64d --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.html @@ -0,0 +1,98 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst114101511100000
inst_124000700000000
inst101000100000000
inst84000500000000
inst_4000700000000
diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..34546c16e5aba8f8a1d6cdb102a59a933b8a77d3 GIT binary patch literal 527 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRda(gb0fLzzQ-NS1gks=lf-ou=-vk}> zI_x0uwkkky%d3l*>ztIQR&7(@YKpU&zLfK=(+!VJmS3LF-sfpjnYHk&n2qIzn;MYkyKCABgyj#0{=H=kWNz&5uMIL<#?qgiRU2`pg(=w~VVS~ya z^$8zWrWvH~n3pqYcFn#R(+NH=Zq`oO_j78Qzj1iQ<2`DBWPa?{?p8?)*&~1XOvP)l zp7{**y0xdPPOizBaP`NM)QCUPab_M{`OUUj=AGD{#ko9-bFY)jUzNE#OO~E2;`N^V zY`W*Xq>KF;O-z=DB(F!U6|%b3buZUdvnM2pBfx*6r`BB8?XiAZrRq^1UxF2hFN;D7v||_V7NgxviqV#5dmu2Gjrl6^u!V2}uD7 z2?-r{KYj>RnJ|szk%IX09|G>`^4EVnn4sWq|GgqyK0H2rzrX?!W@ixrV`C47ld~qP zK2;D@RB+2^T$P%VVvvxMz>&Q93u_QhlSbB`xqD*fDjqXrRE?0ZXvk8#YjcYEi9vz$ NR3{NbP~`mo4*(*I)ocI& literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.txt b/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.txt new file mode 100644 index 0000000..f066fe6 --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.lpc.txt @@ -0,0 +1,11 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; inst11 ; 4 ; 1 ; 0 ; 1 ; 5 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst_12 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst10 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst8 ; 4 ; 0 ; 0 ; 0 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst_ ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map.ammdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..a3faedeb096f3d359b5f022f3f43d2f4b0fb1a3e GIT binary patch literal 137 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map.bpm b/Exp28_Decoder/db/YL_7SegmentDecoder.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..13fa9185dc55907bdf4f0933f8bfed6289df2668 GIT binary patch literal 681 zcmV;a0#^MI000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrZ00000001ol00000 z005c*00000005~100000004La>{QE2!$1_R4@40ZcdqmgoF=LEv6Hq7p-@3xxEj)A z+6L21NK&!lPx(oHgNTTacyF7zO{*>{)GBshn46PxXU?2EGjSZpyO~Vp4aQmZMOgn8 z*2jJgv1$uRFdqj-%*uz&KURmODe1*?ifvYPm~`D~`S2Yb>)@Ma6#4OC<*3fHc%%kU zK|yf&<35zjDhLhVAf~3^Z3XE$1wE-`!%)x~v1o~BV&y-w4ixl2EL}k>YA%Dc;bS@2 z0VNVkr+tzxeP7M>LW!h)D3x?kqCttPAVOJ>r9GAY4GiTHI}1e+vToRRB&&O(5;&KF zg;Ce;v95GkwN@?bn#QVEzFy!JVM$vA%<-&1bc7d~F1H)Zk{#c1h0T34h@8lgUdYaC zJbxluNJ_Hy0x6>*1-25SBy}?&>`NTS5Z{5`HUpbCTxngD%x)xkOL!tMBUsh(!l(y~ zpWO|LXmO+2ENUnj`z>^ASWIk)jkhfTaO;Stt1`k%CoaRE^fwXHAjawMIf)z?@#VMp zbeEg}RC%Ao>5DK_pG4}&OiudjSUkdX_zaBv`^uX+v0sD#Y0oO4GMTwMK7 P?E=X&r~xqm00960BSIeI literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..3807905f6b645d3196d1cee6db2be77fcd26efc5 GIT binary patch literal 5726 zcmeHL_ct4k*RHl`wWw89v#PZ|HEYF4sjapeC3fvmG&Z4XQ+t(An^HbX5fP(SD6#hl z71D~CSRvx|eZPOk`<{EweV$*Q=bm%#J@<#paP8W)Z+9rJvfovxT%qY(Uq4rGFCKA8 z5it>Q9u=^wha-=Kqy&$cw5Yg*sDzlfB#-f1Uw>DJw>$=R4(>eSJjU8QW^e6z)Er&k zUnxaT{$qFTS})W8!ZpIp|DyH%|6(A;HpsR1i5g1>;o4j9oYqnv zuN|&}Ur%TK6+d7_tjZKJvtGt|R-i)^<>WNq$XBICH3JG3Ik+j6wr=8NJd7Yk{!MA2 z`JOSnp3?6gWRIo3a5BvMJbiE3MCfEG1RZv=;#VJbyr@CTA@Czdr$0c`RZ)jRmC=WX zj)R)``voj67u!?7q4CL~J^Dahghu?4S*kFSg}DB~DwEsKmlO8MinX5;CeZuQO1X~5 z43l!l*D!o|5R3u%f*u55O71cFQo=r1r2$2dYD97V*)$vyY`2t_sPYQQf}!zMBe(Lf zZVVJyY1BQQjrP&_{{sKtqW_~?RHY88pL;>awR>{@xoGmV?kF377k2z;TnbH8ZV*Sq z=3}HoB(ppxv(}f?F34s3UgDFahyW-%&?xTy-xMVwX`Et+LFfFc%W0&*?)uO8&m6K3{}VSUP|Q)56rA)JD&MG2ly$tEjCT{F*_hqW_34g9 z-wniB3SS;;rx<>~`A}Qe zDUCU=KE}C0Z2hkMSG$*(c+x-DvlW2Z}G4M5QS2nU*FyP^hP1lxj{u~x$btFs1V(_ds z1J%su#W9_g(|6K`B4(T#@y4#$@oT!YSVP4P)W|e(qs1&?l>~-&9f|vf_t?y$>X*ZY z3p(vz?~r0gIV7==h)ChvJ)75V)0BO!AY1+GK%RJ#mGO?;3tv5ZAP1Sb_(7;?u7wtj zJU2aIncH;cx=Y)i_w@{MjDqq0~O@S4QvLR#kZPP1?-+Tf#D5{%vRbC;SIXHb{ejQ?0tfes15-F*+vKOhBT6E`ios2s> zC+b`W&bk5>9wVa8W9!@ zlG2a+u=z1EB-thqFdnESpb{hUZm9ebAcYYsqjUdni7?cy%}|=8n)s0M>_>yTds?P( zcu84G|7u{8VfyUz1mPvk?i&(X0@>LbQS^uB2NDVObOR=3JKPLf#M1q~Rs7Z$2Jl!= ziDtM^W>OL+Lk*wtbTB0z)Aw|6QWdX~fnnnf>d?aflcq4sN4%Y;Fu*5x9*`ldo9>)g zW}wSNyj57EieG;^NKnPU$|zg9saxok-dp5WNDuYZadJ6-Vt>J$fhp$=O4q_mq>-w$ z@HA-(-F!h=n&C)3;$Jo`F6RlD6G+PaQQ-3XcpuDVDp#fIEVXbLWru>PZf#1u<3~0n z;qc!yn!%0!FBut814iFLSk_^{MhkJwd5oymh$}TpROg`YDCrNfoUiotpC9|L1Xj5;XSnku|_=@ zObkB}G7RvRz=gJ0RIX^$TZ?|ai6VdQ68fh!T{VDD2alVf*4PBE%O(`>;HsC zYvJjga7-oM4O&=1CuogOSoEwHhq}Q}RD6Npy9FJF!Ng%e11nz}jXpQsV}Ph6u6H-` zkH8nC4g<6@59}q9ZkhMK1l{MhX3=lg3sC=FpnPns>Z?+Qv8iV1$mP)B(-*T02mw<; z@yDeNYR5`H>B^eAY%ij-OpGTW_jwag^@oFoQ2*4Ij=>@nnRKauGcw4UkG zm#vCj((GGQ)srStpZ$9n5J1u4vIgls2*Xoz>>sDtq>#H%gwgUPUF`yfqB=5$lNjLe02yS zE3YZmQE<5HMNi4!wf-=LZSt@AX@B#B{F-G50KQM5gqq$ZB<);i4EPs$H=Un++Ue+W zIq~LP1j~O1)H=TE8<NyudZcXyj4a;xU;Q?R|R>H8Y!Jx96 z)$)A9GBnA5BW;I|giELw+k075VG;u7+8WwTO!mLrUVx`%6bS0r)Y}C>4rjD z-_?ePE4@5i68heAddOJXk)c);T_h^C)dSc0rE0ndHzIAX#7u0epVUVb)x{!5Z&bZA zxW%C6c!LG-?U*}}nr6nV}r7y6*K4WQb@ir}pEINm>wKc!;yr_{7SUmeCEO#1fBXzd^ zbp&WrH_};$S>^s-?_Ers4c%SZEGkFu-%;;G_;s_*Azn*t7h;AoA#O~wFO-~Eu_&LV zO5Zt(w2j74J)OSZt`%GEwkbJXZAVl(N&QCA@1VW|-kO6DQvv_Ad_94 zXWnU1BRDm$WK6l9$OiZ33kCQaX;rj#0N3&Or>Q{YqP4u}1s0o*6lNeZaoWp;w@sqAoF{hjajrNhBc;a?#J z+_+p0lDwtIb?lS65y8u@jFZ5tLD|DGTJM^+bOwt#0}_@#p}bzvk#!T2xUqtlpI9tv z?Uv9!n!ihqIrI$W<`hBi?G$jQI(_-?%@4jHJs&@L-~8i7=vRVD!X@gS;-3`hF#C#L zwzHT)L7Og_vP?)oyvW@0%8$pDq<%XvP;YKzQmvxz;R*4)Q)=wlZ zv+sg51ut#QU~Ik($J$_@hDK_(vRsaBvYCev_N9mv9nXy*Bv0R=mK0eXCWrzTWDYT& z+3GI>YSB%!TMk2=@?{7R%F;#h%>3lG@dZ^UDd_1Yw_~<;zd{x6hY3e9;=w3@>~OZq zzUi7&vx713;6L|t4&ai|6c5{{KV6$`D$Px4N?9aDrNl8HdCJcm@{sQpx$QViOear^ zMU}9FeWY{X)kp2}GLYh<$%s3X;k_bH<8KSkFUFdhC!%PylMS*yWb=4Qd&|`CYP7*! z^Cw?w>TebEM?Ji$+{995u+O_fqoR20cXt$JvBonNdfwkfJSsOu?Gq&@y(T_?xzi}= zy(vRjA+2oFsfZ?B>q>riaZ^Y(M#661!Jz`1$n&ZZlsfO{Yz!vwJ|eotKG+¨Szs zETAr3np7|>JoS_IqJ(j>cptQETCuAMuXgaAsVwP?$in^ZXA_>Q|4ezTfPYjD6~N zQ%k#EQtOl9M9cI!WVwg3J?AA5IobZA(falXfkb%pJSzF#AlSG6DXk7r-~&@CiZuy+m4sVMGIUpqq3yP=q01TrjlQieW+G z7Mo_hEQaBLOrADdZq+U^FjPF`c*{(?=og)-?Zu!FJFXX)xHl16c*B^?pz_5(x=T77Lca-j0@+Qz2k_VV zzg>6~m>dc3$&&cG+-D^!+~g%90d{W1KUg3CCN|F%u0;2?8=%d4gLU(lsMnf5JmNbQ z>0>dU!izwX?$PaX^{(1Hv(pfPxucNX^_0KWkc&qY>jJ8`zZai6Uc6%j)eN$v{A8eI z-i&gM4JvGJo?1ZrD5Xeu^^lI7iL8C{2375#TbzomuSCMB))clRZ3=Bl->TXt1GC0& M6klBZfG;oq2Vv@0rT_o{ literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..1d9d906a4b69b82f4f81539f2bf11823b2e6fde7 GIT binary patch literal 12971 zcmaiab8sd>_ioHLwr$(CZQHgsw%%ytjqPM(Z|se2+t%Ihhx^~HJ5zI>XP)jpT~pK5 zr}}iGfPjG1z=Qs?ZvSr4f2e8U>Sp8QNX){<$jrz>Eb3unZ%)k0#!Af0$;86S#LCRV zMyzJx>S<$UL9A?IW=G6ItR_pWWnoGzVQ%C8ucc7Jf82q9K+yjefIuPtH&(I!H+q9! z1i^3>IK|4o&@WL+Z@`g)aF{w)Y!)Cw$Yn3sLG^GSJ%( z^kIyQQ0@tuRS=W2-dd@*+{}D`Wz;*9$B)>mi=UI9v%zKnoy}8fSkm&PY_X8#LqY3r z7p5Sv8eVeKCYBLfyIa;E!z{`$XkGx$uTocx1S3BOwWg*|UYA`bf>8h@8p7_xloL7z zJoZHY3{zLa3e|Ud^x);@q$9e)EmO{{-T=&xB^HiF4w~If-bRy=GT2j|0+eBIwJL66 z@psWUyIa@zWXYcn7x2UGW#T;O2_4Cy%u-}cR4t<;3`Xit*e|Cw@98zzWL1zqY|M~y zJ{!}3u(G6Qbi`pdAAZ=cBa?B~`(xG`3(bkYuWZq+`G&8zCuE^9hdz1j7|6kfL*ckuRf%w3CMHogoB6L3g<*H4O4Wu{6+K#8 zyuLpzEWAAJ>X3=Y!b(MrhKpO$Q|Z7_rBl60k()x^7n-Jvm;kJc0mBzR3#D+bZy);X zt$=kj+xRrThC<_;O*kF?KgOw$Z{ZT9g3Oo*n2@24crOm{MiL<6X_vQup3dPRb?v+s z1Hn`^j@IXwhpNrg1D7mC;--(2EO?%q!$UMp#22!@J%XAl#J5r z$@A}Lj4~U~IDLOiJh{P#Q>j1`nkai%3$!m5;-lPnT zg>6-@9LlyBg_IaOtw7IH^);E#%nb?h{S@4v9GJa0$6n{b+5-ZF%3pfLK9=dw37a2l z{Lappqeefk>fxHbw>ol`1$)WcbC%t-+K>Zpy<$?p@L#}2FWJuJH($qgV z7dHxBLt-M^|0Y-D&mHJ!KSRk2n8(8D4X8UO7-hLf4xZ)1ak7!uyu4qK2$5 zqbb~=)|hae)=?^Ej{UUB3v^k?V{(0aHXM7qrNln>)_lK=y(6R9A{E-hEdhCAhji=T z8W$>zQRNA6?A-|bAWVPG^Z&>w+dl?-vt+lGsxbc8DxG+3Kt;nzrCVE9X$L1bq~GI+ zdpP1<#=bjmu@9_PR;C#uc{$#U3Ug|r&OU}OoR3NM%0pF7icH}xa;==4pJ+jX@Mg5C z-K=-1jNhTX`bF9=gm9FWv!fb-YDd>0&fdHXGx$rGuM#o}Lc+SB!K0tn5XS5eoo3G4`OYDNSv9U$LEi{iT7RbzhBzm= zpTF=(ptff4<3pxq_J$@R!V>}lVk?zK6Yk700JqM*7F6Wo^d16KjulQj+1fiYC?O7v z7k3^Rn^HFm$|=LzMhzECOxOyyizL5^2O*fmSmz%#){*LA=!}FO0T*;yzwb;-KAET*_@p z+>0-j;WJf2p6v%5`ro87G~OeI{Xw6B=-l7fLGBc2lbwDA(}8Ub$Sb2=ZfT0Poa4*5 zb7miwcGilEj!+^g^YyT(CpfNhc&Kyj5hB28E&y1o+u*sfZcYPYNlP_EjSj4CBWis~ z({C{vJGu167We-J139Sy?T(0qo6|L(KV^1DFnP6UWd0qJ{}H(V348y9@P7i-e}wdT zWB(*u(*bW)Eb78kk>~fY+jr&wAAdUM*_T}Q=_|N&DGS68H-krZNxk^=xg_#?N>HhJ zFqfhL`H#M^}cj$XZ1tgA~LpJ-JIuIU5E;hL2gHTq#lA2KB_H2&CcF}Ufr;{!~gofU$OImw2igX?c9aDv|1r?^pd8z zW|zOAs?A!E&+3FKB1r}j^K`#Gc*=GOY&79Ha++69C0M>HBxlNpG_ zkQPlVqq<5>D$I51A8%dY#E%-kjovhdBd7^ejrim4b z-+zrum4|~1vo+e-ntChvi21mhSMm!^#-pH*=<#-Mn+p~13(W<|V<67KUbyUJBYruK z8$6du{eUO?f$j7|aVgWu6`kLK!65+&)QwoN4Lg2EVQ;(EF}v%BZ60c1Lg;(io%gTx zV5aCmh|g4l)Lj_-+4UDHs)Tr3=BKUVo3lJG=0by#Ar*{7&Do;W^CT5QBAl%@&(Bg1 zGBrCY&iZMhu&K6qMwqQ==?hxSjO)if+)P^%2mfg%-gjJH+_Q9!W)2VIFRbu<41uG3 zm9pEGLH0b8vn2~b@Lr961)ewEp|&-|2e>ao)LTGZKMS`DVG38!#s#vuhC)wMUfswc zp`}dMq+19&L}L0!Zb@yc zb;fvGT@WIlsd_aI&J867jFI+;Bf3u<(hItt(@c4~12tV6NQSvT>T%4F47n2wB5Ma% z2F84m7nXA^&&kq7F-v2AS&nDSODTRPgUfx>x5Kp4A0BU!f*3hiUgdJXtj)pY;3tM6 zg@kg&0z=>+azSsDoHs&?5TMII-r`9^{1=Q;AQkJ&b=uHQk;Bu(G0^J*gad^Xulr{s ze`9jTxj{j$?UgMCmiidLZsV)A!%4pFvlyKY+*;rtV05vd2e*I}Pv0cktSNWX97L42 z29S;_3Hu0@QX%acXK|Ul)ewX?T2Jdl4{NLxY$?augzhG%0$w>2;l{BMBM2yK*IFVX z{Qamf21+QW`+-j9#ilDZd-#A?Pes~@3Hh8*lI>xcA?NmJH|B$Nu5hqUEQBg5aY8na z8~2cgL-FSsL1tc<+E3mN?zyzw7;5yeF9#D#td#UVuq8=j*!L3OS7gs42mc!ta4H0y zvz^nhJJ^I$48Z~^cgXBV*g&7OJKKR8(cIivjtl0gs^G1hIzWgUMi+7IWAvt&u?&Y~ zRp~J~*jq&&ZyDULIkK1ZBM8OfwoG?{9wP0z?EDaMDrx zv{E*uqZZtQhG8tuiw3KZ#_P9w&Y9fMCRBtEKzx3N0|V>bUC^Iua+yDB{xC~2roDdR zYe<>y*Fyh`dw7Taybv;f0*ZecFncF);1=FkNqExr$%pXckEXyL5!unysuD^sPQ#H4 z)EvCaP5%rf{&Ic}msRm6@7zS_1A%legY^9bm4L__X7PK-!;~}<#OVxKH?8z`)I+Ao z8=G`5n{*)R=`Qi7P!b`++&B2CEAR`icm{TkNGdBgyu%=ooyeLsfMD(z`V@&I-hr7m z&!e4L`Ws^o3);r9)W-?=t@^nht2&I@wea32Wy|a zVc(f#=J)`k8R#3d6#FFoBL7s9efneo*Tp=^(Vm8_dqS`^idBPZH7X1+VCZw zqBiwrye|`^mu@U6Lm;?EifRq(7A*?pvN8CnxZ7H3Ev(@?K(4p*7H;gmou4`dx|4n# zXw#B1EwvN}_G%Movc|8irI*>`oB49~fCc8e7T!}aacah!_%>h1ZN6%5^Gycz_wvE$ zZtf+Q;|uPrKO7Y5iH-20>`hBbnJLj}?yupIJ!Tm#@&uU=6D>>`Y)bhvH02MgMG!MT zo6*xa;%n?xZcbK1NjAO;cMhCLFMI6tQiI0@ar~5!bpge@&w)|%Ho9^U2PWC{99|9 zM%%oGjttEqpVDgg-t8ML9VXQt&BJFaB+x%^56KsyHEb%K92uDi9Sk z-7;puR$vxLp%n0PpCjA31SeKw@U}i~OCiLN7Z@t=VA2G=u0+Hc*K%rqMzI>_OF5Q) zqW{G;&#EU-5j(+UVBw}Bl>N)*vk3E2R%b2H{z);kz`@}mdDFq&=Ag7+x?}ZVCSrQ2 zrD@mZUSusFvuDS)On0mtgXrw6@m799zo(BwejVGYNNzvRhS^QSC_UHS!68y|eI~Wc?$;iz+gP@YUi;avQi6G7 z%QGpr#U;jXm(}NVwl>eU3%az$LII=UfOpOBErZRb`&Wko=cgxF`z^`!imAO5RY{y? zUyt-z5D{fhm&cLJpY0!$LvE!#d}=<79shY-)8JI|Vcpb#^zJUhBWSSo%ACHY(>c+9 zoR~>xK9Fz|F~zMNSMc+orSYu@V_=uPiC ziX8la#JNAl%d379ifoP{f46TqxL2c+d2zt>uemU*!g?;IIK3~FAi^Wk`wCzYd{7Of z?}+Y<;ip9o)2Xp&``FMpIs<28a2vaS*LdnV+dIWwH*oLt&75GYZOmM=DE-~M8*?Aq$79zm-^VEi<&V4K}uPP$eb^mwokz?q*d+wDYm!8M9}Y0h)7Y(kr`EPS7q z@-o|d{907;YWX>3bjONI1+(Xd5Xdh;Je#h+jH0?8C^bm1$@1o;K$3{R5Qn z>=a6rz9nhWjnTh{VwUQlV_ReXZEA@7dXFci5z<2|D1f)v47e>raa6{9D8m`+xF&m``3O-HO}Zy z^pjTxjR4@h(HVQ9Hd{@Y<4)}GqKb`@MeIB`VT+2(tNl1nArZg1 zmj{?g#)WN*nZlqnbd1K>h>K(cx2Mc+LPBjG1K5#J5~;0GOIGgt`2tN?1PP9I!=%tz zlYteKI_S=@b`y1CRrx3di%I9N5-&#Z0;BSBBGo+;-0`Dkx{zh5kYSV2!K2!ArB9@m zDSy&!)Tk{v#jMR4G*`jx|9I|l?PLD|d;!?RGEm4eQvez~YV<;wW61MG$W`zvVU;`f zdL_P`RWo#`O%C&11Bmr2ljyO{4jBc!Bx`Jw%6=A80K3P5H7Fu%&7NJF?VVoT7b#T- zv4#RufPV(E_$A= zY6=yjQ2R&859}<-XvI~!3Sc=LFiCT06*F9}KPhAybL%z=C=kH?xTg-yleK_BDG>O5 z1|J|C#$CWmM(GKKvnH&gm)Bvfkfxo5>_Z5z9_3*^KiYs3W3Sfk+EDSh4z3|eHsXD9 zwvNJ*98Rhx5kgQ)GHU@%v4C#C2YoCwQ?uIV<4zf?NK}2Q-2OkZVSmuv(QAG39R@>MY zlH9ZSmJ3Ytp>dpNW~1zE5gx-z&QY)`@!Nu zpKUCcy<8~4lR&8{bTTzssptmFjQCXSd2OgRx`w7CRXM^lOdo=)7WR3b_U}BV=9=(- zO9pIQZM=4@)-(4WW--kR;XM>q;NVXoQ&rOeO?%^5gTcl3hEl@T!Fg{0D25u`l!`3!-WqBH1N`t`1&=g97eP z83LTR0)FLhlsgeSzR4!3s!u`rYbil)qrda$VwN=%Rn;U7QjZkH(fnG_3eJ+rM5~tn z5W03Qh{{eEDKqJS{0f`FDZAh zYr;=Ctm@EJahFYcd=WM^;KZSmMC#Ii+#qZ5t$ky734B=?Ik$q#Q#xpk0YZ1Bjo4|A$9FqL+?u8)%ruTQqB0OB( zVb=M}i3}OuvVA#S`5}sd-;C175a+P3*v|JzIP>}LJq`Lxd2B4wMrW_9b-k0db6f5N zzJ`w+P$PFa3E0X`QpZN(q$9fJnmZzK+Td}RB$M0Ek<#FCoK^NOo0(Ro_NG2k-Jirg zjgyH_L}db-S(rosqsNeZErFFR?Xe9ren^^;45j@BC|AI^|Y^;Y+1%yOf87jJIY%NjGo z?EFrcO{fQ-gstVKqb17Zcs$cm;|RT8W0yhpolcQYh8GC4{e3BCGo<{$*2U%l42{^zwBXrEUuEi#)TmV_exD{<$iOJs=juOZQrtr?6dx=oVnL{KXB7J-YLdmh>2T#`H{m2G(8Fj{Gq>R&%2VEwA`z zc;4=!fnOj|xO@>EH3E<1#%-nBx4#-Z(D25GY8i9HcT=f#8eu+K0+(ft*@!T70it~JH ziGOH|f1txWE#9b>#NU30$PM&@^!+?BSlyoAGPuxQonKzu6kM?&a%v3{y;(1_7`+c_ zoB9AlR^RlsDHp5AAKe8l_B%Z7*jv0s36frpAPe4o+~88>fDSNBebm7gmW@5*(D3k2 z1@Pb^e_&MeCm?upcYM4CIVZ0)H?AxTwwdOyY<2!#nO|IAWLr?fztB57)}$-zwnSYR zt0_alv%n}dAEm6quUUl@mY(u52|BgDzFu%&yp`y?X(m-f@!k0*SOf|9A_O`0NTBS( z2AZE+Q>KF?)hrv}MtQKG`mwQX(zE6MBuNJ)eNLFLkX#1&v))*bu-4hRnBwig$@XQ8 zdD9HCKO^{)KT6ev-bXrupI(eGi6jt6k~j_=F&||MYWsBWoD9Q|w1M@28^^T^zypf>!E?o#J|_Qj z0tl-zof@@5PFkCW@#=?jr-O6HBg`%Q;Ldo7tJ*n~Je(9eTLntbu6L}Q4izOyx7SU& zXG?s8)#=B{-XRyUK_~WuUaVyl7|QbE3fs6)U;c_MHWG6{Vj~<0CVszmO^OH}Ql;D7 zMe6RQ8O5xK2n~qor1y@aKG)71b74JD2vXkfXM6A9U8{K>ql!7BheJk~l9ZrJriza8 zC5Gty9VK6zlIhPLw6N}^{RM^m1Kb@46Dgw8m+y_wkJk@+y))9`IoVKsxi^jDB@EJZ zbQ$p^)5RV7rtbPJAFaRUm<#cO4&_je;ZFCPWP6;m)8 z{R!wFftr9zaBzbv-dOP5PlKoFVJgnkS-Bw1XDotH*7F4U>U2w!&CKY>M){LN9dwz%f-b7YsCEc-=2@!Y6!B`G~6j#GXTUY6W?)=?8(;k zPm*J>y{BgnQqyjF%g|ACjNjaxs{=7*UI?|+zqVF?*ft=|m!U9Oddes++ndE;ISS!;@m0VxF!N#F^L@$-&-aR_*f4*Sr7bJ58i{?nm>al52S)_ zSfscSqGh!vhlHJvETt|oro=(81_RJ@2=A1>bWfP-JFrV{(z6hhcr~2=pNj`brlEXvw^9X zDy}lj_CTHZ7l*?cg}c`BKDwKr#Oql^Xg#eFsc%D&=RG3*el8#C_*LM@-^JH7&~x(X zlaH1I($4D-3d^+@OS)%?a!WxBp|(LL*7I*Z0gdY9?M=nq z!bSXiNk#SmkyZB_c58U^z>(5J7$`I5*b3R-)0kn>Oj?%&Qil*mu5Eb#!U#obNa4%Z zK)-Ec)VfYkJ=h7=$t|tCTSK#wL)!EzC!Bm)1?fXtXn@%SfvnllzO^Mw0GbW;j_BDHk8>?U}Ee40&dYe;RoO>J|P-8f5ac-yIFJgpGizwQ-6mPbW8jfAZ5#&unp#vl(BHN0}=jxfa4 zkw)kV(eqe^>`C)7mn8aonDIq-9FlZTl$blPiPl%8uW+F-Q*-1RR-0V|>*PKb9P^0+ zC?`HxLi#U0m^MYVX^Q(xi~DPf`$NE-?l~L4O-f0~EQ6Ei`#K?K`paA1x!|~_P#^!B zCYUCG>lUM0=A&8`qXeLXEF|BE#8-45-HkbcKS{pV5ohP0@>WPXvbU(jejr30w11-* z>$~l|s~P`5D_3(cQaab8=7*6E35b{Y6$wTO%f+5GH4w~LJA#)uW>2Wpe*|lc`mmTq z`~wxnC-In##$3QIt;Aq2Q8P@_nh*KUO#>by;T3H+B22JM9)L$7VuMu7F^$9sa?qfF z&z#b099TC7>;&26y*V|IgVqA*G2MNWyZwtUs=eKOkVn}x%+I4|{&bMpPT>2Fk=IdC z*D+Dopd{SK&laOd=A%d!qlxCD-K+iq~7>qSNLZ(EhFeNxmlV_{v@xuXas2YFbq7lQv5s--yq$*ANOF~8l!wu_H z4f0;{iO&MSD-Lnjle<^#*+t71(g!{@c2)gJF&su*%Jx_xBBFSA2^Nz+-i#!GQThjp zE279o=yKUILbXAl>3au_|8bG`N9EnYng^PlVtEiA-6~fokVD2eajRe;{el`nqpD)k8?HGPR5>iK4cR zRw$VFcP1n7kOb39h~A;01&8`?bd+8+6eJK(1ydyP_@D3H03YSldtj8ewm3g2=@&66 zAqGlfbkvB%AR4J@Y?QaEI6npHFDOy#O)GKAnV6_!@xl8>Ql=<*Lv$3T=%{pwK}}+a zYywpMfS>C`3Iw>qnjY@h1{I_}*u=o_^fq5UI=HwLGBC~rzlnYGQH@~o9&>Z%I%z`$ zfRxL#7H+Lf_#3hERlzwt_0KvSBS=~67(Nh}*bX*fd=GVrfcTWUG1DGKv8!Z~Z6Jx) z1kh;==rjSm9tB>H0k6SDi5M|vsy5_x)kLRYfbSHCto=!_bP22eXx(ZHwS<60xgvlHMeeUdS*nQoJ%su6PB9EP6a& zO>&|X9|1Q39Ud!vo+CvOqY$~Pk$zRDNO3=X4%1^h5e5HaWm-~f- z!NG+aAF>oRyRs!kXZXxB6FBRKE+z0O6;I@KHNl5ZH8ch`!N=8}q@_GVG6qRKq1$5$}q z4YcL$3ffBD-<|MGY-@C{5TwFe z;jyOV=TNNdl!L=mN$Jv1O^K?W-cZwO;ch%va3`I=4z*UV(6w=&Nl?1FB zUcEv4jTw~h{J)f0Fc&Z~-M#LDo8wAJNh==i)@9(Jd>)^Rx~A0)n5XXIxDSYg=g_o4 zw8ZC(!azZ!uF}d5v&<@jYz440I@;FPnoqU3{3#f&JWU|5t+ovli~m;%-!nzFHsgnJ z!vqX8W>w*CpQ%LHK*YZSJWX+Kdu^268w-hyD{DESKVQS3d=|Qmd#&2KnfRLn-r4Ku1k(`pcsy zLAR9vW#Bl?z%#7+m2!W)X1%NeZG9l_wtp^b-Mm?12?5yLQ+d$=xSN5q7J|%b3Voo0 z^o_5o^?KwPQJZHZLVcMo4S27#&-c+dFP>o$)H;#mv(1tNGt?q=;+5{5p;r16P%kU! z+3e?ke~lWqo5~|4wL2vk==h@`4$Q->i(@p9B?|l%lI93o{EZIfI`*ZT<8L6UKUn)g zE)ib?f}*${lwgZmGnqSL0Pwy$^e7)8#K*+46XJeSoL9|>k+}3!ND6|xWj;Z$?Li^a z=Ar8dW5G>oog$r!&*_<1 z-P3D)x_^@1fej=C)qsltn1Enck1}CrJ5fC`rz=2i89~VM)u~ z?g>`78k=|c>7722QHz%jdR*0Zdh=N;f1kYB9E+?BwaSZOJ8thWM!D4X(pX(_>kj{YA96E^vvR28 zZ_j#a%N-+=nqko1)8y>#B?8P!B`6zAvA->KEuyogE!>;NLarlCp%k zz|sD5gBKbGXp@q5$i^t7P_7()V8c9K8CI~{mFqzw$dwwL`GrzQrF($~|_o0z6@fr~l%)yL} zO!hkD%$_c~UVNDEOTnenUP4koB8OGw1pi`O7f)(#WV1piXCR!Kb*5uD^qBb?YQ(T# ziQ8_SnDNi77gpkk2S-woY|i-Z1azB|)V@Fe4);xINT#HeeZQ|oYXkvzkINJ40|9YU#23ePitE9esXYZ< zBe=oLz7Y*&CSU)~D$>R1fr4|u`=c#6xtFE0t3hf%=dsX(3Q;Z-2!y{EOSDOlzGoi5 z;OSxPsubTIRMoZM=_Qd%#89C=RZ!Stc5SZ(p3?3WXSt}+msHa`{P?vZH#5Y%;GYC8 zU{-->`2JEc12yoBj$Ljw%z584s&4T~TNX#=G!uB|KE)T5(ow)d8VRASxMk_r0>s}3 zYbn47txU~PQF+}o+l*h#P6`hG2AC9q>TT(K;lKIw$8CKSPL{sVYz8!AwvOEwQNn^j zPYc2_N+;uEt#g@rhD%b5`su`W>OlNu%V5{{x*d2{@znV)6o3#tS~TC4n%J@vnbXFm zW?8gfHU8ub<+oI6_-N>Mgo`Lk#iNBTi@C&d)DA90z^axNWm5U29mF~s=fz>|EvQ-n zhfiGbKMv_?v2KbhPOTo`pJouz1oRHOsc|cnf(R z0^5cI;R+kn*b?Riv`soKnespWv)F)2Eblk}CvOMtw{XSjsA>_i|(2Q4#%siL&^c z7J-B^6L9WsgspYiZe=f$^?&?hfq`ty+P8)-r6tGChNCY|t+?NhXqWueV}$5@zORuT}{ zCGj0`xG1#*?enJ%bYCedjSd}*TwId`avhtBbObOwWMD?{7iq`x30lEvbat%z$wvIa zY4kh)yFBTx{xL>S2?evg38k|$dHt?MCczoEu(8WdcPK|ckjzsE!WdPoS^V;I?awDXllgF$BU3qlLleyBcs2enbB!O#L6f!zSPW literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map.kpt b/Exp28_Decoder/db/YL_7SegmentDecoder.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..3622564fd6b5f7a922da250a5236a3a176a582ba GIT binary patch literal 1009 zcmVuG0001ZoYh=SZ`wc*z4uqFd@uHXLL}f2wTDzaw2IWKsw(8bCbcNW zH6}_V|M>C^O=z@loyxPv_~S7=&zpHOM(IzBYBafFc{;O$=-bCp_uAggY+k*yeoo=gYkM zp8V*?n}b`R_<(d4SvVl`#0y%wK=U26grF4?wZOvG5HnbhLi~g?q;#~fn%wnA#Gw)o`$puE=n}kH!*BTo8X>ABjN<(#>9z% z8%UhsLcq9|s2iN-iBjQzrflnGAl26D77FB#8{ zB8RCXUC%GudF0IS@%Lqi5T?c1*D(9@yDO@+vMkFd_F)huCnq zvDk-1pd>_KvxnIZfydVs?Gvb)^|N*e)P(qJ7E4PBNT`SCW@2cGKuRSrL^1kT#IeDnW-hh)^;yAyz<2b6kimSQ6UA$RJ%br3`Fl2U=<&gglH6 zu>?{Y<3d~{NJ0rHgX|%MGEjz?fr5~WHbE{^DcR^Cn=qj_nkGa732m}uhzce&hUgGq f2n#}QgyrjRvYsB>{j7C;c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Bt$000000015Y00000 z006K700000001`y00000004La>{eTg97PnKQBY__yr2&f!a*S>FteGS3A=2b+-x=y znGK_}D0vFi-POG%)m2ShHnuPNj|Jbv%lcJU&rI(oguE&aG<7@QxqRoGs=2(py!;#A zsQ-<}qXg5MHO~xhv~in*;W&N+tG|HyGopV6>ISxs6qBtLmTu9yRqmXJ`P$btGwl$+ znZJigr|S>s3E#hF0l(ksqCn&!iZgDVP!)Z}e8uf1zTr6j1*_i?-jbU{bbal`0X8?-2MLaXGd z_J+LWq|28ae_^RWH&%+A*3wQ$>PqBPdX)#XrG%-1G+Ne>)unsR45zTwi-)OjmX1zW zD{7c=3W8BbvQ;wa5KOhCy=%OqQb=y8G`hwKc1wZ*Dz)L24&9R67Alv%2=jtpfC*}h zt_-W|u%+RPsJ-k0jLPopY$VKDoeSo8L50qJ&6SIizzGE_bzFu}_>Ya@*243xJ4Z>4^NEvDR3{WGa~a&8@ore zlAOVy;Uy}h=QtUJxDBmywDhK{xq>?;q}@x#qYuyxXWF4TYF=y8QU-%pJ0!eNQKS)S zcNUPN54UF*!Z;5#S7d(04Lte`GADJ$ivs?idg%l*0Cx|kuti*U>D=iJYP(l4+FUJ{ z*UmbDSG<78mG2?9AiMT?j$$mmq$!?9MW?K#*@EWt`?LM~ivya^=Ci2tQw-mD^qaTz z_%ZF@n?8Vt-*ID6dQl`uri;DVUP=$VK(^+KInDO(rt`bqU9nzKO6#xbd!ErrEbs^n z@7A~Ix}b`lu)4p7KEn3X<+Fn|uR>Kngx&06 z4|#!(3pYnJgbN8(3|4oL#l1LxA49bA3ZW3p^@0p`(}Soaa2n5FEXwd-s?YYWc3&v{ zfz;;!yl(XlU^^do1>7C~0{{U3|9Ao7W?*CxU|?W~GPFzvQViTc9OU8@ALJU~9~1%- zVPFDcW(EsJ1_ryBKusXUAPK}EMZuxIzK%hW@ga^*KCbcpPF}9g5G|}g1#AogK()QO z3BN&FgrHj79Yft+gQ02}*%=&w;$|Cn?FUi}5)emASCs3Z1 zK>i!8IpK%7GlXLm*n9#xrs<7yw-v#%AdY zQX>e&Acr9Pi;;yv0;q(~(Qz`!KQJXeuI`RLFz;}Il^p%{%n@V?C|JR!xJHD)R4_4U t0CgMtxJ82ufdz`2zmJP+5KIj>0|!uY(#@khAX8uw0tsD400000|Np1_XJ-Ha literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2bef7b9e6b01d9ce2596e9027de4cd73f813f99c GIT binary patch literal 1842 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR`UioGV_EY{`~IioU-G%nhu%L=6?L-{kpSzuRlLsw{MTc=ezs&{!6NT_vTha z?6(>GQTxB0c{u;rAEmfY8k z^L~e86PjiI$bYHY5T)`t?paUroPDYHBc{yU&?LI%#rG!Hzh@$kTU|?iKPogD5`!pB zJbJP}Z@c~6^3Cn`dZ#z7>$krck*_x=XRpaJ`D0(dRwS?4FaP?q%{T$^2*{` z`JcRbI;Ssx?JKRzw%vK;PmN9fp5t|P<#y>ccbDt;f8G4wBL9As-Ser1HD5j)JkBp) z^XcH%vp)}}J-!A+*0XzW1JV0Dvv)aW@9x~lxpOz?j_sYCJGXQ0ROfFltlwPt|HZ8z z9sToc{(b5G>1|i_>E;}{`hQm|<|fzwsrqHK{n(7l(;v^+e9nk#ksSF z2TqeMFTBX-B)HP(HgDwK;@ww_-wRHfU+A$oZs)dlm(w|qt_s@{I=Qqr>W5ZN=EhYn zFJ`aX=Ig&pcdwFbu=o0RL0mlx=RDLp_w1WhrCa#Kf1aU62J@LT_WSq;PL-VUFpjOy zmVY&em#pkRqkwoTzZK@rYnN!3s7#r(Z}HN6c8S+^%M)dKo42X4y4?JrxR_1Nq0nur@bu#5b8PN?#e#+8GHw}V`sq$Y5wU8vgj?YC)Vl3i9%OIpU& zs6wyqMRN?;T(>OJ&o)2ltiyNKjq%vL$7_FX|6V(NNB3@y)^DvvsY@h0)@#lyFaG{e zu=ec2fI#;jyOd<_OlVu4d%fh;6VuQK3!`1%=LUM$UKd-rg&kxnO5qM$y|X3p3Sf|G($&F1Y-6 zM@vAmlwHz;mpRqkR}&2FS8yqxcb(;*cDp3tjO2NN2SwFWj=qy&j?c~Cd%RVS=?2X=WV)Z|@nlDWaks^y&ZeF+($(Xm`^@0uOyX7uB uB<7u&@$fdE(Nbrx_x;88Zi4R?{l9j;Tw0|6rRR)`qQ*kU>Vfsw|Nj8*h2sSP literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.hdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..8300270252d94a1b32c7358defd285492f8db04e GIT binary patch literal 10326 zcmZ{KWl$VUuq_rKxI4=tA-FBU-3gu$bRoFAyC&G;?y$HM+#&el?iSoNxZZs4{&@9% zyq>B#Q(e_vXQq0(YXlb#4z3a%{$KC>uSxtv4O1s)OM5#YCy0%MjT0#0YH4Ev13Lt|?oC-CcMpr)x2P};=O<)5XU7r!xf{EqQ@3R z<}i;e$~fE&|ADxFq7C{dPM~78LhE92W4Tw$~aCz~;tG7Lz z*n`KpFLYZAHjEm0%=RDsm&Q# zGYrPB1z<$`N3krgvmH_B>XKwIaG4A4C=#IO{~U5HFHWn$%uPB#d-oF7K30b9b6bd;EM1tFkf6`(N^aba|$9e!S#kKCf)Ve2og$Of~SQqIu+IreY1$?4G0FHh_%rTPdgi zul;`xbA^AITd7naI;f2I-K&)kY7p+f;|VmR5kU9oJS6wMlnKNN8)^}WbYM;O$p^$~HgL;br_**0$r<%D~h)LZ5c zPnBIB6SN$l%d4w>(y5e=92{dfge!%&M8Zl>c%yW}mJV9V6^)vQ+$Jp#E|BqJ<@93q zY}r2iNvF0f+s4l6EBuk6UuSIFvRz{e!dN0Z#lag*0t@)M%F9N2?|3u#mvvWzY@LhQ zT`%nPW<9ePjUG8wUol#1**eIdVQH0P?ZuTznk}dI|p|eui36A+1JZugl_VkaKfk z*=TvO?$)I3FjsZ;3KXV5ZZ@u1nEew|Xw|?MUw%b|S0yXu89Z!0EFjI_n=>S%Rc7hfRw^~6UOv4J=Dbl==iuV`u5s?FGKO_YRNyiKh zm_fMX9y$3D^BL{v%n;+RNGo~+;&2sT$wv3SYlZ8)zf!WUz{l4C>*ZQv9F&>D{qk2O zEC5q2bI;rsuA?+vr_KLZMV7e=rhS--=5QUpY>%{RziL6|t0|n2L}IB@{k^3+NRH&9 z!H`?Wh!r>}t><_FAa?yUNPU3{8|d~%GSS__@j!AJ{5N!I8u+I@2oJtPJ+PcX@>*Rc z{6yiCC9WxGN9OYDR@zFzU;fnoV8z`a;>z#ZdBVcb(%#a16>Aj0=QyB=zCB5Fp26C2 zhW|9H=mPP)jBb*5&&xRy8R7TxbHY@aYN(`j5t%-h@p7*8E3u=DlEhYPUt&~_jH=SB zv059hIV4Odw`4$JIoH*drLlKz@Nk~VP@u4htUy8T-*3`kf~Fj z+G|RYSzbt?*G4wv8qndG$1WB*@*^d z#A54U>mEX&NQE5EsTJ5b9MJ{%hi_|8obvI-O_t|GNieGdAEIOxUvdC)h&KE9?^x!@8j`%M6bIxGuo??ICYjNP znjavJ9W*1Re)7FPWt%;h;kyi~O*8wzZYH>yZ*7W<`P{m@u>&1| zVdRKbn+B>A(T?YB*_IbgN2I~T!G*6WTQDJ!K|kDJvb?3q{yc+^TBe*T#=DLfn{3#4 z92aSf+YiqvNYyB__flP8`vps@?>BTw6W)_v51Zt>pVmV-E4h|-
&$xR&TWw*Zfy zVUgxbjA!CB?{%Y57DXIZPI(Y{-rowa^p}(aMI-uUxkwnzJdO)xh5c?V%rou96orcU zGD$9a_1l?@h+`iY7tq5znQi^C2JECLq#pu_rF3m_u{|8(g%N1wY}gK zD@i)`TIxBOJlu>%oi#9(JVXFWo3Bxn)P+J9<1KA10Oa;M-0R#toSp4aZA8z3!AETl zNY)T%d^Uk40gq(Sq)elcym<-7yvhzJ)HZK1*%I-oW-mG1sK(dR7#dYa_MHP3*x#7R z;cf8QSyDm4amr&QuW>+PJ5K^i8MKnZOFWQHKImZ$?K#8z=<{w4YNm*Lo9Td_Jid>f z{nT5wfsJze5^^2UbKinKq1S-tR~YFbK4uR1lHc!lMEx^#^s7wwE!<(^N7G^Rn;S?6 zIb-HN;YkZFZH$aIR!Tyomcc_8YWT6U=o&k1C@ZoV}_lD&cOYl}KBy{OEbW#+9eL|M)0 zgRY{B+KndqM2QvE;xz&iGtGlQVAy0JrQ5C?pUPrgA5cH6% zll`7jSAKX)^drfB=9jmU!WY>&dx|u*`XUj7=?0B7HDfAM4PBm;WvkhHg(pQ*dU8cq zi#!NZ!jURdb>r!%24%*wQX+QA2vvErNbGpujJigQ*G{}Bb_sMKUzCAdIcu{~slv1* zbo-Ud>Uo|mA2Bo6$LuKdzd5b-)h##bRtn+kHJBE;*)o-DWGlKmqE)U>Cq*xMoMu;w z*wzX&)(sY338X9daW;Swvguow7&0*R6onFM9~;+}Eb!~PXVlN@sO=U0SYD-B>Kia+ zV0NYMj1|@{{aWjDW?Sm~aA0(G17-p}EYB7znK}=3N~K&_HxIEFwZ6PyETrFUr^F)M zQPK;1O_NWt8Y%18;6Akc$7I6FQ^WdugV*>60q@b)a6w-L+ez;1wBfRb33dN} zzVG@j_c`-C8^t%ZB&IzZF_q7ETCG6Bt90}fUFL?%lgA52Y-WSy*KHOJwk%ifE@Xtt zODrntY@5%Obg8%>SQyLCJzmV7X6%C7Cc=*^hi5A`=eSg_N1D@}szq8?L!g7*2TSJ@9 z$bRdaRfB!w;l|Nx!imaoel_~*CA-h_^6HDG^;^QgV3U_;;vxMHzF}Txm;RdG4;3>_p@J&2;@LpLrWzZ1#7b0d#0*2fE8&Xhm< zb4LXw(WnPNgD`v!WPV!U`H4n!OCxb12;DDHA*aygE^71S{`jM5N|GmkPfCnTjEgh! zB>fL2)oH&h8xJlK4ErW0G}1;ne3NziiK-d%6U&^}Smk5yT<=`H6C)e8_E%ex(?4ox zmz52o^d>X9ACToN0gw6eEW4Y?3qA`vN?T=BpnG^mw>^K%kmSrh^fpK{yR}!! zsA4bpXkuF(=bp;_UF-{E0&8J!m*J2rZ8e&le)S`dqE46cUTfGj$&mOKzguuB2b!b% z=QbpOAjOhyC?nW`5FMlYnS>!2;_zv1{;JIZV+vL23%0Ha<5U=#m1ue?kKH)Um)1P6 zlD2jQCnb39A&?cBxA()85Yb}5bjesXGMCnPcRkva5Q3)39mq{5VuiVTsCH@TEkEsb zIj|*6%oN3DN|fYJJa_WnNl~Ru8nAUk5lV6bg?=_Jj;?gbt?XxrtEX7{u3SAW?&dOH zbNVpAxgWXUAoBOl5n{Ak)gm0WEts1|%qbV99+&$FF1qUy068O#pZC&{{7mkpb|sl! zRNWa7B=GcTSxa$8y}Fq0WIq>%^@i&Ec%sl^LsUB3gJbX7YK2_rj(JTz&!qu=tz)u~ z?}Igdy2+tDGB0{7W|~(?DWud8 zbKt_<_T9Y={6j10BYKjZ3?DYTe30rVP#XY!Gy}gHT^}19x5td2qFWt`PQ_|2z)Z=Y z`V`3Q(g}|7B1yTxSzfH*E@d4$4h&%?Cr)J;QttHmYx_Lhoa0@@*|ovl2vbhBW(z>& zz%)UU<53GqzuF$uUxnNc-3y3X_l(67qLUD7Ig^)5t^p3h90?&^!++vojYv4~+X!Jy zt*xWnz`}^g-@{4hX-unlFTc5kE?H{#@wZ6R#c+=dSu6g`^S zM5(5e7!m)9otvMhXlbAU~C?JvaM)mH8jFj+o>W(#|8M+Q^iZRV2Xi%se;MDFcD<_oBC(w@Z$7*;d;*e4c7>EJj@0cNJOnmFQp<$y2 zbbnYH5n(q)0u+{V!W4Qos+CJy#cK-Z=&tM)#ugcSq-pr7Kx~p&FNwJe&Os@7|&!a{`neA%O16_JQ9>d!GrxIs!7#+ z|66Grk@lfG?%4t*g@5>ph_+m)8bnvkOvg?hzd#wkkpH)tK~GDYB4e5q3}UPhn(_X3 z*1O12KK?r30K*6`(yV;onh+LehaIpa&uj#@yiRRj3nnvm2!jZcrdq7#WO9JFS2|l) zTzN*9N74P|f<)C7al{)hg&!ZuqBu@}>F3LhRV<8FAVGEpnv9pQTZ!*nEd09y1m-OV z+7NpM?Cmtk+uEfCI#7T%tF{99Lizz;u1F3vFzee>_iY0%(zIuKifB`cyi@l6b{KN( zRl+51f$N3?d2}q*03a5qtf+*=r*>N}?*x3!XmlYuvUbln$ zIcb-ZK+XQo!QT-v)f<>XuTUad#L2#x7mD(1NXp<7B8?t77F@`J^NRc~#kHr-d}uZ5 zm)+4GWTkHaDmqClWF-GmIY6j@5Qg3>ix?JM%&M5zFWN2>zO|{1q_dHm{YRO{>4$DM z!6q>OnpKe)rM@>=jfRGyPDlkLG5?bwIcn+SxFbgvrKGl!CLjkL<2%t70TlbRtBxXf zVggaMqz4-j?~HP6hgM8|{&?KIkF4xEpAhttI_lDa(E^9l;tpm2wIbo1F}ob+<~L~y ze_ycsJCIX_AZnCu=M}`B z4D)&GR>71zjeOo0tUrq>b2lDps?6bJaBk@3Mfs6@y|YB zBgC@-bR8L!Ko8 z#8M5#X25as!ZM_h%wvNlR!m_uj?=F7qdQdaON1g+q~k?`Av2Oo*>UU9P!~2Q*T25N zKfH>RLEX^6Y-qH|>iJDUP4cO=Pjn`~feXfmi=U$}E_086_agVD$Ynd|}t?A*m7UM}LtM`$`tT8#< zIub%-s!`X_>nEch;g;Y}QJKj+BR@X`ZYqCIxXHX8Sw%L1#bS^qQ+tXvi<4z7`^~1F zkqxt&@}82CksQXH1k!RH7Y3w;{>Be1u~6qP{SsuFgy)m{B`AUU1K&HOwiUp{&GwR= zfM}v19-_Vo`QZunKoXR=SGf{AU;!p=rOwe{?AF@-9Hq&W4;M7dE@&R``Cy$Yp4uG2 z$nKn3x{pbF)GBW1i-n_w2f9K|>Il1hPUr&=)*_5C#QwDY~Z~_U0iv6 z?m#0*m%9G4Z4LJ?jr~1lAUZn4j2n^xauh1L(jq0gaG3=_cl$V`HcON!R@+mXAAXCw zl(Bxi z$%EaL`@-k)PZ4J!257-aIq)n`~i+jod zaExx7lhJjg>o%0cWF3WMFssIOCV_tS@;6S3rOsk30C0USaB*U{+4G$a%T&POFzxGx z;>uK%k}U71!Wm!6k!eh&w^%zHi^!7%ZrZ+|kWZR6S5kQN?*>YB704avX@EpmSq{iX zUM(JH=|iQ5Q}4T;gxWRW2v4R{u)yEAawR4G)@=oufmW*+zz3K}2tE z$eR{2(nb-36uGASGR{w!(fKr(uXx`7N}{Ff`OwgD~(q`tQEsY zCw^rq3hW<~&)(AXzFY}@q+CErWK-CCE)!Iop{Cy7sVgS>MD^++nGD1H@=`4LxKy!( z{7Zq?(NcDa0`G8?3ob~itqbrK=9j3Ic_n*MOx=oyW7SBV(lJO8f8^7cIa9u5O`)G` zb2|P^Z4OUuHd0)@N^PDA?EL}yNxo}gJP|fz^Uk5H&Hz!%LW%aTBqxXY!0$g<0??UC zTwHVhFte97UHHOc3aPIK?yVtJgt#+#iLO|AtPb4^U&e`W_u;x9bPMwK1z5N$(=9!H zvU~Gd+QoAG7Y%VckgjlX9XrGBOTz9`!jD=_5!17$#J^~K$=lOL0$9}(u%% zNf+Lie-(rZr*v23kAb4|9~5H)fYU7J{T;5@=&SFnA)b?D{+QqBRR6WdHe=e@4CkT{ zVx;zld0_0FhX|GAJbGNMOM=G-Jg6QB@dt;GO%x<_slYZ6ClzBj7T*N+jcdn>)Cm)4 z7F?B-2L32nl|s#n(Bt|C-&ybBLw+3b1JzKb9fH(R6Yt>fRXjxJ@``a=&c#)%$QHmE z4z7DdSX%%N+5Q^W&LG#$N3IonX5WWoUkRn?$ zb;?NWTRb(oL6pH{^p%Gv2e7?cFJdjH15r3%3;9MgcFLH2OEO@0JG4-t)k_uxA^PMw z>@&n{+9Dc9-G%&PA$$E~+Qxq8<+pucP~8{wn5)u1{14?UR_wB~Pmh;9H=F>S?^kWH z!Qa6uH=8$yNxjS(luI>Et$d%7^?%j#H5l#g9ELtkW+$C6i^(ZSEatl(IGA#Omc;RA zCps$ADk(RHc2c3=1Z$O04M_N6oRW$4Zol2oY$4z}rUi%j3I0)_iD8F?l~YJ9fzVW< z^iP3#8~!Z-RGvhb@`X8AaSZDT5_Vr6=0SpLf#(4{s@b*Y+QC&D&!^eq#Jy||^N^4d zVx-B&!&QNVnM?H{<6c&T-M58#V4*_Ya){H~fi(IAxa>m_?JQiL-=x~+5D_l6;1I;R9LP`{r_P2tAZ<-4{vDSg{kRi3Q{DdXuys|AFvC+ILXB-sDoy`*keRfETc*rV)q+L8U z??~*53G9?>2aD7x1*3%=r*Tu!OKHa}kqWU6kz?G2J1VVc;w3vFM@sH`wB#&2z@vE; zJcNAsw4`adX^`;55VwL4H2S~e8oULmzq~rsbp5H&S3JozW$IC z?4!0?rfgD=vL0Q)&xUfCb>wHx)dUDRS!;dlzZuB3gJ?NTRYWB3Ua&q!= z8C#saj`;V&vno;Km5ShXrq+qZExWWC7zZ6^QOIF`Dx&<`iA>-hZuF}u|7%0KT6;BL z1aVRG_sD=>7p^$sYgdQu#rb}SsD>A>MHmlMVv8xDO54gYOjcVFJ)t5$-PwIXF$a}Z zRSnWIt9sth6w>^tXEwR!2OE=L==R+G&}JGiX3V^1#J`X!ee9T;>W+r`j9;sjo(D@f z++f2Q?n<#CJ*)0HVgjjM&p6L?a2>P4n)Rhtfi!jmxJ_ZGG1_rMAD6NY9#X|Ojg8(b zT$q`UeS5noQ+u4BcaE=?pd-|^8f zDRq{S$6rKvk1sBdEs{SOi`O3&x#O}s;c^M^)WqZ=jcu|^2-~BZB)Up*rtCr%u zY|HHSGVyM0ifWbTV6^(Estbf&o>~p6Mlbw(G$we?*SSi?cl)N~=rG0*9o+}6eSfn` z&?#@Cu|c2p6gMf7x3cnv2nYA}<^f-zX>CI&O^{tEq_e*Jg2!HxBoP<%`4Ip1EX(^m zd40{*ogMn@@NIaeK<<~k{Dn9wUQ~E44)p*MKC9BCDAVUWdU}Rf99j`Si+k}rWUBkH z@GiLgeZjW66kBvw!}zq*tcSf({teI3Sv>T92kO4t@9uu$RB8&sIr1p{mhYySh=Ob~ zvaPw$l>a=me!uppEh={&OjgPw7xL69r!4*q9NKgpAgeE5a>@W0aTlof%_MH&OoG^sWSzf|yEr83F zmi)l33N<`%;|!MJs3V9JcoFvzbECepyD}Tt8v5SxX2n$0vS2M!PBP0KC7LRg=v}n* z87I0jd1Z)gsg{C2lHlIuggp0_sscOC`0%ng^?ryLSxUI9h0x;Tu(+a9J{C*6QrwOl zGc9XxB7mr6ZK76%I304&A1828WWHl6t|A9ui=I9zCw?W7rGnN^c4Q{a24N6jhkfII z6?sG`4xi5ZapS{#G4lbPIE?g1m(NWW;4$oQ7AlRGGv!NJZiH}SNF%*{*&h`~k=>2F z5=b8OY5I3XNuEgPC+%kF9RZ1Ed`J7~BX#hvTd!IwlN?P~rRo6G%>EyJHpa;-PReg_ zvQ;-*#YWLR!`CZmaX2!xSz!9Uw92{pmX5_o`3H1#u3H_k?%z1bg3y2Ox~C~k%0Gu@NuuUX3KMH zx4);xzSzuxjy7G})_bBar?B$Jcr;K#^se?89JA*ePkpz(KK6}*H9a`SW|Prl?{p?= zzspQ(YU3TjVXUnEj^MG+@WBK{97IYPqDCYiT5m57-45K!q(U=omh7O3Y7V(P*@17@ zk$h--cJcm^b_C3*-)@Hj$F3P4#u_m+A7LE~+N44niTD;hEn|al8i#SH+$^d)Xq+iE zIb9;=yowr9PVqEQ_-!5?A%%@Q_D?QiYb~>zd10{T#h@pkt@*O0unoR+#A~Xy{}%V{ zSV6RJYcEr%;ki8V9UALTCM7eYu65JX-;rM!NpE1q^fdtkP1t&^2$@_Ud1+9ws`Lni zMZbkMa5DoBulbwPRO{muC?_yWdlqUx-T;9PgYkOf3zYCY|CRXbG#ylp#=yvUNg4}u zZ{3rPaWBR2z5y?(5;V7g)v|LyS9V3996h`tIU_w@V{P%(Pc?4Nq(?Eosk7bt(na?| ztd-e^Lh>kr=uF>;6a67C@X2z7dYJq{FxFM{S#ZFwCM2x(<-B!(oLoqeQB)FUM}zdGG(6H@Q>hnY!~EatcM)jJ(kv>9X6tEEQj zDb8S$45d*Sr=#X;feyuS;LjrA89F~F)hl@tQEPB+T@8^bBPOv$pM6QXEG$3Fq|egq zJSxQB>LIet?#VuDaO=S?{CjA1J66G{H+togCO7qcdFWiUFLIjJ%eLv<7^xGB*4D5 z8Pv=DPDW0)v*y}`8JCPiKseg&?gv=H-^5h(MZt3P=hl2B>|@2RSyJE1=2K@g2g0)N z&0U#HNY9AV71?N+*#+LVFolD?x<{tEGSYxm1DmYKy$>C_e%rJ4>b$c;OrJc41=XkerOcxl Yfmah1C>Ojby#jgro9Mqkes6F81C~?s)&Kwi literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.logdb b/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/Exp28_Decoder/db/YL_7SegmentDecoder.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.pplq.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.pplq.rdb new file mode 100644 index 0000000000000000000000000000000000000000..723fcabc84c5d28d091f3cfd28fe2c17e6cd2021 GIT binary patch literal 246 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EF)vLqI@OB0>I3o&H6Ue^L$P=wxAMOUlB^$jrz>D&k^cZ9)oQ1&}gxFtGrb z0L(0`q{<*iR|{hhsiL8=6)6j;vNWkW$cR+T#KQSsNh`1as)K-Nru*LlVj1;+q#@vc zq&wu94`M2%SklFLpzyb!YMLQ36bO6yrP?y;jnlmqRc&COJPs=hbH#BjTc8Znj4ngV zY*d#ANp3!X=eCp6mvyW7R-8x-O#)p90utT+ko$yS@Ta)FA_uzSL*eVYpNY8XK8C~1 zrZ!lmKf3xx++@H{KGSJe)Z|}SoXX;LyXg5T#04?D8ZcT_d$K}Mo^kk6#+qSPuKgf( zfh4rx8MkN1_0V(8_m@fdEmJ>nDJp3&!`1u++DmU9)$ygbzUO)wUfc`LVH5hQPmk+i zXtohdvY8F%^AJ9q039G38)8H6nWVqK+vs9y*)*1^PHxu3!^&Onu~x)w+5v^9owk$n zqkQP9nP0q~%9~UhJF0Dsp^hH%rw;ZZu4?o4T~dfTR%#)1u(GNZ9di!$WEC)lI9N24 z-I*l=dN4pNRi>6k+Z@ZZj<`w4051y^0WT@dR~Ek;QXY}AEp~oxsYo7%|9wg=$Y{>8 zf&qcps#wEhEES$0schSFtfMa-WBNy^JORFdPk8X_x75iiuBVV|5{Eu0Vs#dr9n=vo zWca;j9%5LgB{J~dpR8$sa*PDVi_Cp$gXZyfAMBwCkmM>lB;(bva-YP}p3S<%aN z{3UY0yQ)HSrbI@v>HQc6N))7owRSdi*UmB`VMO5%nD4eJ}cFB=Bp8?F129fVdeymwVK40b%ve~#gNYx1Pobg>ko)_eglz&XJ zVl$EL`bv&!)W%?)CK{a94d1@{cezSrlAJdcTxm~+F$cHUymu^D<7Cdp0-8c#=e#O5 zj^wpZ3~T`l9^z*#a-F?mjfJgPv6;squVfG0d$Q+P6sk>2Fl=NIk}$D?{4&2c7-AHH z7Y7yQl>+3_e%7@7rj7h`=j((#G`nr%T&~FCVmEM5gVPg`b|=I z8AyJb)`n{w*!z=;|3_8O+X^pI(nPQ*fS`ka(HgG)tpBxHv8>PT_mC)q;p^$cjYiYn zBhz+fsk`PtN@fAxW(88QA=yJlL23o;>TPWNPC;uUNvE*=~yd=t$=78 z4;n^!f*Jo35(Kt;N}QpXSo-Bb)6LRQy$VtOp5!utF?M za$dLo*Zehbw(Au>0)CdUwpu@B<73iME7yW#3)QGQvQLnoQt8|Wqy4$rEP9xCQ;Yfz z&hnwe9b{ib?viEOn_E&nFP>KyR$lG(#X2603m?OhO5Q4SwoZ7IH0z_S?H$B$1TRBy zVc0#yl)L^&;19Z5%74FtdU2 zBXfnbPcVU2I-pP@Efw=XoW{h9>##7BsqrU#{f|s{p0G!o4}Lk`thl)n%0y8L&uV_qL*z&>YFJ;@6MQqQ@YLcfbEa;?X|T_{;ywQ!0TAY`~~miTYQY@*a}tH*zSt>B{X{CqBqHuTm0QR z)UY7WvsEbzKka3 zIQ|ppCIj)oG~lcsxMa7=!zqOmRrV7m`-e=RL$qeX89fa%2~?a@sj5+Qo@nQ7^*(hY z`b8{b+s8;@<9D)KrDSS5R0KaF>hjf8{itxBak?)u%b_1(PO0Z4q{~%x?<5Mva`KmH zt2JL^rr#6&-sbGfp>FDSlkR5E+k-iYq?qToL#04Hv(r?)lB zfoARN3MuWtH?TU_RNY?Q%@o@vqXNffl^sX+0~>>I>3b9NwYATkIKgi=EoTy*6r2bL zGE_D~_ZxqjzT~t?M(TFBLfhUvPgaGfZ9I#lf%)sc!`mOKsM5bZ21$V#r1|jY>KeP% z(1h^x=bbh1EnWeNQ7V2$?^+@Pf9~FED=|@o=mr9ZS?3{<17mcEjnVd`j_%QgEySXwQ`)R3|CS{gcvQkzTeRuYyYr3P9xGKc=$17W$}~1Pmo6qV9#|cl zdrvLRpbO~pN<4W_67JkKItEWKjr;=${sD6T0rvlZo`1mWO+?(SG^X|Na)-s@qV}?} zWtXp_k#!5yTI9mFSlR#G8S5)g<%Qb{y&i(->Hbka8Qk z)eO0ZCqu2Bqgu^V6HHk*R6hG$%>1I=b23@cdzKq@Ne2lcy*h7mtYZRvqD@@W z+rf#NosigC-^=>_vOJ`xX4^DA-9_a&7y-N(&_t*LH}X7rQro3 zFPqcm;ptRd`D5^DX>0m|K{o&=pef3UZ5*wOGX*oa??{B8@A1GfD9(qmp|>k@99`t0 zbq4PBL#GO@ZaRcxxq1w&LH3GPiBpH_k6P4iG7-~nPmMB_iq=7KeXH~-|IVLU;7fGL zml?Vw>K-i#C*X;<h?e)`DoB`?@OW1)_0k{IEa<&&=Tu{fwYaVMz`Wmq$fN zo-gCfgfhM5;f78 zX7*;^+aKi5_-JmJGph)jQctB|)O7cQ&Nq6VPA)f{Ud4grIfel^gBA=M$C{k|>SkEM z#v9vRE33$&&h!T({qa-yvu5vi))lvE@vVaaIt1cZ2fb`24%-0$u`XoR8`Y#VUF=ds zK?R4G4(uv4v@vO!RW^%d{AuL&BtZtLyEiK@&5}sg+TAXRtTOSameRc)A zFq-8fTvF=O1*5eoVy-o1c_^bdX%;PZF`Z}Iq>0v%A2-9sl(Kv%@d>kLKZFf)k7|J5 zIErTuKY`G>pL!o#63Hr~y9APC(^60D^5734@Q@QUe}R18mW|?11=uXLGgpfp4tB5% zo9q$}yjb*nufyd_X32yo19RC9JpDVW)pSQJa|dbskDxG}UF$9>R4bl&STC)YzxFCD(t! zwR|16Ea*S{iSngHf@#JoA#$L&3xa_w6P^l)WMNFfVdLefh*nmdHlmC=7KVr=eiS%d~@ z$}TUi_-eyd!osfT)%i`b*3jhySx^@9Pd2Z3+#l%|L0M(%`=X$ngGk`d3)^1!Tzh7l z@Xomb%E3hrMQBWa=@QI1gK{6(;XE#6(@rkXNJD@rHUSdR#1-LBSSnUNmOk(5;EvA`q=r9jDD^H{?M42_8$=R<)l>}`rYOahPgW)4zTCO#r zYBV#?u-@qD=d*Q@9itMHMEtWS9VYm8+_B!6>1)TD$UMTcr*+2v0~|ZnD3<^sWCato zIr!USBEM53eny(RjMufUxO7xX*j{P!A8K&r!YJN5uER=RVaHNX8N1pdGnNKHZxuqu z9BA7!GyUpBz>Tq0=6V?J^*bKbp6_7EB}Rc$cz+S(sWd)3X^2rJX4ebSRuOeJPCn;g z0TsSI#iF*Czr_i(>uUIKm+F5?6uV65WG!BI9L;t=x5@%cw}+437It53q()4~XbM*@ zT~)VJ<0~SKf1yyzCmqqur#?qC5_;}Rbv=cOP-m2e4J~SOemXgHHpsQT(AVe-H6g94 z%f&7WqIWFqnc>58QlCYqKHvEfvDy$%EP>vp1qycV6K=^iDQhopP=J2cWPO$}3nN${@L=-YBw;JE^8;_1yKA*5oekc&u_PoeP z9b5&s-UXiZ%qpTo=G|CjL8~x%GZE<_727Mk4}#vv`~9 zBlc>fc4OB4gYc(HsZ@)WA&0inb+SQ?S7uzBx2R}MUA9?aQoj21%MvrY*MXXIW`q52 zlhVFfBKNe7K&Bgg!z*nbv zj8ZO73lPgy&19Y-W%6acZ)U z^p`zgsNGFqZM?=Ze5?xaqoJCq^S^&(HXsV-P<>GP6V^Tx~9xiT)e8iW+pSgG0w|tW+p>KbeinOP(8(% zW2w!_UmsJBLOT-J#8kf05Re->zs9h-qLo$EeN+!C$@dlsv zgCr>dlEvctfH7>QeJz~3Y{4G6uz*dCxqCf8dZ-)Bdu95KvQqc-!Y>K_m#KH=GH(As zC+XQ475xI1jh1NTz=ehfKmKF)RaProd_2ExzC#xjy_ga9A(+dF>Nlnwz7ln3O`*+u zuya{gF5{NGvLEr6T3E;QpJG!maY(R#{7R^7p{WC^^IhTdd0(LHH!c)rei2Wvnr#{b zGCfC>XJWLkPJ$!|h(NAjnj{&(%85BSHR<<$F}kGZ^YMQkz+vh90YMdD zi*sR-kf|oROi<5E>&MCN?rE&g_&26Rreo{9KPrododx!ySm@|e#@=4SrEe>m=~+w%O&qReqsUlz)YBr1%PaJ< z?@x{rKe^0^tKSDT54!dq>^~Erde2j*YwP66>dVD7 z@%Hp7JGUiPKii`8?pl|fJ1d8gwCw)+#?z!hu)5BYk-BDQUCUpcdxj!F3JTKCrc`G? znvps*n+7yK-6q$23-uL|kriBpuC^xLsHK&qwIS!~tm5^i*n-6{{8ra9%lGtq@C7E4 zA* z;r22%{%I2Zn6U+6Vy_D&?*u-djZcdCl#|BDx2W<5-{0>kEt<5p*M~RP zqDgPTa?`nI(3aE8M*NDefNqnnYZ%}`a&@A4O=ygRzPQsy08DSUE^vprrS6-4Be=>* ztMH09z7qS^byrM75}hJ*w{pPr_z-)RVUr_1PWdYSe(OZ@nJenMJxFVv` zIGQ!zL@Fbmy?rv^j!Uo^!pgK#$}oSs%Z?!2Ur@&7{k5cMOv{s#^d{pc^7Yh_&Vh10@lEm`#NJb!2c2e%MFrt)8OEGth}ewAn|CALELwA$8~J zZ)5|=PjfLN@=DH62ORu58R7pwDE2gQw=dAffT zNt4wLi%Q>NrbeRSYw{~x-+CvI5|JtKDXE*hTeQWMUL=+Mm{iQtfPIyMK=LTS=cNq$ z=(3cYOWx1ZTLqei&#Z>x4S$!Cmo+UYtE2#~QB%2_)$qgnz<6B_9glInEiAM&CFsitP@Cb&02Qa1HciZ14{&q56o zDU3x1G)1LR&uBgZ?j?|La9?dar>&}=C3nOdknRz!^JR(2hb}Zda|o_yum}WB#l6oq zZ(cXTJC{E0;5c_9yIam5*aG!0{0)EqoqqgywJQ-xCDWz{E0(q=mG)4xGs~%Z$tuc? zw|&{7oYe2H(Pk|tBa1I&MuBEl=>wmYHCF-pgfoszsxjYalw6(DJ(CVoQP zFx2-}<2!tNxg8=i+AOQAjASoYrI1`kcDZX!X+>1_5d_yC^$R^x!N0&B3zaS^Y+PUI zGcpIGu#$|idmwP=qNRA!X!0Ml)H#+CD6EX+s7y9!^K>hrh$Ft9&jy6_A+H!QF$JHY ztUL(Wn$PQhFXoRJ5bXdMU?jjCp~rf%PH36dCjZK8Ju-SGKpxhtcpJXikRd@O+CnPz+U#1S|8a z3yD=$DhJ_v2o!9rAWy+}P(ZN^81b1oPfR`SZPpOyc7}2Agr6aZXEwo7K`kvUJSa+M zT2jK7)~Y-!XaD|YhQAD*nBhB0;6EN6HR|4F6&vZ8G2D`sX4A5fhpjiYqwb3LuXNVv zE@Qeg=O+*jD;ek6ro`>n`TtCH&;S72f#EYo!FVTolSPEXyIGC?(M%fde<;C@H9hl% zC!!QQQR~()afasPhh_`OYlRjr2hMr7m-t?6YX^fhFI5(6rVQ6jN!72Dn`q6;kb&VkpA#ED1!Cy|4RM|+G?UH!AH5?~D`qw(WG$9BS7hheJMFl$szrPgHKJ!4P) z5nE+VB|I{1*+Vi4lgQB}>Q-z`oRy-woUyhF4$nVk1y*6IItmtf$_hk(-zU;y zqTU#bixsgP0h>?mF|-_s`HRpze7Zo*vX79$D)o_f0eh_J`u}}`fMBpU9G8?aRH$;9 zlF#95yg!qZ`EpnntheSqi4q95{Wc)jD^{8u z=P6Vo`e@1hh0KMW#)a7jo1cy;g1qYhYyy0XR_-G7+~1uDlF9M`CbFSX(0y*~Gji|k zV52Q_&{y8gve}(qWL7!pahlbT1N^@;QAFkT2Jjj&Dk_t0G&%sm z2LZcJ<_F)A4fjChwOjGcDE#p5X6G)lhn2wgn|4de)H2Gs-ck-{CnlY5iNY!4lI?(^2pf35-)E$8!uLB2%MrHjADOqL+{&>4myz2A*ExV)8y_Ke zZTBCcf3Cf~LJK#>q^-eQnR>=gIJYCW;-YzAypg5Ng8WNL<7|Vn10bD_G%F?rl#rhC zA@-(y)g4HrLpFW7kv)V$`KW=!31r=gWT@kD!~dFnET4wRjgahxMVc8@Z-@0tw^!@R zCjS5#=+p}(qy)w!lZoLuMj>m`0%MZM?Bd9VN8|SXkq9(`2-p`Dx;oMzxJXH>NFcL< z;3mE|nP87BRv%Kw`R7JtsuA{zY)WBLr1@Z^W%R=Mp%@;C4sf7f|0E5*cw&hk9Tpp) z+P7p(A@idIerSchbs|4UAwOp#Kl70iYQT^CBq2JfdsK|(#NqKHB76{5UHzWew-9n4 z?U8fza31OMyg|1A-L{}bs8iXEL713IH4PO)m-c@1NeJ=eH1ft50lVZSY*Gh}ptt>k zjs^QQtu!UYIV1haYA%Q)_8`2)crj8@TJ`}+-4_D?eWJ9#t0Pl>sJSI=8LK%%-v z+TV=0&VV>u6umU)+cLsb^`oA%4Cvec#_h1@ECsq=klDlguYYC>w9_ui*e_mOuD4dc zV;Ab;wN?IKBpMj0gtS1EM6$5)I0}dzArswPK@5m8|Tml(9f>nNV+u zP#q?qZ5$crSllJl?gbI&sH|-=S@THTPul3Y{}l&~4vQEM>pI!(OCEA7 zCfY^eN}HkUO{UF!8avtTk-tMh)+$HyN{E+A)itxu>;qJ}(pufrp7lLZHoP;8kgXR9 zB1|K}uFtE`irU&KWYBh%!wXk!)gI`F-ET5Gs9=oPYNFD+)uU*tU%T{3Xf|0Kl?vGC zl|l%vnv8(w;EDYkh&f9?&$n*K9YNFMVIM+b{`;6@_;KJKKXhV~*{5E?!`2vv_bL=i zlb81z6btPO3aM>ahX8@0iW7hX?TUSN^Kr_0xuaC8LWNu`j)#)x)}9hOdw%oVx!N&| zKI2LiQR*3fd3I-Qn?+SMPrXM|8|7~JZ=5U#W_v4EXwhUp+>;3{QsSE+dlaJ>cQDfQ zS_jD1kwX9huVWGVx2kSW*z6C&eV3_;{X-k4Bb&X4;t6{JM_9c}_+Zr9rygH$&y}<_ z8y;P3>|X1R#t#`2-*50*Ej4v!71sUU=&;t|wb{arP6qZy5RryfUg!PuDgLgU16bs{ z5>bvs_%Tx_J@o=X=1{LErY)SuIyHvx$r|Agcn?r ziH6>-J1prLxC-p+^#unx{c{VpAzKbz=A4cE%5(7Z^v^S|iR+G1O_&K;<99N_+;GC>R}JR@ z$LPdISo$-%>`NSU5;XKT!N7~1JMw=!8v1n#`K~ef?w*h&PME@ek50C3B2L;z;y>1V zM%R8SugH=UhjceFvyl2x0K2FcZzH@h`L0P*GOmzHTTJ`~h4sMID^}-|beA8!w^1=QnnYe=>O|vAx;Y{Jq} z&w3oRQ$GEan^R3IBCZ?nIz729I{Fs0i2al{Xyg$zVguNB#d8cpeugBqZ6}H_;@o9M zcW4P;{t|4kg8EBVfS$EgG}K!u)LSi72QeH3p86}MejwsQiF0zIQ&N2>^6tdAu;iH6 zm5t;ArKNB0AE}6<7h}V+CN?lgzKcQn1Y=VZsLt~IaJ7FG=QI341?BrdRpv*x_+)|Z z;No8+X#ZsCUt@>Wih|(m`r3KOfP2##0ZGo}U5L1{a$ zr2FlYy}(BD>=e*$oCjU5d9FyQyPghK(Dv0foQ0~9eW9l?7rB4M@i|y?^hr`kV}9mJ zkz2E9IyS*>aFZIyh-kU{fj`NOr~d`{i2I^iOeY!X9zEAUd>HoQ%eV3RGAn-!&oNF2 z2Xz-4e~mV_(mH`|`KrSVz`izMpBJ$2iFc!m=a`7xsu}975W4;YXq!Uj2Jy#~zJD)q zIc~m~;0N9(HPuD2W0GJZ*+2WbJC4i^vhRSqgHekFwuly0;|cR_)Mr3ZU;-1UC}vthG8DQ`@!VGHd{zApPx2C$fiV zDBl-^=B4PUsn-utgh{o=svDw&>-&jxgBa(&XIe~f_OUOQQ(_E9L zOv^bpkZE=~=?x%>0y8=wNg^N-AGSMY&4OH7je)KX-*__pB>T@0k5ShlsdzC{L@4^e zC$-LenwW|^Jq5&CL5!L^1&wlw4m6VQ@}OVKpacj@!#1NO} zj?MZh_L6$X(ntx3vs4tWrN&KVCJm+fXsEkxncH0J+*}ku;TjJQz1exc?riNb6dN|P zYd5n6xSRwq8gmT1&xO*~4eLexrZp_{!;`!D7U~@#D&XZa25=U~fSuBAk!A@9Z;m1G z;gmUa+TP%%|E~A3>DQzv5Y-Z1H?T zP)t(UP58kzGziY`KmQm=*?u~|z&X^8*IRV~+k2GtC7BFPIom&Uy2aX$JY#NkW zqohq;%IL<^(Fe>_MB{+J;TS zeZ~fTE^fauS(R9QVatKk8`j#ac+wU3X(LqTE?lCrDp8(4j8;H88RQ2}gyLd?pUtA% zI3ZtYGIL^|94Yjd8X=$kg5DnC`D&NIduMMcysoyx?icU)#+KP#8mOUf9`M>EBXp72_fk5F-<12aiQt8bM$r3WZ0!_j^& zBV=@pm$v7m)jeD(#u!Vsfj6F7j;4|v%~C5x31gHZYQE9|F1?-HXkmp zM^{Fw%#LXd)rj*rroC779#!mRq37+yk6#!W)NHNR>U?e1j4Mm;ZL~A&3HVhJl7BYVdoRNc) zeg{fuEID~I5m|BN$U(gwl-s%VM9KvVyGm`duJ1{L@(qv;Xi{S*?RtdrvDOV%{<8ap zC^{q=PWflS16*DERUq}PX^~-!#2=e@(C}Wd?Gu*~pFC~s4m{RXz65a`IBT~CRWKhN zRZo+Z(tn5Lu3V`9X6|RLmjQWNi{H6k&c;jtvGVz4nhecl7@9QDrFwAs)FOeRnOzUne(s9niQxs5Uf{@XD38&Q7k%EI zl&1N|q3<*qTm`elLRLn7&ulCzG{o9A`JS3={0&l~o)9AQEGZFum5mH7XO2L@kV*cR zdiU9Fc$?yHeTE5<)Xab-B>UBVfXh_`#SlPM!adc2e~_qG8iMWQtt}rRS!(Byei#XZ zEm0hb@{_*L_hGC-*WCJ8L|RVPxNnCoiXZm&HRVKmmW9#G)&fPSsqE_CGo)f1$krrd&{U`;jBF*o>_s0!iOT) z*o6tZ#m;t|4|G4KW7mig2zg%FT1e#_oo&|qyJDgggCCqY9+}sJ%$O}&noffr6ryaS{kw!a z<*x{STIMvO(ALoR76_1VT8R=&3JxWZVejsud*-8pPs)WtTryLn**_s)LBChOj%OJC zzzdD34@fS>7=C~4a{{Xq|A6xCYprzhoehIu0};#Ir!%}gtlL6nx9q@Rc@)=4IbD|! zs5@Ki`z2d40zp)NY{Ex3aDKVqE__bL_MfS=M)vIn+w&inh8WI@sA{U6s2H< zo0@rJa}!gh{V1N1U94ILcfqw9>tQ}RvvN(TbL({4J_dsjpIKhLMz{J~HR5b_BfUkC zl(Te@S2fG9&}^-u zs!WQQ|B)_BK6cnZPQ)Bl+e&7zWN)_BZ1+p1V*F8y>UheEoPeeJeSE z-@w2!b4S&X|2oLsL7<%N$Wse#E0LYs&Xllok`Va(9Q)W2QyVKF)Ig=?IS5aUl6L>r im-5FbV1}IK_qU|qh?RZ`#Whgm(hA9nvJd}rQvEMZ8aR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.root_partition.map.reg_db.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..18ebcedbedb8334d3d8e84ed2f0790ed1a89332f GIT binary patch literal 223 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR1_2ELfyEGt!5T{6htd^{zyAOKU(cqI z&}_Kjf|J8WkNFj!A6)rz=gJ BN*4eC literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.routing.rdb b/Exp28_Decoder/db/YL_7SegmentDecoder.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..35aab9de78dce3c23ce17f33718cc144c4ef6433 GIT binary patch literal 6137 zcmcIo2{_bk*Qb3_kD4rn@W@2g&_vY8lwDGm5!pqSEDh3wnbJIzB}JCk*rtd~49QXq z8W|)*)*<_-v5pyHjAiBj`&{R~&pG!wzw`Uw`+0bH3ix=r zS2*_%<=(BX-GqDi`bes%DIHf*k%R_%c)Lofs;NpIKdG#us;qikMNQKD+RY#jmur${ zPA*=ODw5_#lCW#elDe)Q0bI@KnVsBecz8|;Z`^nU*JtPcSbx0-^G*-6J{0W@-0A4? zEX+AX=x(em;tp>U`&l>Xj{5=0-aV)3e>j?m@g7*HO;R~~^7d$z4lt+Dsx-e)6s)v} zuQ$(yY`t0Xp4J@FI@=%Ty0`KhgVGSk=~=tYm_RB!R4-N1zV$Iefo2?=7<&o3Bhct?d;LY!B5y;}%E*m4q zy_|J=4XV0)hd_`Ga4)aaKb?`qxp2#86*kZl38&QHIc#MPWANDACw9zWy7|0Ivqhj( zzYJ#AF_RV@sCm}|zf6==_Hpn|zy(VgLKraW5usB|`h;crE~cR@bhkPDQQQBiFmQ@- zk)xF%#fhXX)*YL}%_0L3{Vc{V#pO=1+BpkLskL;JR1Tx_s`R&Cd}#-DW*$Z^?P6&M zMGmdfm$9^VC8UPEMSY-^5c@pG{0wYrwtb;+dzWXd6~!RW@|styJjN!`8~hMuV_DW+ z0nE2-+MHklD3U47$)(Y;D-uIm7ZBbl0Zz7NiaTv$k6^443&4daPs>+b8bD9WUK@&0 zo)yUUCj@Gc3+yQxed-o+mB~*^kl-r}vn{nN@CR97;|qw7&9EQ3MPSfUxn55(Kxw}B z&j>m|CmZ6`cLXlqd#cLnI{DLUqfk55`dZ0%KLL+Xk6>O&eR>EIP<(t70+f>n&R9b?Kdc5(W7ji2F1_1s z`9ZFLMHY|A$UsSVuDR423+Xf~UYUW58$+oUH<~gZx5alssKwF&rd>&^hX6k)GE8pk zpc3saUF$WsRJ7HwYql|K4tk+dhVry1{&EoLd1XMv#W+t-(Dvc)n53?PO$i<=VHj^1 z9reV@*4WDI4^J~kJB!sIC(%B9+(p>9P0jUhS7-F(5|L9;a*BM_-^gXd?Sh#r!N648 z;+z@>s4*;*MlrnZQ=h~}02J*QZ{lPyZv<_ZS3s`=>ZynvAy%J8~%?EP|(fJ)*>n)Hd6dhb62qf$QjnF2+uj*Q*_=$c&9$YtDSWNN1gb0*mh zOj1m4MOM^djp@bjXvqNfV)rx3P*TrT(-Ke-UH5}+q5s+_@ytV<(O|bw~|pfftPs3!ZoxY;aY=yl#sQr%`Y^g%Z;2uVo0~G z)ZSYYMvhM|Vn=l^#TY`cBwebshRHso7?2pTGr7f~YOCDW(_txDEzU|9*^rNhrmb>B z;BM}8C4ECN8NxyJ_9+lC{!&|t)5q;XB}QiCiS+jA!SH3Yf4M`PM0s$kY?+?_c&QOq zeV1-|oSKb(?>Qxm2FqNWMm@b>fG;3e!JgyBI@KjR*0WkifIJh?{xqZO+8Ue{$Rh~9 z3%I=N4Qe!}xjG9nmZn}F2h83F2dKCUnhzVd$m!?-lKftLQ)gEIG-ciaPY$AlZ4iuV z?^xV2P{AqonmV-uP|42j_;V2_kWFWO%su)t;B9-^s{(Iq;m)flF)nlA-EFXmmHG-2x?$NoQVvdT}(zrjcaWwhE&lvEi%xnc-ixpSKUUp9Ac+)%eHk@%3mt z!r`onpKeP}a0FnlCk7;#&>uY6W}Lzlm$5rwgLtogzVGEHQK>&I$wI!b7ZR)6VTH=e zUr2m%%6X}2%?kpQV?^_q+=CZYzMp~KG9ybpQ$Y*AF=6^u;vD1U?%%>#_WN_QI~t zgHf`4Vq32z7x*MUFma8;$)339*0#rvU;0$RSTCz0GkxY$Yaz-154RFwGVPpyhR_+s zO;y<6s?o)`Lc-ykOKc4z)e+#O5E6b$W;|`1u|b=G*HvgZ$*>3o4l?~;gAd-IQc7%G zqRY_m@EB*MkrsAvA(b(ws^0UgE(Pw>W{8)L1D z9Yecr7!w+HO_wn=*Jz-}SEbnH)@OnaJTvTIpu@Y{?9P_WflW~<3KnUeTwi@cez#fM zo$xhUOA(71i5?jt`Sy>$-C*J%tFE4*BEpSO&OvaZEqV zeiG?iXFrfZV6uDE(vjIs+U)`Fhb@^6SO{*}^x~e>clJvUlJ^BgrH@{zOL*S1=lhBH z!&v!GJL!i8(r~8uA%{|r?%&lbiapic0z()rsSfkFw=7>`vV2-#9t+ILN7vMbc4NNw ztYUo-dtcrK`}J%!UBj$@@$haQtN&^J|9&hn(wKtfx`)k=e0}Y^Y~0~Jvky&v?`Cy! z#;5W#t*jD%X5F_XNhb!ovAYOdRst@hnR;+;%rc|X4lH!%652!UM)$&#H?v^_t0j5L)mP@d*p})wJGyH{ zDyDed%efULkacGh?fXY9;PYdSvZHk)GsjkiapD<5(Red4p8}0;v`xfX zmlJulex$HM!@b!2o8IIg`NruXDEmsJsB-$31g8?547i4y$aef1O%WTP?!R7H??6@J zcCL;v2Awu3fI!YXEI8b^vWTGLak`~>LNkBV%3E%n5o{0}f|~Tp;7Q3LvkrIdxdP^8 z`KRjmiLi)46?Ib1!QMU!(PZeQ<8SjPQa+Q)N@l#Zv>GA83Mu0^T5eyt`v78G_Bx z#<%)J%Jc>cF4^Z?nV(v$8mJbn(QaSOb-VS3aKZl7$7bI~}%=iY#f-MhIH? z>}9U&^lXJ+eA=gR@?oqC#qZuPm3wetNPI2Mdu1U_xqLA?WTkGsqxMkn!>~W_28}CH z7;koE<%m6fW%`+CaLuFWEiE{F%uxd1bL09|zf7um88m)c+Di!M2>9az32gr@v0W+i$G;AK-2>$h!)l zT)Mi!J(G=)lsI%2oXf%~7lL1W*~`Ll4HhVUKRY`g0)Oc}1D1CoZ#Kjg}gbF`mtc%#Dw{K75nq30du9kSs- zZ}NsW01=N^F8|}2SVSZl9;g1t2UXlTPHRQmk-7P2ocL>0_6J~- zU}><#;htr4IKjNm?vAee9336+tG+hbad}VwS+TKE0f{k@VF`gPKqtp7&Tc#S^masK zujK3@oXwElcTeDUg=WN(=8pG~8k(#M;)>SoH=5^O8}JAg9hc>4A|K{=SZg|e{jTiZ z-vD#p;BmJW{1*Zj?p?o8z(#;on=>D2{rrg{Jt5x-@J> zx;mSSh)S6{AFPseWna#&yO`mMDPnnxqJaH5PI)irV|M(5Zazcr)5Ko9eGEm74QXVx zKd9r*$6c#!fTL~)!=2=_z)?|AV=EnA4;Om8$cPBrnwuiiT-pM5PM}d;JCNt8EXdVw zuM<(C1A&h;z?hYoHSg6Foa<)oc%!K$*aW=5IP+*BGr0>o^xv%^i-Jh?X<__DdD&XR zms;d@bN9mAPm~f=M*qYvjMtcON0>Ut(E{>xEFQ?xpfWG!76Np*yrl4%wIdU(rfug) zmJeJtQK_2QJ&M*ceQ|O7HB^fl(o@Se_}i0D-ayY?E2o4n|y>yDHTHiUuxSPvlBTxmY-p)gSv>LYzc( zW01bRra(WX%7Ea~Z&i2=l>^*`WOr`iW>@>8Gcmli>c2LjblsD&AO6^%-F16)gEy%z zk%*%XPu+>zZNgMzE6==VWcf%Vk67o8Q{<$jM(KWz2`+4o{k-ukommOxj~SW%?YoEF za3~fc72k#@&<6G-Yy7?9VLJdUH0TK^irgpTH z#@xM`{r{`-soRWp!S*a`nYrJ8An{ozSgI(-F_>oB>6%X zk=&JI?~z{~p*yA$f{0%U7#ApNg|8b~1O)w{j)+`Co~CU_k-Mh75rK%S3*#tn{2K&s z^gngd=sJ2=^e*a)&<|*V+2(!dvvWkcsyZ1u*F)+0?odXl920Z;fD~y6VRck2<>&5g z1&{PvuZUgjd>4n=`XqA|GaO}I>FFL3bOpQ6r{#7?v}?ue_5y_l&=zx%Vs6m67)wBZ z<)`r=H@q@FB<`t8bXmIb-2+JwYpBj^+hyh*^&O$zv!+o zbOAtu68e=&GDwSYUC#p*noZH~e=uN8Q_1!%w@5{9KmR@x}7^=^YB9TT0O^wbkhtAsMO|hp4`I|J`xiOzh1Fk8G zSTFet|I`ht)K%=LZKOvK>u0ALE6y!i2K_$!d3qWHQ!-@ruN)A_#_Qq;9PYNWw_2a# zY#NSIoXHX$qIexQ-p3(dG~`ZqvYzwil4mBUj9wr|Z-O_O1027e_Xz9U^$9EYKg(wG zzRu6I)d-`^rbiyfh-@mWE(HKA#Y)LTY!Jco@{4GKts2a+GC` zgDese9a~my7#&`{+v+eiA zmSsRl{w?9>sMrcM_^_^u_(e=6(t+3SvmR(Q%VE7@R1goL5$3Ms20`3%9WA3pmPsj; z5I|4h7F-IlGBh85EIYleGLwRr7-$F=J$@yNCuH~}YX9?Dk;TL+CA`IJ{&mgvbxk-s zzR9{Y)jauTHx{yFw;Iz?=rb~eQ~OWTbYQY13WQ7B-bD|dFGtp{Om1R9Enb|tsitL-cDtPs#FMBn>ym~;(%MLgH8z$ztUjZk| zdaTyMJUrkD(?3gwSxeLDyOLv)HWH6nI60h;n9L3bD^2(fdWzU12JpvyKRBh+Rpm}v&KMhvVxuLEbWWuvm<5J?bjiIdL8u)+hi zWmXg`w4Jgla~TUqYGKG|SA)E_4nq!?rA%B`?QzSo`|oyv7==_ig4`-Q_8bT&vsmeR zBipr&&)ong{iwyX-jS|4{XhtlqTkjqOfh2JtPV(Voht>l%#%ZnEztOv+GmA96dl$q zMIm}|rwIK}?tsrJo4QD-UZOwK+tBSP|BUG`!LE@g&`Xwy)c7(}kSVpkFRef$JwaNd`bvWk$Rm9&qlm*)29_S06wKA9;P~;`bF1+5GaD7ZFn|_DOe)Y$>-6H_?>iC9w zclKUx!2IFSdmzPSU;t@q;IAH=&Mr70wrJb1QTR-FQn*cNJ)c_PcOWt{JbvZ|#KET3 zpugr=cr=;md^gFFeGM(%*x3e~HyJnWm?c0>2SKy$M0=r(#{@pd9CksdaaM!24(&8p z-ZV^JKf}Ejnl^t6Id1_*{7F*f#9PCkzqxT)*3>b(y`Oo+uBqex|n5rPMer!3pU*x^{;lOdK-7Ox~JAWZS6q$H1ep5)}IjzNSo() zilX;4AIhRE3RFgQ{zh5F*!+nO-k@?$I z3;l$=E&!%wJ9nfD^^{@nLHX%VTM_|Ir;UTtiMY~-$J5gEj5)(D1{G)!!Kp z-BrkBFn93f_}(Ys7g>uSADTp}$1{$6G>A{SZnt?Z66lXXc4>__L|B{Egba=>%5ON0 zBy8KBI150*E46#L|DOG9@H63AuKji@OzN>+QUaE&BMQ_Jw;bF-lP3^H(NU6tP*ASG zV_h<3)lDeyh-Jb08^7&j9xEuqlkXdc2lMCT?S-6$lupP6gp}6=v;CRm;3@>Kb5%0Y zxYv^p@p$|E-cT5ApY-ubHsL1jfr;ajWzSEqK*;)z(-%g`tGK{aQb#w1&c zB(?2wi$vf((&IR#9_uBsfq~8*WaK==Hl^jVcJpSx&tkKK)F*WohL!hh&?~~OQjQ?D zDn$OmNwFxo*ZP}k38$Agnkfb(D&Tj1`Icye?xH_W$-2J%;wOhvwsTW z^9`v*r^y%Tn%-0;x`%9!{3^&3@`z(#`KY6-puvrmuzAtY8_ithCazS&1OP>`DdlJB zfTgc9mrXB0CjML(ouzu1Qa!9$u)OowGv&$7nDU|v{O9q7AThoCi#BZS>A zUTmf-4rIQ~WA3mq7#sn^eNW(fZLUU_(ygP0p+qG^w3uvOPQ+Mfn5k8$~)y;WdhRSM7PHD8;>pjyYj%)}%>s z-AY{>vHQUv{Uhe0?%)7FabrO=daMbGS5wgebmamH7S0dm*vYYAfu|n_jnX`7Lul?a zYL$Vb6;?U7@y$eN_6RXt-(SWHiE$Le^z$^vQosstu=RP~=rIEJQ(ljP5-vgz*mpbH zz0$3?GILDyy5_6Ct*er4Y~OZCfyZ@2XIONEFg#jL%_}0dv+Z@>(DYA=BIKe8VrUHB zPQkk&15;OXvJ`@2gjuMC5CMBdW2-z@%CB)oy(e=7zG<`)Lbxd8-;$mE(u$X?$T~Ck zBY;X5dNGsO+lDKcUdiE+5tX34Pqa|UigZ-n>MzJKy$)Z-Jncu~EMUi(IPtX8w!fw# ztDCv2V)-+TG0?Ke$qA+n^;Jyv6#;sU!LnoOna!ML?njtxg>^@t@t2ETBp{ru+`aP2GlX|q0M*tTIeB6npCvegyR6hmQV9>aA6TztZ^Nq&`NC zluJKMM^(bvcm|S|R;%p$DiSE9=KDQ&jfI+=_hj*H+LEMPhE$~fH{jJKU7oeboi7+* zT241ET%#HA#;1+{(t=Jtc%)@P$YD^E0#raA#<$yisZIB^uqkx8_1oaq=4M??gGaxL zU>1KVD@A#s3%r$lmEGPtU45Z66Mi!ol+8rA<%<5m4mEXW-qj6pV>@fh>c}*G42QnW zvV;p;0ubNnebWCJlFD`$Y4`m+Y0ctrH%_hpZA!!AOAMEH`%aDK6jqkPPqU?sqHubsS%$I`NTPFsrqrm-+h2!iSsYNOW*%8RBYL|aptaXnYDqGsA zFL9l`XFln-N3yGAf~ZCG;(o)}+3ezh_NiAgS-hUv51T~VpY`WohZ$Ez^WgKc&lu)e&!zOp=@ zZJ`wVaktlaJe;0EmuvXFk}Wf5(Z)Mms9UF`ghk6?_&{zD*OeePz-2mViDJ~iagW|` zvy+d7oMbAEeIQCG$~AW8QbN~w#`&>7^0>Yz|AjRPeYm#yS;)q+u(u%9X};06MT%*E z1CvpTYQ{h}H+*!KDVuoKebr)oA+zXpGM@*-ZA8W1f|r$-X_8yox`gQ!wK@0iW-*e+0Ea`wW_W)BGYM9C<8LCGuHM} zG1JNZte5b$a>Hz7`L$yDdFGW#CR6&2r&2Ftf8EBTFhpgsObGCBjfZM#1!qPR^6QCEgI4IJz4{3;M%6}1Y?mP zHN17{vDJ7JxqozT)X{RcK*D+=c0M`^JNer|>BYS9{>*^EiDBXLN!{|SI!iR3El^q= z@t9&-t3W9ouOJ#EIBO$H;=AzoK49NQ5L7P-GTZfNn%OpRtsJlw0v87n4(bx>aFlq2 z0xo_ep6@`WpDk^P<_#w9*Y_N`bGS3)5Oi83EvpRZP7MpWv~#sCzFh)@e6oCQOUgvr z13ugaf942(kG$&|<7^UkM0^B{XGvPd14gIYX>uYfgDQnHFQQM(cUyujErfB2A%VGn z5j?I$&o-DS4&VUXgPWQXOeopP$ zO8nJSLJ&e`7I#i`O5L@t&88kAiAm1OdEg+gGd=5<-Oss0CDRFSV`d7OOsr_<6B2K_ zTCLbGn=(}9wUwy}Owo;Pm22WtHr&?r!bFo<++Cfk7DUXhd`;`1$=8;2tM`G0kXgHy zZxfHiIhE_bnHoEd+VEB_qDPDv&CBh+PTTM^!GXRWzdOd6Qmj}|xUblNPG`AS#D|uN z6*|=$>7o{g7grCQt8+`%8e{tx!ZKQ1nHqgPeC}WR#FxfMypY%4PX7dXimmsq4A5w# z7_fSfIlJ&ODaog%2#PpaHgf%<`h00e1S*tVLix(XEW#*J&H^r9y;cTG~FZ zPBN$3qzVNLkp9SQaXpP)4s~7;7%y@3yoKcFHh116rhQCzLJM7(|EeQv@i|s77A7o~ z*-t#KzJp+#W!mJL9Vhq%y+4Jd`6*I1*>!R(xczW?;p)iSx*9~WdUdgM$cD)=|9s(Y zs>+|&|BwZLPg9M*JOI=Pd-Oq}!MOWqON;qs-ejO##k5cJK0brL;+K)k`p0igBPH-H zW8N-*v}@*^?l^b${*|%CuVd|e*5bJ}ygd47f&V*O!kAW(K@f16C;nMf4&OfIj$}i`~cOek6 zVPO_i&I^uG2C)=HvJ@Owg5ZhmxDjziTnZ%lklQ)LCH0OtzjUf;BXsk9b`c5 z`fVY%_!g^Gqbx)4fZ+xU(Cu4cI#n=8D4;IkXE1NRLb#u=zXB|domzP&82lEQ^?4ku zwkjIh7-mp;&^h2&9P=j*OH{kfb3)2<=wAnRwRdrfm*M(t7a0)jy) zj-B}k@COB@k!&J|Edcc?|99i?H^m0K*e6k@Tvk2VZlixLzomHgzEXrmMLk))>s&PW zsOgcfN4fu3y=5<`BrgTtp#^s0%ej3vVjlb6k};OBUaCHZ$6fpI{d@@#Kefil%I;@LP-T8Iay;@&+v7;K^VmBK zmBv^3LzEM%`NpO_B%%8x4tGs3dFtJ5RKRK!`t?{P=a=HY8X^^z_KTYLU(O7LAu+d( z?8Tx-jse?n%H8TwPb6f@gtSa)DbWFrBvd4ir4^N~zIb*?VHZl{SDF(&`u5I&*X@mw zowuq{L=~pO)blDKW_xj~u9ISCoLTGFt06)G2pnb84ouv5C$86#y4!#oju^0+h1(UD zHmV5Fr+qnT7p}eTpvD3IR-yivHu`5`IW;=MY1=(oD*roROj@pzj&17N$;h$yp#ibi z-uaEPrjNr4cQwsg0Z(bpwVRK{B@=HzYARd}RZk zNILSYDJ7!-GB@v9zadB*O|rEXG1=^?*fDo9O)^$(zX>V3cw?G(bb@ufOFW3-rLlvNh-WZe6lP(&L{Jh@T8`+2~eDW&+qUM(RGEqGM}F>KUXv>RE%=aT_Z-D7rXX zw_o0>PcB{kEoHZha0WhsfyhwvQNvE?c%N9M>2(Mc_ab@$+k&wt{9l61I>OzrXvYaD z?c1T<|D6zsqUhFoyLHEOIFY-iB*$sp> zSSKVTBO)csq`wtRXhPPSZqEsB-w1A(7S}fr->}Lagx@-rYZ%tb?&g{~mcvggX<(k+ zp5^lyNec)$;5Km2<^S>V#Pq%X+TO)}NA@k|xAMF$7Fxb^22r%hC+r5=K1ST+a18@m zeV=r9wTkw~3wCC}lvRjj(7jIi+}QK^7>p(ZPv^SK=A}+UYlYlX65!2fgW~U>@zu6* zIaR{S9U%12a@P>Y=)^=Zn%I2d{k%{83a-#Shbkb(6?r|4GXoy(h09sW@M!;9euGNH zjw?CECs|VqHtFfZAaWEKJw!y13Mm=UOn<@kH}=dA7{qs`+Sib=J@+97uvZ|eM_gP!KKjUzg@1lS01=ZBj-i8i#dAMSXH2xWOX0Q4&3q zHelpvQ3-ujaTJozyZw-pg!AvgNW=;?^@D?ZN%Z5JF7i7=+x&p}+dV`~Oh`cgfbSLh zDYX1;zsBl0?U7d+vGX2*;0Bf4l|uvt?wbNh=MP*sEn48lk=S$C#6n~Ez#tSQ+Vvnz z?`W;{AU%SQe$xK-3uLaWzTjJG#K7Qa95z(90Y&oQ57JnRNv@NV6beS@nx-8cQ`!%L zxFE&LM~fm&Qsx*{_1K40sfhWx(c@J`f3ml0WYk#HO46?wsHzAW_y7-fPDGjy)FR$- zQW8cCNQ#svP-1uQE~=TsAA7AD6_E^xo+>3(e#+24J@UPQm=r!bLIZjnWVW9JAXrnu zKi%-u)HpF(*TDC55elp*jdW>Xxl4D+Ut9>eK+wL5f)>?}Y^*|8AEYe{G6oh3h0+Bl z1hF?!5U^7MB~6FEkb7&uuFrMoFhgQg7i)GaNeKwaWY1N0*-u^km%DUFh28RD6zm_&)C(r z0h4%-!lhN3%kQ zvcBGg`a*GR&jmdBK+Rw+KCzMCP(MLzU;)O<@6;x-3`%-3{@5(6;fsOHLDQXU3q38tF+UbJRF(h#L6HQK&G4~&VY7#xW!K;@GUC1wT6$5GfNP|%IU zC7KLnnhp)K@8O7EnQIYUB&JuSP@F>XA>C7taYdSI3@+eIRwXUsh1JBlojlqb?8-ilVYM*z$R~; zG`Exs&h04-N9BBi^TX3{mo&R!B5ya@qHSSkPwVu&k=mknDJ|mtBk4!OOAS!SfkoD+ zy&L_wh1!~=yhR~Lsd^|FGQr1e|9ekCE{)n2kbls}Mje=jWLdkFV%o=yfUbt$s%*W+ zNLA7i&}(ppgb@Pi$#qXIs^+<*;_y&o!1lqeWyPEs?=I&s)K7%IT|rHI`zNJB-#(+J z!Ll2o!_h`!kR)Nb*-Nfb5IBUvgo$L*bwE3}f?DaWVP#+vdrgP1*!R8@IO32KgO%}* zVn6wx{4%hNh2JqD--&eC@7{UbIm6XNEOb!h`TCq&UxZYMD7kpgyS( z-1sUa#i;iBOabeQD21shSyJJjQc#~_P+NY4t}y{!N8&DFj4nx?lX{{$~(jLPRT`p%=)zv^K~N4mEIf;268VRX!oTT`RO5 z9#dMd(YJXeQP@sU4aYnr%;Nm}>@z3UG)%Bzs(k!#i3zQSXI#o%$>0YG=DTr<@Y>+^ zw94dn(>!)w^^4r^UXV*TtB*>iZgUm#f#P7h649uLPFV0dg`KqIm-(zvG&pa$`a_@D z%tqT%-12!*tY7jN6U4gVA&2!%aBXV*e^X@|PhaR-C9MxZRiO0KN;$ji((zBk@s5EU z=$~9c#R1=MFo4QoOk!V)l_u@PA4UWw+*9sk;fSHq9(u;TU(cB*UqS^NBQ{b%Vjc{GYEyEcvEJ9nO-v986ix<9Ba$mCgZvB>bT z{Jv5OQ&0*c1v@)Ds(uJ^CymVK$bQ_1@ciI{cf!zGHoLuo1Vf{K5h?(+Jw8uhw?n)V{-z$E9< zg%G|`OJF4e^Q^&uiskf4Hha2l! zh#c;)T`$zzAasoac#%Z$&&Om?Mop{RRGNQ}(@C_Pty7 zJu6XV_46owXF1wA4fg!1@!(b#?340zMT61cXHVL>VGEybXcl;qcBWM$S1=eO5|e|H6+AZ?Md#vTEqa3Q5YQJrz;~6DJTL(hIlk2%M4{eQ z1*qy*BUUtTXw3%D=60bUm{<>yU;|j_a8T@~9~F=k^VCC8LXeu06b~yF4Bm_YOMUCR zl;|_2+;PCV4hBDVfFEHo#ZQI5vU^BN2r^UV#KU{2o7`l9-Ss8f$tm^W#Q!WGYvR_s zjW--ApY0Vj;xhM7Z(mVSUVg{~>Tb2NZqhNibTl-aSAszOJE9&wHAUwlxH>x8Du*fF z6oSbD7W_6+fhgk_yN}^$L_G72mKhP*TW37q9oLXGtk$H3peU0sdu`(zzy`{kRGOVQ4}m<3Jn>TZpPIOZ zf8#gMW=@8-r0?79lMu8PXAA2JuBZL~6nE!$OD7di#>U(AZ!iH_Zmo9S3CH;e4bV{! z`5@KbbmNik0eOa!qwwzxar;{H_o7Hb`$Yar<*nU7Vn1(HQy zsFS;1y{3nkf~k9yLA{n5iK>&bjX)3Z-AbWmrW(@jvB~x&+Nx^O@2ke+CHtN}fnzS} z6E69h&mWMj>&x95WH|$molu0~_Bk8XLpD?p9kFQP z0>%t!%lnBo=7&PmRXa-hdaH}=PS5aiOSBVFlOt7TD=EM=s*?Nz#R5ID5V%VvbW(8j z>%BPJA^&w#^jahX{UOyWX;F6T?GefQJaBb!i2bWKbO^bvvn)4@mLEni+sbXV57 z?^2+-hPjuFl*V^FW1|}yrJQpAExeBS2xZj<3qM?gf*%?;qx6n!5m6WqJ5D%S(z@A9 zp%nLAlsnqh>Td+O;oCPun)8tEvg(3h!;@<0o&luEGt`z&mfL31xL|IcG;g598absc z4%X(s{TYiV5-gLC?}pXX;8yQk@7m;?%&$>J@8ay{mbB8Q?wTUKzMd~N-sL^LE;`!u z?8SImCX^iBc_Z53_g0$LY5(QNauEvh;>@u*u-AA#;DnE!my9$UzIWM0f@c@<$KX!m-3i%E3abEHscU!T{hk>jLQC#yCGIhCMv-j|1dN_|j)AS%i)_ z2i1!KS7%#)hB=Fg1bF|R+(Aob^fpb141K>H&YUQDOQ)S|BJV^Uw9{Yefc2R0CY{qD z%cvGsh~O&D(cCFK{;YsqGRrUjZ*(v_Qna6#`y+8e&L+i~<7NY$jL%hTDko!6eDKO| zGYqe_s%YS21mEpeMN!(%+uvs6{LlQ>$B(PcgX|Ae5*N9Gu|xmGniQU|zD-KG#l{RJ zchAlwE+@5GyAAps&E|;9n8pSCAMHvHc!nZDw~?3zmV2kI+!P;naUamJbdXm5tn3e? z#S4-zli3D8W&gEoiMr!NFk(I?w?!L_M1`^z7@1}#n{OQGJ`HBT+#5yxK-y=CV>Kpb z*u*14Bwn5D{UyrB13-bX>HPr zQ?stYXY{$@Jhq`|p-E&qaP%lWH?AIYz*D9NORj=Vb$SV0U`JccO;LRudLt-sSw=bZ zrNtLfFAlS{o;AM=#NSB6)Me*#`Zm#4KAj@mT02tPRa8V&hoeBw%WnAu`jyj@{MpWE-8g zBTfkQEnop3V`~x40^Gmn-{%xuge1ci9F}-Dmv}aNup{Uj6B_E?t5Vmqhcq0t^fS%p zxQC2t3qD1n)vGmm4(439FGc+0DN|R>CjR-nhN}@kxD)VCSz;U;?IN{ zJ7}J8k}!kn%Tm6u$dUZ%f{JZ=wY`vK5kDWi5{81(KgG$PQeaMFCqO(u+btxkuNb1o zphXY5UT+a4Rvlo640~DO_^8eun=pCT=m#3Y6)`GT-f90e+A9^E8e5qZ&kN|nYH!(^ z93F}lwr~gQ5Tv%)_$s1Yx~nz#Pkjks>@0u$hBX?xFjIEE9;o@)0n1{@8KNP0j9w*6 zBj4Zo=?n0|VzSTaL)TGCn~kZOQg5Geg%qp#CsH)pEHXjIm}x;Zr!&%>YzYw%J`doS zX*bhMDN)~EPIQL>zVLjdn=u6ZqA}?We>>e$lZ9VZ*4sAzdavpR#|S(1+vzlhT+^&A zwLwp$LXbHcTXmiT@nyMu*0liN)aLiWA7P}hbv6j+-KBw*4bY`LFW*$j#;B~{{*$E+ z<6rN`DATWxfR^ngOI+=0h zw~B0u6U=D!YJ$JlweRzNW~EB~JH;3N`G`P)*!jMYB)8!2*QqJ_^@iL0kv@BhUiw}7 zmD^l?l}J3a{Y4W_^-j{?=}@u!3-sas^iZW*EtidIf-JTkElNjp>>wE zp3W4%#8KaMjymovJGBk>RMuMIk56CeXI62E*nrXkkH6l3I@sz< zp|I>hHLlxY;f$P;NutBgL$BTOfkn^6EL9x@cl*cPu&saXqMXwMAh)QFC2Q3*d7wTu~SV$0qhY5QYmkY6!(r&1~l9W2s}A*y-#Sl7Qo{OM2I_*VO8|*_EiP zkrON`s@`F!=;iVwwI%ZDO?kZ#p1IWY+Q{3C$s8B=;ale@Li1Y)cBT?fx%GRoJU9z^ zztJ62F>-?jN`FpGS+X=aQ=$^X)plQ9mR1=A8xYp-3!4NGy7T2tn(1ODnnc4I&GDrA`gg&k&ji`i7mxhjeM1MK*H-f|)A84msY%Guimpuf%20V74{Bo4?DO=v4z) z9)`Mh$wtZ@E@#!*;ZtxjWsUnzy%7=EC6%2*I*xN|t>)+N9*}>gB%K@f3Y&8K6pCzPO;OhD%anZ!QOKGQvh_jM8I!%qzD|jmga|bu$uh>0ecyL8 zBHLKTG7M(RJ9+sm1a3+`{pPZCbN%VO`QThCST@|^g2gJ(+A=QVw02%L39M)kd z7vkmG%4^GT;!_a;t3TY%SQqcGfls#IiBuMz6e)o|X}_(<;luXSW)zA5Y@-TsQ6GAJ z`YQI;O?98D^v53AyNhtEpRCwS2wfqJYBos>)f~TVkEQgD>*F3ZS`9G;nmf)$6~g!>wSI;tOGB4H)F~Xg&FoTtI)nQvRGLuj6;?i#$8otyv+x*iQutVf$v6w5?6N z75#6p|H@(09eApm53!ND`&oo7k#%tKRwC=r%&kPpf<|k09<7j40eF+jf2yJdDgGy+ zlz@8EVQl~mbUL9lg<9{3@{R7!8h2UYNa^Ku7n8L~Hmo(8F*G6FY6Za^kDJ%A6GO1` zYkNlZqL&``$9k|gF7PqHFR(!72r7jH#K%0R6n<}Px%{#w|y0Ny13J&1Qe1N}Pp4k(jF z!#^-Z%F8v+N}Z%seZSwHl*kFa<<-=D!Q&LDYBqRa~6b_gR8(xz-9!vYu&6z z8f<*0z+e1)>3l|&Vz9{$jJM71J>w7TO;)i3Y`+9DuYP3$m1$g<#+b&nV@u~>d_3ozqo89veZg(M776lF=hWECDwA_@w`5W0EmXDtZ8x=l&Z1Bp(tFSyOWYn7TYx$dR;=?x+v5C(Tv3 z*e4a-O1aAG=Tf~poWl6(zQKURA@_?Lv5WHW{(Jb@O>Vi( ztm|n)$8{DrGXIearb5Ok_@_i%d$dK*^I@yuBU5@~{Ha;R3S~yxC|XZdpp&!wBLjiO z!0=aGsho)9OW@5{q2q*V!zsm7nAJP;NH`te`h3#i7+kL)#;Pvwb;gp{g@Htr2nffyVjjH+dz zD-jv$-;CK4t#oq>c(u373>uXGIH=oiSaY~u^35j99S$ig(ieJn`zTl3CI(mc2OBW- zywa}jpZD3R{xevRp_>`8AZ7ss3%x|7Ar2qHtA4@FL&Kl0Du2m|A1srV6#9nVc`dH< zu)AhxO7lZ)tt_m9P!#_8p&dB;u6+Drie>!}8}l7P?r68Ibartii@tT`r78tIG~GAN zXEU0gB5{8nt!f(X6t#Yk%mTv-Jo9qbwBEnBK8sW+X>*e%{f+Ya1XCt8#uV%DN_8(; zr|FnW`b4xMFQwx{&05j$$A4`5rS<}z=e$7_%Y9CTXjJ@`HT@$lZ}3S-;V8b78?;`@ zNsXFRkJ_l@1j<+ZKJ=Ez?BZPmJkKF^)J#5X2IPMQMvc&XyltQ^sug-C@c(|QN43%h zJZzu>A0N0TuXWeKYbO4CRw@C7{T?=gAB9;4C6~u)nCNnjIZxU_V>LW!%m71Ub8mGy z2_=Dtztn$$)x|TIGXf5(UJ1-Q2xY$e+16zuFdqiUR7E^K5rG~&N%?Pq~l_rRP9&>h+sMg7Cd#C>SAV>?ENQV@8+~c;bQ2BM?M@GWs zH+;{Xcs;H?IA%@y?pZJ=_{nxKaG*l1LXODmx^~-YnluG_FtCbl@r@gR#LqBQ$jb9W z_I76Xby9WLv#zM@YILuLvj(RRbltI=9 zAzAy2Ig#&)oQySdUk6;IguRui;8wyTm<;E&@dn!K(9*ZBA~d}@$F^}&X;W(iA1Z&G zv~p7ETx%rc*zrkiU!KF>zIC>M{XaW96W;yu*KZ&XTN5X)k5bC2H3qJvXf&(kBA=Ra zKx7C-TJ-Y0S>JpY9=KSK+v(}@Y3HcPz~%$Y`^Ttq(d|`1erO#=5@?WQ`*|`>N@$()6_8seEkbx$VY5|~ zP%cW?WR{Y0;Y#bnoc3(rIp>^`e#N% z`c4=8&a=L~Udb4Md!3d8emiGXn%54++wvT(fgcIF=t{g(e(xP3@ z9$Arv*|Bt~!ib0NQf+#*{CvK2vzn)R$T2^oP%R*5_aL z#vcnsjps~r3Q$RL=bTu1=gB?rb)9TQm@~wfr#)0p%I*XTedN+fw2FQeTbex2S+Qi- zj_yBnQTwp{Tkdx**8SNAy^$~Tu{4A$ey!Z%u+|-x2f6WjU5wE&3pho>{BaYgZN}GR_w3eVF8#1{v{@9q_klE6_gCJ)1D~ zKmO3npQr9mI_9o6dtOsA6qUOFZRf&XV&5k!{+T0-`rvD-_ZVQzb-_xcFj~?iQ&p*- zHQ?-Q?^EB8<6GbW9fZaM@C1A9+kR8Xf_f~F8Vu_WRTBKjI+$n!PF2$MQKR1y!wA%` z8AM7gc;*2kp7J+=0VBu$blVaR+sOEqB&2%1q)qH5GsW;X0M5T>GQ?UA$NsI5kv!3( zBCiB~jkh6hN3{9q0R%1VIBqcrs$gX?lIpFO$Iw$o4cskAeh{;p_t#V0FGk8$wxe-2 z54F}7fqB{Q7wHqafEM(l z!-bv4fbuVI(%yx{HT@y{0lX~d!82`!@d#zgx*-IM9>h=m*Zhdl3k%H@w~5sh z1D!hq6*Zj;}`MqMs#x zJLsI-3o_yu`0y$1xwNK}L^~1*=ia{MC(qkLt*?R%z2#X4jNs07 z;4yZ*(eI8x@5`=4OW4E`hUO$sEV6437*81I^3T1Qc)BJDnQg%g!kWvY(FJL!#%T1Q z?)Oq~)P&T>0|ET5FBS=Esli-Xdzr;n(h|lHaMtHbmUnyMA4IuP@s8dCvchujTkQS+ zSjq<^l>np&?Dof6e5u)%TNKbXJm=d};M3WPBhW~7LY?*O@T)6Cu4HgRum}g(-8?gm zgR5g4*@CV=A8^)~z3?_jn2IxK13e>gH*2?0ZCbn39u%89-=Z98WKQNzqP}Vc z`mrnyVs@@>b%{DJ)+V*?*S$Wh(aF8J!KdAJS0l$N#<4&EJs06OZg{FAKYcWteag~( z{*n5I)ob9x{WsR_EI{8+IbfTks%v4w#Mopt^G&^czOvmh386P(Pxx;q)b+OLT_jHB z?MYFLW`7^F$^EyVy0rqI=CmQYN`Kv|sZOhH=>=3O-57gtqz24&12xVh2ME>=O~a9m z4X&Uum)ZWVA?>LhbI4OJQsG6)X=5IC`_!SU3NackOXN|;Pq~cHQ{A$THWXnR4&$nQ9 zc0ph|NFyfLl!gdG@jXi@3yK<>?~1H^<5;xxtZ@6m8oe_LIoZ~=k1@_)g0CtFy z-Jj?vepU9GY*68y1>l{Sc&|MLl{uYKzH1E~rcOUo0nxB}++@1}mTH2ROm3l;U{wsT zz#Bv@F+AWZ2`Yv+q(`2WDt)kzjMBqPreUeBtq6q+RGt{%D|$G2e#`*7%SmcC!~(^M zhRH3MTf{LMzezMqZK**Abuy6zV<6*9q%#JF|91Co#>YbkXH@AKGmweWCtosr!88OqS+_uutOut0c4J zopaL4?}si7MIFMs(SvF)FJJ<-^*UEpsC{ADdb5f%p0BjU`Pv<~K#{MsfAiij9d)^J z=5|q`4!e=&DAM;z?xy+uXYu_M$O8;>Z}_UOAEBje+6EUmRnYR_w(Go19gamZ~s z;_tRGISAx~{F0QyhM`luBmYy#_Ulo9cKY>72hvc>Pa`Y0YUluZz{Zg{cx;|4?_H5L z;6RUBtvmP$JWzI+dKXfClOF{oE{7%Iiko=8es|3 z%lT1x>+CE)b)kjfG+668qy@2#+$Iw2QmZ-h7jXfIdwPk$%u`a{@03f(z*zW4Fn+ru zZ~gss`01iEcFe}b1F)I5(@@kLB^d3wWN-r(QD|Xqh~N|it49pLjp<-k+9M}v+G#rE z=gYBvC)$ONWVh1b_oGrErD;;Qae zX>3ra)Q0zw>|QBpp?Po&mjrI8CGlx{uUPd;#w-js22lABgM%Cy3cGiv4RO*jE7T1T zFNC(Tq9csg%2-`2QUH=kjx8v~wQJlaumoyo|Je1@33W!KF76r%qS64l()BxO@yCZD zTXO)?OO!g;svPl>JeLYQu$aWEfWV0a9-*ixRRhQ@Y6Yl!RE%K&XHI@`StB!@!8%>n z50Cp+${oK0mmyO~AHoEu(~KT7^Avh_t7liMs#Nk^(jG?nohM?L;Ns5 zx)i9P9`eB-(X5^QWsmvLQuYLwSsW!=6iS)_x($_baFEG67X;C>inqGv(88Fx!Xi^C z`cml2?ZZ~ecpU<8xIrwQPllu{-l&r^JZ_j)Gtb6u)N9Yf7LQAkA)h6-8{!}UNWt_; zNz6vK&yFiO62SlgQU7uVD4YzxmxP2y4@RCYT>z)u3i#XBH}5klctt3X-2*2=2FcnE zGWyND;t9h_5^4Krfv@(=UU>~1nXj;{Z%AXxRkzbT%#tEzQiiANa)a)1owo36`Jq~h zJdLTn&Nb8pV>QO{#sv9BI3 z1i7__i=Ur|muUGMMstMp5mw0Qp@aF(Gm(U$dn?az^3I6S2CgF*c!ZfU&Dhi&;L3V9 z-msy`*Fb+-&fS#c6hQBg0zVC+N1Ha?(xeoTi*bV4?E|($>#47Y$d@T=M%g|>qyxeFdg|64>`3Cty&edDEtC2baGKHFWys(N zMX#LfzHgnQTqrmdo!WnRHk?+8xF^}GRx9LuV(F5UNC|@m4(L{)u;jBgv4GI^S($pc zl1BEXgvl@DxAmY8-TKs~g^U#7Tu593a3zc=#-rh&Z6Z6+QO(#=-`NC zh)ZzX?;8H1`}+*4U)VIX$k6beX}O*4;phB2q44nHBH(d^M2aIyrQvA(KLl%7+77d& zsMb6VBbOFKEy((L2nu%Ey;M}19g-cLrxc)=3gHhsH`Ke>s!VfMpa(tDRZU)CY%!nl8h zqZOWc6bO{#98z?6{1XUsW)4T_ zo~Cj4<)$MZA2Iv|Be#Z_!l|v9;|j|Qpq1LmfHkEqQfznu$RX}Fpk=>byxdv7k2Os1|P*6dQt(uT;Pr!Sm9Hehg)8!@?Vc67rC`&V7$c)FL)E~!LKXNYRsCLL; z|Kr*ZyG|hE7uddK)5P40+R3#(Hw!{_d=Qg4*Njq8fKP~lZ6I2kKyhfFTE8z7(hYC4 zZyA~LKEvlbHAhtKl;+6W0taP-P%93@&rrnFPyDv9&!{G`KZ&1Hj80kZrC|V_TeLq3 zptT`nDp`IR*4c9v+&1}cXCmUmt=)plEQEmj?UH8j*R9ft>b;2%8*BAWj_> z%mD9fU|hN`4{|0D5tbte@Bt^4qTU@$;lD}&l;d~L#)2u4QY4_=QoymT{p|5?7OI96 z>Fi8?i~#)7hNxZ3ByTrAN}@>G5;4w87#CUiH|njc6o}0d2E&s-2&_B{Q!$4WXJhDY z&6J{>)eETJSIIL&clLIXk``-JJPQ?AiQh#cX#5QeHPnW9e9Zd!0ElX%a!8TdBXX4| zf+>|iX?vtqFWEUBLXFJbAk~1CWG_%E!(p>^Xm+&%$c82z2}4Wh!a+;8W&6dW?J5Or zy(EjC_^W;xOi9~V(x9N7X!FM(2C4llR7@ql?kwZZvcpFH#iRP#HZ;0f#R<|FP#6+V z6St09W!y}=w&$TzfQ{x*d*^DIdqxEgH_0oE;X4zQzH>k?-mdW8^S zPP2_GgwtG_+H;!Pya~#=j+VUI3i$!nu=aS9P%eRl!KKHb zu1yVyHw2brx2Zd6vkhfD{Sg5+07xTiZ~zmrNxpa5&HesV&{2f`_Y$Nneyg@@@EX8N zC*$0LlkZ5l0T+@ndMzDl9fPsHeqF@eAkT@}?*y-04+KIZoo0tG1y&#aY>*8^{ zp`k}lz`(1g3!7(Ou#)5;!ve(myG5YNkJBg?R@V;`$JVWon67oqPCW)FTX^DAv0EB* z%dz^6MetCqw$?&@_C9p>K)z4`l(JZBO9kmCx^(WAQfSAfRBG7yU@I*P{`;2;+Ibn} zp5_aS+`CZ7B=S-2=0kx!4@~$#fxCYJO8?!aUgB$()0_G%p@l3Nqs7Mh`mvX*&8+Ta zNa@_WugmyG1}MxKAj6U`v0AzCtHF0^GWHNF`Ltd7V4>y*u-Gk#OEsUE=me zg#8`6S)Ok;re=i+=khh8_K#j`p<0%ux&}<2TPj{I+f=2W<^=OFL&T`b8@ffDY*vuHkYTVq=V#*Tk(0 wRN3@CC5RlHet)YxrBD#LdU-bQQq%#lV^H0P+T2B6!w*zSCTq}+!l~5%1M32`zyJUM literal 0 HcmV?d00001 diff --git a/Exp28_Decoder/db/YL_7SegmentDecoder.rtlv_sg_swap.cdb b/Exp28_Decoder/db/YL_7SegmentDecoder.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b45c6f5681b28f69065bab2db24571a4d4180725 GIT binary patch literal 880 zcmV-$1CRU>000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F7|000000055y00000 z003zL00000003|U00000004La>{U%q!axvR{5Br^_zlL37m5dsC;o>qq?SsE2#J7K z@BUJc9*l{f^}TMVZrfd?5fVTr8Fu&0?Cjf_of1V+w5pU^hJIpR$&Gut^*Yuf_i%p3 zo)72~ROVZ7z%mx`m67qOB9mILARuGC;8ZHbJm;r1@34ve%me2bV#)859Em;8pZ0fX z9v6PHFCW*nCYL2_l6Z{&M(0EXryuj~67duJq}Fn;Maw(iwkf

aT`zn1`x#*CdUN6=pEpYI)uJ0<-z@z1=xd|9e9yv!`6v zxN1YsWKuu+1LifwdyWC48=Rvb>u`Oj{s(8EnINf#IM(+Fw{l#cUrP$SX_GVxITu!V z^jx)yuRxfPG_q;Onrs++Y`Eqy%ne}RWw8Gzp`r2oKj=unx!=fvEWUhAhNKUs)7NRi`|7;(HKhJ@w9#Wf3X|=^WSA-DW`|k* z9CE`XE$3+e#`tNstK=^g{k!PWWcc?vGGjbB$V-oL(xb9u*;*BL=1sBYXb**5}$b|MPReXt8Pc_>TdfhcXXKg_GFElZJOIOJ&X4>@X8hI6=*%>e_ zo1)gIHk2+SY#A*)UMD~tJ1II(g~(@=QP@@q%b zS}<3^n%baD>i7xa&*GE&60SGSjv3@8j}INr&-93S@(ka0l!LEqS0!IGWp!d6t5X5k zH+l^IlM*bYz#BKyQoo=r*_ug1NN$>+Y>0^Q*Jk^FDOgOy8%n=^XnnRr3^M@E_mpxF86kUaoBuOjc96!nC8CUp6yR|XGLD6xl+BZ{)6yMa1PHa4k7 zZ{-UEhL6$iNSJQ-aYJv(^T#p+%*|SlC9RF+EAsW&b*m2LS&udDj{K_7G%p!9`!M1+ z)|omi#KM?Uza8)#U;4^4KAJ?i=ar+nX;||0-UUnFh6Fbs?{bERSmW?q?I(-6YMt?@jzoZkMTWy=T?k0r|1gUHpwlmuZ*%xprWM zXBF=e`7ws?kWa{Zy#_QZzZyyH3f@siKbqkWg@%lvwMx_J-9x5&=%d7AkqThJ%l*?!uC z_J!{01@if~gB*$6GxFme*br*4*2?GF3*C-$IVee<-Jxn&gK@XZ`dHctNutwL6E}@;Tx|x}Ei&RzMs^C1)b^J{NZ0ND|dO)FPRnMB>{03}A zwYTv%TCn2#_4-$rb8X6X!TAW78uGhL{iV;f&*uc^ePJ#BuGg=_I*GtKt-xxVVZ)Wf zippSGIe}@#XUOLTwwg7CX-J57&@%F2e6R(6pRY}WO^2NEb+)uQmK~D<%cuxxBT46| z;D^Czo{P=af^mfP9W^CmtRG8;_X{j5yemtI?PW2fF^yX~j0i%PK0ux^3UoPVQ9(z_ z4bO`A2&GybJF~`(`OU%DTlSO}HB~NUS>{d3zga%dKtjrOR!hG#gg@7{BT-!E9gI^U z<*wH_Sd$jKSVde|lWN`mKtc@%lV*MV`zPSH#7Q#?B*$~lBJsz8l;C@b9tY$MZG!b% zF_Gos$`zkXiGYNFKXdaP7kTzt_Sf#dE13SLA*`4rK7VCD)u*X`j}4-^x%;PdD2t+h}tHsaPm)%a}FhaNEkDJqeQHEQF*mBCgYdsAK9HTXDdL5G_q)UdpWTHC#8=KC(D3O1#oN(hUSu(m$FGb zKIlX)P;|25_+nvQ+bG$jMLhF1-?nOa--F^A!t5j;w%=rM)k05sud{@r zehvvXL*usdy-U7`NP(D=rF4*~s-0H=#6(cFvc`s~{@c3Ksow^xL)->SbQ+FK#Y-G} ziVnx46b%kcjrO&+NQG9FXQY)F_F>F#!_A!~ST-xlVpjW=sTxM#kK(RcW+SHwGZ?D* zOD44jRcrh|mh+Bms0n+hVKh8TQ_36XmTt{3eJpSP|0`-N(uF`I9`YC;ttGE3{H{;2 z+3ud`tgF&`o=&9>!vh|$c{}Szq%torje6DR0sk6x11LjnSd)_-|JX_^{9kaFG< zg`6W5 zu@zn$9VH(QX_ag0JRF$hwxlHihPN3BavvD|Cz)$}s3f#UHZ~sELMIv(8H)0%;jYGc zwW68Q*59Bz4CW{z`&uNb(qcWE-MVN|Zp>PFeU)m%g)F+C_Bbc1+S2E8o-52gcJl9% z(O6$DeJuJQ>u}iUS7C<6a8OU(sC9$7#gixS%UP8%z`O6EF0ZHV8lOJ&!+Nru)I>yG z_YPj)q#Qp8-Y3{qU{C11w}5DhD1@6_14XGT-4%0dK@kG))2o6JM(O+9c0hjKm4V0ZOE(w0D16HlfCo+Ij591%=DRcn>QUhiq@&x7cQwAu4sOL zVhYtrzKoKEXjSBdkE$1#n1RQ!lQb^3BzQO~3JChs49?yC#D6q$Fcu)gU*Sk;1WYZd z(0x%k%rhl2qJq98NI`1 z4f5+DDT{Y`Q2cGmTuSJUcnWa}@AJ_|&~~%Y>AtV_aBK=)Eme_nV_pbA(x}*ggXBlj zc#ZRe7JfoOg4=%I5x`2Ge;@uL0gX-~p;zpl;iJ8BLC`@m)AR~mritGCMO=)bw#LPK zYA=MsGgER&0~9?M3_Tdg_Bo6yDi(eT6)6QC`lyA4MUN&U zF$g~p8`C^_R^-Ie@*9d}>#Mfd`KJ6>xugr`110Z68{izWkm(AnG#b;Z41PVj4|Q6~ zh>nehruHajU!iW<%>yV}arDID7A*~8PNC6C<7Sge6+;xic6H$E}vqEq98^99F&gwa(P#De=igVcJeEBvYusU|C0?x$MeEr@&NaLeTJk zKyMn>c0j0-a!E z&o4gAa7IgC=X2J3J|j3_iJ!}%EkD4Wvj%sQK|{<=mT-ca8J&;MRgi-ckTMsy{Hyxd z7U!iu&OFi#m~0~*N|T42Ig-4;Fty5Bs*ZRGe^6b-H`IK_oe@>zZtozb>Zq++ar(fs zN7ffXmbP8Ww<_pv$a1h&(~P<`5sww`5ZS$57D?gR_0D0#5=yfEVW&ig3}i74an%gH z$T5XZ5l%fC4NK`sY6Uo(0ipS?4^=U77KP2GZG>sG>lx3C2VXZiuZH87W22^pFpMV- zFp^5^se72quXFBsifs&AiqsLSQT_O|8&D|GYTw0!AZ!QTW%j?y(j+1C3J-f&O~9sLwdgFNDh?J|JVREYXfx7?7a+cPQm1>TaK zRZ%j?f7;=je}n{|A%J_3hZm(atnaJ(QH^zmGOZ{gB(AwO_5bjdgQ>@MoX~U=se?_Y z=O%(J5`%ZY(93Q2V*|h~{18fZt^t_}0FtfY;*rdNH`|cq7yVH`Rj}kfMj;8+L_}Gx zQVrJk)ZK54Ordl@fFy@X*0%a9&KZeH$H>I5+380=rH9bEqNEFrhgn-Is}XO(jyQEm zs{#8&q7F_ERs&g2wDLx|GUjr(*!BdD;ESZ5GV1IkiFr7n>!)wu=!6S^BNMkyPiJLl z%kYm8F>oLSa@tUZHVQd&0<#B)$I!amLdF9MWYjH>O->}IqIg;JunR}2Z?sIm7{G8j zr#^L(0jI4Fm>5!3d{`HWP-7Iz5WvqnV=<5$NqAjWW5E|CM7ioR=+^nq35`Ee%}Kj{ zW^dA%4;h7@l5bY=OY`1byYOqMdvD40Yf&GtUsjzzM-mo5$sq!Oxg`V5b@MsyxlB(Q z+PRW>)PQ}F&wpM9|Ok=%mvb2Q(J?C$b*lOAnLrwwquC~xk9Zy#&pau z(_Kq>8^M^7W&CP*RkDJfU;+&qwiPOih$^N7neJ?HSQTZGzLyh%SVR5i*+&Bx$-!B&JvLF=? zr6%f7{J!JX9AVUR4Q%CL;iz6dB$ zxt1o_&`)4QK>7_R^YTjhJ(*G`Yxu{EuJbW|y5t2&M$VJ4-o~3)MLVsLc z5(V)#0<6a(>dGqh&~$|a>Z0f54E||^0w*e!J?!G8>jt3IRqMqKcm#H74h0zbKvr^d z4Nbpsnc5NOun?fVMp?tQ{${706wn@{Y}-#ggKs5TY2cyKVB79kkN~3A@%I5|xOc|8 zh{~m6^^h6amx#0(P0iaByK_nsa+A+&w3Nr(gM5@uT2kh~&J+oL2k5?`Z4Vu7+>PDC z1o53xjnEF1U(J+ZTYkCcE{9Ln$x6kV62mbOzzXXp!<;ad0BP2_0=kjq+z|Dsy^?=- z@n!ldBs1pl?&~W)Ts)yWXcpzQk%O=;;!+d{K<>aHajzxk8d_3I!`h(}4-g|Ok`XnDYaPpm*Tv zCpJlW>6MPSnhinS_TAh+`C^JXZSwb&x6QjH(-N(@j;?YLH^V)RZ@lYM^%}ASeU!#c z{$JyJ-Yd!N-H^GrT?>oOr=P^7a!&DMs|X^*cQPcZhB7fDW4veY4iSB8cSm< z5d1iloIaBCBLnX1bVYX*-t!dq8#8y;Pts<_6fpe$~ZhtE6&6DGplNNv-i%i zP@fF$eVQ8zIM#5zc&Zs9?&Hm4V|=Qj&8!Np@f4^8szbI0od+YV5N|84nwM+;Ekfam zP^s;XZQ#~|fX64JKXSltkWQ7!oSGu^FjIqP^^ zQjj6}6Kxv6Q#^otUKE5!axi1&=Oc&Uf9sex(L(xP?vZp7B6KmqOLE}qh2WnRtHkDf zsXw__rKFoBej)fJ+T}C-&_h`z9ol1AHU#nlM`E%USPdV5CoEen1flqWL%$1KNN+1G$9Cfdc6kbO%O`lb3CPDckR$UaJ#B0u(7IaS^w|k(_=eR zn#+o|(17Vvo6|eGh8t3&ul6#Hr$3s{;2=}ZFx(46NBLT4L zs(u&!)-&P}pS!?r374i7fcU*)QJu@KR`@Bx17>)?=*7W5QHb_`A<|2CGFBfgvPJ=!D&CCaIxgMa6lG= zZ1KNgf++-jZJ5D)l6N6sixwSOGr>WOK0%h8>)_|7wsCu2?;{AgsHJzVPZd=URNqn! zL+Kv>8iR<1T7I)x5YkB0^CegOUY;N~ZTvx>>l0;#_kvwmk$`50!#j~$l*R^yeU}Y1 zb(rthA*MO=adVcmwXr_WU*9m)sbQ3D0Ebd`I{C`J%UaB5X)qJfMPp+0l~7HTn(d-` zi$QWf9dW1(Ejf)w&L(UO^+QqLLpt?^hBFVcPJ#egK?J-dv*;Oe#U1aT2aL^4e*Tc3 zP~=2+;Z1!w?4=3EP!qugT8~k!Fgq+h2zm3-2(`)?0*E2vMo^kCxvVW;?m_oeS)s>3)f=aM*v-*OJ0n`;)b&?#C4z z!eu}{{LqQg06-FOJo6zEFa=$GM5E{TN3wE+^kc^-cxW}(p2BRtO7T&dcml{G6F_iX zI=lo^Tn7q{P%r8hBQo`4EqfH-oG<)fMA^TQ$RDIkbI6b?GZC}s{--hWP~Xy6s!n-< z^KOszGF|Hak9niLB3G|JMl64(dz`wU1je|;Dv9AvFlek*oruRXzW?Z4r0!0i&7IRW z{%``RLfO)U`S>!dnC?8>d;Kg)g^X5g7ti)!)`AwkL&Q!R5&YX9u+!7ViyliS^2EQ2 zn10SURHHDgiIS_@S+sZ!EyiPu>XdxTe-W0UpDeeENleJrx43ApC};!*yd_Ne=j!rU z^vSM1{*1543$e9yQ3d$vk}tmE%zqua6nqw(gXouXg3>q5!Y&L-mBfY+z^p%M>^&=7 zQ38~{sWkSO2bCq5W$!L1^DR45xwMy9Q^k{b^QDhQ&byv9)Z1ifKT~DbHJghP%?-%E zxqbgz-pT07QC^k*m1yFv2s?mjWJ~=#@*@cQHC28l@;bQtpW*mT(w(_W>sP zeNdA|0fjs+-3_5VMyCw;M$u>#HwLx@-=*+@ml0%&p=E?Nv|GQnzoyWZpWdAM%6|L( z=@=-H4$;vuE%r-~cpco)S9Gn2TjdKo5U@zzR(}c%?Qq&}A0KZG|5|H%BXGJxhU}lc zkOL56gKw_IY!)FNicJImhf2Ld6>(mzcpPnMIz#%LNNq=R_6y8FF0h4ekX!~0XXZB_ zAV30Yry-%yoOknVM}tI-QrV0hl8s!*8VmRZDjDM97p26g89=NgE-MCtS{eQv39sob z?AW4v3|lFUMBs(BOqUO-lsIF|LG@ckt-=L=$|bW}zIuh@pb&xV_+CQCJernp^ras5xpcao z*THdq&&Y@9KYC*=u^4jNFbhoBAf4dcbqJ>pT{DOy?`!;VcvT-?!KFXEb#dml=^ED0 zaB%#`(twBY1}U)k-Tm|0p0(Hq!M6)c-aAEsT1-h#4S~S*e1qa#PC8Q z>(qlkh;YBKLqCk$PaIa$vq;F?R)i|pPW7VduHTleuS=V{Uq&IU>5ER zeq5)0Mg3|DU(6#7Z#-qyw9%C99I+$Wmhn3h%wsi|sX)fj+B9qfl-JAR?BR^F0y1(^ z*SPeyJh$^+k3D{`;urykDYBxaFQlHM8@n#0Gb*>^HI8_F6Z!E+Yx=#4KL(hU5r$

^ZDxXb2O}_Yz#Cdvc2;SkPwxpS_s4*(XQTnb&sCTP^#-3mQ zjb1(JSK*%SjBrf3oMZtLsgAC4}d`RL%P zJ(D!;7WLvMmr;^#o3qZon|yeJ2KCd4e)JS z{xxLgx`fX2qxvQc<7&%9W#!>}AQSAyR+AR@!)FBe|KC%NGXOrssTd_6pSR$`19 zN~@V?w9+VbMIqwPiE+CiG9B|kj1ji!&@H+BV3uc*d-@Jc(TkV!zVwXD+Sh@v^9@c1GTYUCJ21En_l!5^tf&mNgl$l%d)thSe-r<~KWg@P_Cg}3ih-0ha7vH|5 z^)VE0pUdz^Uf~GI;IdHPw@63UObxM+6XyL&Eh7b_) zZu>z#qMzvs3>~+?uMPLxm^th>#YW!p7mSzH(q=BBB&F18pMcl2kgB46eX$@;Xse0@gR&SJ}FTAN0k<2{SB4?MIyP(18-vRQ-%t%soj ztbJ`8A0D4Eir6sK)qLmK?-sq487B}i?p(NWGxY!P<9#PvTJhO>f)FD` zur%*-ICL|MPSiJO@DkHM0~Ky8`>?`F^;wB%9pQH=It&JWo8cJD<}rgP%0n{_`YXSp z%kVFx?J4fN@Y|AEGLNE&F}`d|R8S`z1VPupB8Zpxh0w(+WkwMMK1x^M@vVvdDx8$Z zYOw&Gaqft|T6D;|sPy@B|G8HCv^w^g>*62pSj%SeP(5Qy!ShxGU?W*k-VRx)CpR1= z_|I77^BzD-<4>C(_3Bm`+BUcx`fQHb=Qze5iaJ_Ecei{%36J_-$$l>9o2=>H+U$!xHvTnR$6n<~a=NaG1cjTCCW*UbH_I2@jgL4&X=lfvf@hlwD|#7cJ1Kue2Ffdu z9W`Fv9*pnDufVr<)vw{)qt#xtBkVfVn_#~xUO&om-$v{W#E$0-l%tb&qUGK@+axxF z@__UfxUt4}TWo9ni_fi&KES5EMlN=SIowiT;F7W8IeCpD6`x2-q=u7sy@2v%>2ETy zvFN~BIy!x5&tOt&S+&5Z_jx%1V$QHSL#UNVhrJ3*Z_l(9!$E)2NI}?v3vW1`?w=bv zZORBWx6poJuEW^DBJW3`yGiEqV#_~|3x1s?)-ukyW*K{lha$*t@A!v}f9(lQO;80G zT5!&g?K;-On=$2G83oX8+grs?L}98eCsBLIObh~?$?~4px&kG!d|+*InW zi&4s%Uy2)JAnW9m1uwnREp5x;8zs9sQZi}9a?n@GuuQF5|A;lcoCo^m*&n)&i#hrP z9K$`Tju))5F#dRZ)vm=)BeL@)N$p?xaImIzYv-y92TB?}a)~-M+5030qYY_iGy(qo zZvznIO;B3?VE4=x{wykHZI)P^KkqJ)7A|;#UgD(_7t-GUe?mRhc?}fr$Yz&T(%fi&fi8^YjI|_EpzA&Gm&}^lsu+&pSw#WlEG@@^ zoj;Q#LTxdZ;HX-MUL|4t$x;m_B`)Mm92$0POkZdRfKwP;*{my1@`n5s>TJI;5 zeKf%J6Vxv8UBx3%elxuiS?=9A-j+T=d^_IYO;lL;59b+59$xAf9_+V49d^M_A>=Vk zoh{yj2+`}_)hrM1GpVsB$xCnzNpku8NO3^(G6v&zTRH;RYQkukp4VCjNgPq&7_C z{1*=+Zw$-q0e??vAgKYc=`C45TcwC+=K{fQ{0;X>eRA|(M)E~`Uio)mAT*UH1P}h!v3zQtQ1MBThrry zyI?Z{b9-iN8Qt_+_?!f{=7e)SgO8hxxcUjoKxKui}CzXM;6pJHTXru${1^FD9+zA!lHqA zyVUd{7lOagmCQBFyZXH2jQZrACq*Pp^?P_jDaC1-5h(QVo@vg{iyB&+Oy0DoU3>&P zk-I|wBQz*tn@17Ct}!8QHFGTGRXbvjitNaUO3uUsH-!P_^5MPg_@g<&JkG!evO}at z`SG@18UxzdCQ05#-YY-IK39mF?U~$yjhYUOPQo^`p`VjtYR4~V%azD=e=YCF(N+v& zVZN%*lKjH0xpCm?+=gZ3)b*L7x)Q2)a|8$csiIZuGQ=2jflV0ve8sPwc;X$iZKB|E zVCUiJ{-KbMtiMi3fkZ8UwvDV0>!)kcLPN{)HcaA461ptW&4%%bFAwG%`Wx4 zFD+S7-#XQmH$Gp_lw8ogO7eHPbBiJ@=|086nt%Ft8Pq=C7KC_s+)Nj-yLYw|7e22_ z+x%QV_KEcyVI4bjc;DC|Cn_{?sF~s&fNqhUJr*GHu5sKm1duZx5Qu%<+qU=AE8-dw z2!`_?3<^v~dg~;3h9XW5P261(5sHF*dqwVoU~LEAqD%4VDrl|E&MJ6OcbRK=(HF@e zpJ=u!RX-M0aAJHa3-0&*+$LooI&RKAwKTfz->0Hnqh#2v>GAT=zI5*UWA8w*nRbs8 zzsAK|cd|I%6&6q4=PcgF>m&ySYr4?H=U0}Ynbdj;N7<2Ugl(9_qL#|YTg3!YQsYl`5IbgsR1^9?^Opim zN*ZMYW-bhlH?p7X=y4`e-1!GRi{&pvZ=gMQ#_lH|WO zDej4sZ5|N^4%(iHEt;+g+&akKKg%VHM?~m@13lotY{A6{H7{trJ-oewgg>F+ni4tz zvS;Xbql|x0H=N;Gj66RU66nlTYL6~T?husK>D7{G)32GSt@Av`^=kr1L<$43!u`DD zit}^zHzOp#G|yf-g&nP*Mn#gAO=O*Y^75c|IpAr?`$llA^RmQnvM0ufUaSQcy4J@4P`+$)_i0l}J= zUS$v=kKoZg+4z6kb#CgBYE7EKOaePZ!Hi@(%&mr?IDMfAs(MEJ@7$t-0@5f(E{VaK zF~D!ZM^M2(NwsoCz+=Us7yQ`*TXxDVn@4;uZY@6#&sS;zxKB`kr54KS-?Ue}F75)r zSrze@1>?Q8r7&MHrVb`xQqNE5_jnNY^S#N>=amv_Kf!&)y}2|KfI7CH@6^@rzP8EB zUR+-M_5E$jJdJm;N+?45U|F&>BlZ+Xc)wB2mS7a246e%Z5ZF211BPY&-KRJx+;}gU z8h~1wB}@E1280>mNgE6}pnT|4A1PG?h5ZpB60E=mAWiq|IYpkq`zu4D;$?4)_syqW z9sw)>7L>d69oM!p$ew~30^{GFYPHEi#d&2NdK8U4Z)lv@(a4j3d_t800WdJ2QnuMn$+{|>46l5Q)Q)(Xa94kexnI3pOTufwJMDmrIOLw&N;sBwFT|+! z!xmC{?)GN()U3cu_w?ihp{yiC0Qx1_UXCBHeuPf4N^1qQ`%zKu-BvBmMf??+4Hy@fq+)^hVtf{kQ8->ji!g0UPr;07RHTEcP4rs&r1|oAw$sRrB;)##! zW`7H%GCGtsR|u?kW=Z|vw{k59<6~vu9vDTReG4TyCenQ*CPF8ui4ZWm1)buFlQ*sTyExL# zL)6V@sU)=@pl8 zYR)MaOs`JuH+Z>)XYey2cHR~2$u8+u^X#g=Yl^*#*LU~_dE?zLESnxF`z*dAd9KQp z!eL)E(-*ZR_htsNa~tacHI6!*#L|5`{tr-ow(c} zv8A>0WK_nDq50$SPk8p1U#%tFcvWvW?>jQ`qfAv#Y68xjyaLG&X5K>xhawz(k#rWF zYi8OEL8=U|rS7xtcGj3UB9O!)LCSK%uc3q>DZv7t_hreS=|+-;nu-LVpUF$}LB;u3 zW0k-4v4#Js^GmkIzt2Qh&qg2!z_Uc(j4W=evV=2f{2N@FUf}kI$v1UH6$77XfH?Mc z;n|`SzA2-s({ZHmj=vH<{`da(GC$S^y>3k8e_fZhT8t8{Ku*BGR&9w(5X{fM;$aip z!(PR^&sY+kE6|rIR!2OgnIohRssLB=gQ>~(%UUflNdo>_55smOfRF^H9}nb0;bsfZ z1a@vR@RHJr#_LlOeVi605N+?Iv5;YTp}DujDWwK!5|uN)#kvE%6-HDp7kifmASV16 z5?iAFAoo;!3ff6XrMXTwV+XnWV|B zcn?5K7rCl`{^Yz-&^Sj$^i#muSs275d_s66j=uyb5Pn~;{I5s~`BbE+aO9jEONz5+ zEO7!=$Sa1>f_yW2wZf4-c&Y6~H$~~@`MPgCKLi@$j*cy2zr|#QAa$=L(U40e@Hvd5 z*OFR+vrpZc#kw1$KfsXO8%<`hjsZRon+beXD>|FS`dlnK!cO>v(5;z3SsyedRYRWs zDQ&N0bv6@-b<}Y&PpgRXd0ICUc!jAKZRfK-GGUn8fo1 z{o1v#L~>%1B+*-OR&=cc(aw>x;phx!YH0}PxjLNaYmuu_4bZdBheP3UHzUNk%zP|q z{Ok_?;qYlC#aRWYCWAL_b&*-nU!eW^5O`xr@Wuh)4U=V-vZtM;M4Pe3smBIrNry8O zj)(Y>K7b{)^lPk74)JrIM7KpN1W&2P@Gp^W5I)hxapv9fΜt1f7%FRnU6ijg{kb z!wKPO$A?cSVp*x}JmcYT252*fGZfBpvUnf0)0untFynf5v{Lj|+qagVF)0LFYW&Xi z%SOUirSfk9P`RJq&=hB5kZ#ZAsyMjwa{^Fd+ijo|A10(C#=Y!P0`YO(@_eSmA2b6$4r zWCkm7xRO=30(Gggl=oRd+kKL&A$bH8+isJ^<(RwXxjwcIVmJjo?#|ZWl^S+;N)^JD zZ})t#U4E~tAw#&w)d>VARP#<$rn02b@>$3o-%=}ns$oH^aO;^u`0EL7yqr|C%s?aR zJk~Im{=D5r9PTwiYc5|bGBnj0Yl+zbXE`HjasCZJa6NY-;Jm4pb80)$>X2U}=R2P; z!-feT5vl(1{jmYsH1+`uXDImbAfML_eSkBg4~4sMt~=*VIObN23{hQED$Qkt2ro%$ z*H57(;z_J5=7}zFeZT(tSL#)Q_Xqp_N&VAoeeh0>$ee0r7l#Ek!NJ*PiS?AT+;;~e z^;pU|1k|}+pHc<>r*$SztGim1hdU0^Umw(3Nj)}mtPlPxHpCJ`+7?J$-jq(q>{xIe;H#HWEKa}l?dS>N%>eG+oQE?Kp?9t1FfKRT0o|88^EU!ZnVMYM9#2dmTYT+|}?^SnEF)q~i{OdEx9D+zW9# zSJ}Bf{(XmnJ{!F%c5JS$c0`@R;Z!g65;Gds^e{e6vU!4_1yFvW?5B$?) zFT?_la|k;_{HzQbz8~V}M#o1-;(303)%lqSE&VR|=WvjYJ17=}_`$s*H-UdJe&nlI zlB)RG(AibDSL7vUR{?uGrev>(YGkdH zC)(HL;6Ur!g5qnfdw&7`*#u+>W|})hp8yTN?_>>yy8vfa$ETnVfqz~E|6Jjy@LVI_ ziQ#bg+wR~W+)wg0r}F?wA6ru8pZe8U?c<9Z{7@L4)`%U7*i=4LztDx|Z0GFJm1e{LAj4@^)?I_}%~Kh6t7Q++GNIw>+WapJ7)$p@+%U6Yb7&zDOYs&5N zyCbSNHA}fN?iTFF2}C3en%gYgmVK5d%{yK3mm6 z2Iw|dmi0S6DOEvxI4YuJSo8`kXsK3= z;o2tGc`v7P2>!gL5cYKKJHO%RYS96D3+t0|<{cezZL5;LBiMB#l;z&-_W5V&Pt{Vr zaSCT@s&n^t`yA`WAVXn8H@>Lb?Xwx@U{o^JQIhXDWGnFDg%BHCLTs$+N*sUn3A`~c zc;g_5js2M_^;ifKAU2kBINX|pH$LIwkiR+yb`iwJT;>YCYT4=UeNvaGH{LjVpJs)U zl7vr;4LRe^lKMxAg+#AF1rmashHXh8ylavFrxDI?$3z5yD$W*eY(=yrPof_GR1F0tIC2$8CCea5SVkOqQl*NI!G?q6`dk-DD!XiT z(ja`o73-8zg)k>jNgp7^AsPTGSwhTl-WB=CMRk_-O2ILRo_;2Gk_3mpET%Uth<;bm zfXZiKyXC7w9*$h)fkJqKvy~L`QEpEyDdg*R%U6Z03w)%%YG{gcu-&$({s(37V}SD; zfHc`>^-=@Q*8peSKQ;mQNPokU+9e}#OA1*MY@go{_^LST1LP5)!g>Qsw{XtmM@~Bw z<^mO2T2gWxdu}*YUKykb6~6l~e5;g87q~zwSq7Oo%CerTmiyV4Wj^bJjTRiUz1L+q z)u*66qjbyV5I&hWUnBE2)-KoZeU7Rq^D*Tdf($fM2!B~4@-bNiXU-&|nOddu566eQ zBw0N<(X+Y+=2Bh+~{X804R+J_Y?1_$=&j@PrVe^_|X%+nKtZ z6`1eR%VmNxwWE@Uxy~L^m+$h{p->5~aOSVz0Uz1(mU0eZ4u?~BF4px-C0r#5=b}7w zPw(5?juAD{@lg(`KE_k8>Yw@IKt=FWx;#y(bY9D7l}dI@=2(<(&cPJp)iP!N(3TF`S4&p^E1&~^l=M^$m4|Y5$2rQMdbU&1-&QfB&nsBL;LPN}TtqAF;9C0)@nTJ!`8OZccq7Ng z2Oy$kUx6i!gw^bpuL?mN920E|RBHeP=aH~9p{$*O56T(~INJjRIpZqcmOv%FZcFNo z-R+jI3fTo9sNwhqIG+I=SuM4svXIZG4S}zUgM84g9e`?^2$Aw5drC$e)PDyq}jt;S3F;EAkf#d(Q}>JPx# z&it%!P6Ez&PU&&L*^jn#Z$_@+1K=@xUP*5Psc++qQoSLgeoG3mJGnN8EDi0cojh6b zk;pXe%9)$ovduM|5PYpw(6vDIB2XR44AOWm=%|Qema1qG$(3|pv+;L5I9gI1=D?wl^VyWEi5y2_Lm+}DvO?I72Vf}< zlT1ZEr?Cd9^tv-GACEc~jC2t%Lk#H+pn(I7QZ6ugOBz{8JpBEld z2(JOzEnhXpaE!kN;K+^zOG<^KV_Z?i(fXBTouizT&je%3SB2oHe@DO>3lOA2>Au%Z zgk$PyJ6CW3*o8W81vqHwhJYh2wI%iQ2JyBkO`a-*z4>U5oC;<2Zmwx<6U|rE`5uU$ zO#laT5PdinsBi`bOA5x}dcX%~mUaakglq>mST0b*oq*~{fQ$zS^6BPWlZli33SmB& z8F-B693lWPVKUDu}GimW=qH`gw(jBT#`<&ke%g zGG?goFh~gaX7v3K8_O_yF8ylA3uzg1oIzfR5IK@mLwpP1V11n6AY@(0L7YWDmg`Jo zrLyo;$;$!f=EO%zWoHfxFNJhAKaaD`E17yN&bx8VpL=W{$>x|;`j&AuM}PuRD^se1 zF6Pq?Eh*LCz|x&L=yM#uqn>CP$gjysF;LFCJ?r^A4!JW6YbhFvJk{BskvLGEm6uw< z@0Lal1*)=yKIJnM){Oo!96qhr*%iV(F)|IOpwIIVNbU?0!qF@sg%FK{x=!BT$#GV5 zrw`TTh3Ravhglm=5^A!7G=)4S8s+`-3IEPs~|5Hf~b>IUo5GA z4styZqCH`T;Xden-369P$xU@G$a)}^52YrNJJCR-4~3nus6 zkoTB5s-fWDpy58G!{L6E^H@Pkh}f7k68yeX-a`dGlpaw|B{f^_!&!mq&roOj*1Y-} zqi|P!nzUAzLAkipSxRK5>{gtcuK9{xMis)^u7CRBm7en9{g6QrtRVVu%$*8?b6=Vp`d{-MAx%zKe*1j6$9SIuvrB!bd74A^ zhFOR&aP$g}=^VnEf6MvkslTSB>Rg|vInItSgU8|H^4-@q{oM$8esRz_k8$zJ>iOwm zH$tB8Ty10;R__H=G1kv_^_i9?srYBad{1?*#^wSQyFxe+Mu@n31n0*Nir%QArO7Uo z-tjq$ElDk{J30AImxBd;5UB2QI8FHU5~s6WDCp;I`KP}NMdM8CgfT8!>UQABnp7H@ zMB{<#62O^xcA>DbWzyQ_S=X;4TEr0es$NY15hDIGsN(n*mcMoZI0Ul(3;ka13_8y5 zG(jzW9t0d2{pET3GvF*k^BiXz;N!mwT(r*i5lZ#g%(_buPoMU?EDBnXy^#8lcc(kA z)}3PQ`ScR!X^t_`kku+xvE9evcumwa-~VD#}ub-mmr?1 zZ6}FY%=49ZXQh1bOt89Lg15fl>)y+SWlU;??d}txLU0G*Ua&U|ag2|ZFaFkHlw+Jj zkQ2oXsIsoGTfQnp_L$|CzAM%M#+lEi1AS%#oCVeSC4c4o-3H$H8R&zS{ucIz-2ytx z&OJ${GtYTC4MP|Yy9DuD>bPI@N|v7c=ber-(bvKDr@;1`Rl)MV`sX5m+zyas!1KQb zoF7Fb=-GC~+UZ333H_m=hIZZ3K{UFHGmmm_F>=(ryl9yRV@U<#~22=>{ z1>FTA0dbn_7kw{80@hJjC(H}}IRf;-I^n(G!waBXTxy25ywRjim<)A-#B-j5SSO5e zR)laU)Cq?;93tE~d@)1<)(Kw)+y4!=-vqW_2oT&kJO(`fE1)_*LWZjoHh@T|*C+R? z?~BeXJBN4X*VxRBS_c2dBOtL|paKbDJ-1p>(1mWbtDqAZFZCGjXXEuROUk*)&b@14 z<@)N#S$L^F?{+c9-;0D%o1CqYTX7`Qr;xfYr|-#wz0?Z+4g&KL$pTd?{uChsRei34 zvNH$cqEWQ^ z#jfP)H-A%|L$LjaCX2tB2=xZ;Ddh#+R#NF#MCrzdXk}P=JQLzs&eO~F>1@aE>Y&eW zpzgK(Hln!d+~4u5nJC`q@A$QVj`8fu4!3#`t4Ywsb~)LE5@T$T+2#>$B~Ik2tp1K) ztp(H@xa0SKuAix2kj%ZhyX!d=3TkPsf?zZ9n^zhII=c&7^A=2pnt1Sy2*eG$iWE=a!QC3h9X*6|I3I)|`Nq^NKv zInF$9<8nx{sSQ4Cq7LGOvRH@blD!&7n_a{JKivgu?D%%%kS#oC(erMm6rV!R)sW}dw|I8c8 zvKmRxff0R;b7ho4h~6d$F^OF~i*C1kRZHjMU%7OF3q(+ria%qRN$2n9%0o^jOKTE^ z8I`s&o&}s_z!7myO$<}c*F%r`V3>1|y>q$ryBLyN`XD2u-Y96p zNL5+NTV+ce;U&_C!jF^Agh2GI!<;j|@icGbYMGA!^wS69l zL;n>#qEn&vyVL1RvrD$n^f~^W)+9u?K%ZnXl;u-gFNIqNp!#QgmqCPStd?TqztKTNL*rA~ zWRR+FV~{8$UWSu}oKtU9oCT^r6s9SaeyPErf|CRWg9U;*^R|nMdGyb!!XzfudB22G zA)jYRDm?_hE05@WI^?#{=iXSeM)zn@2tZ6@3bl1})WRDA+uS;S7}Ld8T%S z@=Kj5gv!(JbBNu^Rc)__q|(vPiIYd*W85oQ$XOs}S3Ax~m2z%JmEz3Uu_2bI4}ENe zR%{rKp7omBj{W)1Fhc5i{<@!$@Kt4<01!Mi$aq)|^4eC+PazgQA;?^}+!@Y5`Ta_P zNK%~J8AqO1kTK;`{UtFjDDb>21dFh2IQ;?wSX9EPcU>`t!DA^ZZC)6nLc zDn7R+td;W?067QvNV}8!$J(9Bt3G!^I0&fZeNRgwUBB-jJd6*ovGQGtLv$HHFrtnI z9OEI^<~~HK$D?+YhMmRgCBWy;5n>vKz{9T*WA#j+^0Q(3epBvMKf}rU-lHs&o?31m ztN(_GVNQuxg-5PoXR*3n^hT9CPlA88jS3si0AZ|t6sQ(7Gx@5q$^&s%zETh9@4Fet z2o;hH5Mvxp1RSG~YsypM%^`Co9TlZYI=O8*i(RIHQgL^~LBw}C&ij&vPpPhgUdro0 zl_(hXK^*B~i#*FOqU(UOwL}%p86XRFo+lBnIxk{I@l_!SAVQE2`sWdl{&K=dIll#P z(DteMzJs(Erle~45cr2*{dYL$!U)KC$W{5siS!0lNJ12Gc&XpvoMI#`K1qm0s_y{K z#sHBovlvjNJOoCM*_Pol$7l04;Nif2{l`vAy==tLSN9O%3Db>56 zK1>Xau{v!d9M88&oEkO6T2%J?<(49jtTS3tZ(td;mRj%x2gj$ptEyI()b&-HB05{` zuY9~L9WUqlV0*f#Aw+$Mq*rM`wF09B+ip9_M<|u*RQ{D~==)IxtpTzYguOj?z}$|! zQ*23P;Tr3`!1Yswd(wu757)=6IZ*=ws zaZ`O#>1+bz>Ga4a^&yof0_Bj-V=VOs?@g`E!4zjoJeKOLksuJu_kw(B;=L5k~{PxFBf%6Rj`8-&91^9Vk@H0wBKi>wj4unYfEJ&XN{Cqy}Sq}I-6we<$ zEh*=FV61mC%pM%V7UWj=QBpyhJG=PwR~~ZYvQ~mR0Y}Fh1D_3fP?YmI5WMkypt_w| z=TyG~>2oK8YW2oCfO8r^76yI1H+Xg1MDtZSpU)AM`|v!M%LUB|oR>}}iImS=pwEuH zLCVA_&ZM{6y-Ba`4RQwX!L{RyArek!s@#f+32TvF(AQz~Hwi`xr-Jl90_3M4eQChi z6g>7SNMDvGzf4K>&)LA|UXb+%z&RNpYXN7IBUhERJw)au5D6c3xm?gMK-TA>oNkZ^ zQ(0qS*64>IJt2x3UJkN$OOTZFT~Hs&zRElj#yF~iHgFM6bOqE2NvKABWGB2Om3}>F zxTPWRRdLXYaUlH+h{MH!&)s0@Qhbx?vO;_-gyhw^QgTSB_}i}zCxlfQp68%HDU{zI1aH`h*`ku_bAaPaw0#l^inG$A z-mc}L~3@s#Y2oTvvZG@^1&QV_mk%0R=cLNPS51iLFK`l#@Zg2EFe${&a1~orH-77o2 zEva1#*ezcbat3IKweh}SJHESp3fR5`$ig}Nq_Tm6I3j%W5vn923y+oKo zus&QH>cdIKgs)1qG4uxK1OIFeR0~1ta0Aq1SVx@+`Xr2_J`bMO4T2zU&??|`0P7QE4!S67AjK|a0n^J7rn6KBMH=d5tGR)4)o16E@- zsScyZaJ@--l@{bm)%SDi!)~qX9l}iW{*PZlC}>H@iw{B>#ML+4lZ@>b_7j?{>-Cm% z-mm)s_@~Lb9-hQ2Ud{b1d@MpN zDbAF5EVZI;UC-MU!jVS8SFIrvKwC(ET~EEF9-9m`8~PA?jEIAf{<>Z|62FA~oR$>z z*GQ25x}N%}dFjSDyr)5gBb7lUheUcZjN(TE;cu+)pW&&7@3z~BLxg=coaI}Ku|?TW4R_?{rFK)wQQh?V6f=)PvRv z`*%->I_rU0k5Meq@-?+1LF_W={hdX5F58`4HFr9LOomz%`Sg1rX?@t=S)>s%B~kar z*;#a1Qk>LdKLf}w0dh(*^{-T&?JW8Xtn2*&cDy_U=XhKL(r*C`uK~#ZNheKp{s>54 z5q7*>0(=$#J{Q8yq8owgW`N+%BHY2XC0L55#Qz95-vyB4!P1#^2UnAmz%e$y3V!Zv z2iG3p=i4BjAA@+l45VYUTn%}OYg=o9^rax4aUU9;VCV*f({~B;M1WWOR zbByOZfzQcc>5RBjuHb6OOw$_a8@x^}JxYNJB!t70A)-JES_Ia}n(Tsm0p==k1;KPN z=|uj_A|g4T&G1y`fm!U{dU`VQ&8_fj*#$l8W_NiXHSE(n7-YQ+kZ$dQ`y#V8JJha+ zLDuKM(wzZEdS5x8qanWr4S}yJYe|4K*$+7ftmmHLqJ{Ss0?vWp=f8pU1Q0ds-G0b< zK<7PR?RYuxDz5K534G=R&o2p(J%MUgC@E8OKP2wx7=${(?<^|lYhc$WpqC;il3BiL z)IARSqc(zdE2EHWyI4IBIKK-@iqPhDf^t*-^1Gm`lF2W9x*hDGkP|RWC&l?aK#lJ8rf=<2a1J7eDpy(Sq2>uo&gQ(&uunw>cejBX}=phhNCv@Q6Fi_@KyCW68QWcY{&DTe*(6j z27Dd>$mt**$4&P)=)Hd*`jCDOgy=z#j-$W+etyjmjRc(YoZj~H-vGUoq)^6qUL9g= zM~H;)0?v&9!E=%qgMQuoK=nC*><7~2#A8e9`DbAKWzXfRIMWt_t0x+XcCT@8u7!6rNW{W}OmOMoX5f#7RSrz!zP^ZeTQ!8+~=%Wtr68bZxqnt%icmu z?c&*<%T;l>v+U{(#6bwIqan`t1k_xgOFGgg5f$xf0>M?YCRCl_OzxRonFGHH1vBDm1BNA3}eQ9lEy&oYVv05UP%+HR4Xr1NmIx_d0=TUh2VRv zXM)atU61IqVEeXEW1kPRD|f^C>3uJGeM~#Ks)kKkw8ZqbrJxC)-nESWJs>@A(5zKU zo3vjA_<03m(()L}YQh<7Y~-^W$m(-emo(48-ub!TGNyTvglgM50de{*qCDYaXt28le<4L?obJr zJ5BOyO71Q!eK@6Dn(MN4i7H-@KRItuWItP8O$#|+OutSjkjHuDQLZ0mXyps}lCfr7F-q<^)yIR4x^D)k82Wh9YzDK=R4(4iGAUs>pJFNfy!^5+K4`r zJCmmSQUoG@bMl|$Grwq)#K=wzsdD@$5$DkIYEkhpM@|)E{D_lq6u(@|PfRcUvYSAg z=w;k@X8b;P%{9%j-g;*Gi3h2FBzB(5B2r?fT|9{HO{1;Py51VhBXIRQ(6JlZMBhRN4zvw3nKq>femi9~9ZbQ}~IV zdS^Dv6XhqrI*$J;N@?>e zO4$W!IF()%j`&MPN8*{@Mx{uSKZ^nDzih*f(6|wsZjJg%PrUN=$(bLINN_5fDjbn= z2a6G#WVBr2oX?PoE8lPusq&}&U%QhhRXi*db-Ay+TFx!a7=ka*3KyZG&s>Zn`sDq+ zk1|BK>DyiMOa3GYZrk+xi|v?jec)4_75dGFF>eTvzkP5Lf*2HtG*>=^~-2Vv$T9tZmTwbv}Li+K}$&A5AFOQ5xO$} zlk3H;8b#u4G_7zi{3{wQ88y2p)2v^Hw4XGsTO)}QdDBknDCvDy?PSw2p>Pn~&NxVf z$ln%RxmII_`k8&%$v(AkhFe82op);KEG@$?%8`*@v6xaEYjecA@+V{5Dvtyr7$c2w zC68v~zbWG&;}hY~*;rR}m@*FH_ci#RT&vNB+^x%hIaIu|6Z=Y_`AXJo{HThel_KSE zBVx+~;U&NIy(0M~e=<`pUiob+X9Oy{*yJiS`pfRRsgbUjiw@TR)OiMbdL~=ppH}+6Gt$cJf##*qEx><5qbu-|PXhfJXO#Tb`2&MW zzE2s{^sj0cktXuxuiH@L&2Diu97?OlmDNzet=6stuO4^Gt*GZ)53QFr8r*u@rkrm* zhk9tezV*<04)xG_4)xG_4)xG_4)xGpaj54z8fd+~_0W30^|7q4H|DV0{j@PUx^1cd!B*{pIVSr&~00k5k#Thb45)}koTu|0`SJ^-G)m;Oa2oemK zc2!)F#ee}5NlD|bn3e31gA68upajY9Ti?@FPu<_W&E>gq<}!UhpT6fgzphi=U0vN> zUEO_7d2Y0^VdHFNocV1R|HU1Dv?ler#V#W#(;}Z-TI@G+IJy}G(&9w?*f^YN9FR_# zGr$YJ_Y6VlS%2!W|EDK2(9<0~q(iB1!l@QY?Q?em|2mu}zHJt2-m!vQQYG#zKqgB43-|O8*U+Ms!jAfKE=eOLUSMbd-1(ckHbP5Mh=HZ})mR|%kJ?njc zyGu>^y8VXcJ>3-^+*4qC2v({d-Z=eMda$HAquyR0iPaPaMYZ5Is`9_OvsWnM1 z-dZy9aeJ(N@)vy!EmmuctdDp*UCLs!onq%g!EV=V|NJHwSe1zmwJLd%k+mOMw7}T) zM)%AE);T>$+A`2$K)|0=la?6Jw6eHk7C|0HZvB^&a`1rj7M?#=CDQu%s>P7MgAE-n zCvd>BgIij5ky|)GQQ|?3T_+84o+u?7YgypFt@C-RnGAV~RhX3DKr~lu;L9KRgKy?~ z=nM%OzK+CIy=qv4pg-t1@Qbj5{Ywk2q!e-JLZJdFar`IjYLTGd>B<{u_=V2s>u-l@`aT^@3kMGD|NO%bOcU}W!~RsNOFIl<$x#3 z%4YESw)5Gq;}fWncC<5v!!?e+u|p%xlk6^MHOvP{g(q`X^Hfh#%j?>c=;or=TQJ)u zXR4LFI|sD9F()Lqj>~hnxoyxst4~^_v$v*hY=ePox%LXBX`tzIYCT zvcyGx*0XXFLo3O;+sB_i+tAdetsK=BH8xtxxwgx?KKE2B?i_19bOq+Y5@U*0g_I=LtHr)swSXMnh`#vWBmp2ba#5dorVJt&fl1l92-YV(9of+2a+O zeTvl**Pr%ki@VYE@v5KAZ&mu(=94RSQS&zzeW2A77H7{bJxrWkn5{$kb1>QYtWbUQ10+?QvX-l zwa|jT&;n>m19S@uTuCEoqxOhN)LuTmNXsa8Ghu^gsd$qnW2Ec#{Oj;>PpE` z_5^Dft4kgJ#hw6N#n9w)?-=`bPVmX^zK=3*1MyRx`FI=h@?w z^T2K_i_(9~et^E#&@BBKjzXL0P+GJ#>V9F3<>Y}?YBWvzv7zfk(9-AiOZ#S%8MMQ# z{UAyB*3Z1@I#JMm)07UrCSI2>90)_vkZ-Ohj}L7LEj5DpWu+Bg|}=k;0^si`$VD+JxauTMow{SNP4*4g92UL7UBl#jlo zpV{uh&M$e5)m+uzv00b!UEc!N@mxuP-^NpnFV-gcR$U+J4TENfK51LKD7F#(34gS= ziQ46$?cz0-5;b*>^m4Nu9SQAt>cc?Y8A%?7qeaIED_BXxHX@zl>|dVTd%xRL*hs{F zjDOv8Jp0Z8)>b^5u_Jr-SmFGELFt|-vF{#~Za>e4h4>D8mf!4sEWbh$plUZ_{RVB( z$jPV0IwKFeSjap~-Yl6C^X8_7odJG7Z3oYmRl6D2Bg>Rz6^VRh+W{%`R`9cGl zo+k2(FAY@B4Tg_~*>vXN5vSF?fWI(`!UI0&OG*97?nssJV&_)!6=>u=Z9QVxcLP5i zk;pTsF>*;!Tfek`ZZz#0tOdv_&PUD{Z^$eYGIjk2LDzQlK|y(!6SPa2{+F6W>R+=k zwWW@99``oQmtRVo20h*a*P`3#V@K=yTl%IzvGS45r$lD|>N5L`pkH+KjaBqeLBCX` zz0T1YJz8=TyC`%|d+e9dHWV$Z-h zFZ_7&L`UBv=y8r7*+VJQu~sw4Kg$G)pKiQS@;tG!3d!*eos`BUu6vUsxtF=*ej+s- z`%cPtqsZQqOSy3<(wX{lpq}G2)JUw3iLz#fcV|qx z=k~DNk@9dW!a@5@Pg&P8zvC!h1j!Q7R^iJmGf`%spuBB0(MuiOQ&4yO3BK{1XTdkV8#A7K>7jNp=9J7~do;i;d40?Jh_b*}VWx$or#9(#G0x1inC(enj;m8069 z)mKgyO}@x=H7gUfp|hR0nP@=qJQb-zi#>r%N`1ty%^khECmrG`F%-WRbX!NS=%LUY zYJt>F?xEDodiIa2p7Ghq5YUT+cIe0e&0$V^YLAwjZEkm3V)8Jt^Dt5%N0T>ao!VtW zR-dqX;(A%H_PE>50$1Ia{YppA725qB{bmoP{x`8Zt$)yonBR|`FC~o=aM`{}d)et3K7(EqQL|9lJqlxdg zCa;8~^hv8xu2=PHmOI8luIUrwF4}2+j|enoNMG%7KsV~W*wptBQMOi!Vq;AuIiRT< z*gvjnvF&0A=mkCf>;2&5Y6~({O45^&j!zJBeZxuL0{ZMxnuPPZO+jH6dws_&elNjqJrkU7xYDr{>nI& zoPmb#1^PsB4-!jAl-dEozn^HVD-oM0UNw;KN@_#BEbu$P0<^>V--#zoZS7cK(m>Ly zSSYeWXI^gw1N~Dct0?Iw4Jk4N8M)_v4Xea#F?Sjhyyp1xOUiJ)+tF1!6ohb>{hQpB z(E?JY^^nV{usT3~KQ+bjJI4f#d=HQ{nOMKkm6~`@;;V%=Q04H|app^_AfOktjDA)# z;u-9;exHFq&&7GOvZ4^^+Mar%)cHK)&sE3iMbke|KBv-p!c@%fbdxdp);a4=j$UNi zlKt(_@sB4DbJ|mdc6&$1lP|iyrKLjqShUt_$XR^`wWSPhZmS`T}woNgvfO-_}Rv%wQy|-Ss}IZkNP5f$ltr zb%O87IJ9xKj~YAQna)>ra%{fdC)dfb^L@z#$zdYoOB-6z$9d*d#mWU`nvoReV@$|dG-;HJ*kTgYx!LsOM2xiRf~Rl$4L1;G^l(>OZno= z;aJKylJwqVxk0vTSSY`cXLwtlY8NlG>{$yA*{4i34&g&xoIPsPW}LEI+~p7_J%{t5 zMV}P!dl`wl%IpmEFGg9)1Bzrp@%JWrm)(KlYf3auYO1MheiDrl zNPW!@I4z@4(#BZE4!lGkblOsPFD)>ZtCc5g0AoA9fGdm=-&i=uA`3# zx|E~OGQG|`JYg9jXUlddxuVV%SZMW$G;Z}?;F;WXn$3?BEpRoF`hR{sYVro9eU>=T z*b$pwmjb2j4&^`$XuZ$DW=Xzrw$m~=0HyZekh=olxss#Fp-bETaecRiEZgy2dmoI( zS2pvECr=*hwEr#~;_T>AJ(N6r&?VWVQ?x{-bCOG^Uh|34n=)3QKc(hD2%%M=)Xgyl zaw z%Ur6AJfQJA*T0~T(|A*5H2qCi5^91_#_G7+njam`k51U#e@cJ9i5aBKFGEsso-cb5 zXk)b1^L$8%=juC5(nf&;=g}gy@(UEZ02FOW^y`l1eimp#-=5C(LOGZ47CM|g1RVic zP_xh$1XOJ&5NKAhe_R*zXt~?KWBb{cKF4Y6J|ryqk_Y(i=(HCLy1S$5mw&aR=k;jG z^Q-L+PRRjuC#StgXm@t>LP6sk(3ye`J!Jsj*Et8i%bb!7FX}mh#(Sy0)I-TQUZ!%$k?jq>Lj+WDo z$cqwR<>~j9bLXdNr|9u!Zi}diK8zmRNNISA)YHeBJ?2d zG09NAk?+?C4qx}&y-xD{4M%mQ{!B+-Bea1k2l`fWmh&p|ixmU9k%g?Kn;qRk(5oCx zp9LI#<|u6>InYwzdyt^)?gjl9LI2aycL@3sN2fx5ts1&7t|O1MaX@39ohUR@WgbNd z$nS&pk9wO5^FH!>nf)uhJ9m^KTd%g|Vp~AecDam#O!_Soc$4y|d@MmOGUq0~I+ z7aToY&|f%uO|OQao#oHvjswsw9nJlop!oJk?NZ@zxG4^3esvyATG9Ru)u>lk3}`=g z)GkhYK`%9)9Qw5}(6Cn03IeUa6ZK8b_rH34$^A^bqZP1QYpcT`i)6R(Phh=6qIU%FBSrG;idx`2Sx zP^3xdB81)%kzS7a|`Y3FctufVWI+(kd*LF>T z*a}Ep4|bT4N&Id5AO0AZq!lgca-Ap*WNU9OE?RnAD0))qJ<}0s1Cc~U^Fixs;c5R4 zN~X#Z+E1K-P9>G*e^N&+K%U)bFB8y=;`6wF~Wt1 zW{0v;GkRC_K}k{>A~_fO^LwV01j|d)vuxP$dS=cd4~|Nr{onHFuf2sj|5Od=&q2b* zge!&K<+Y*UrPaT0wI?H@a*Wf&&UiA(%_XfYlqu5J()=ctO`|Cu3hPAxGa%(g3djcN ztpG#=uiy@TC$&WQ?7{Jl^K~MB*}~j-k&n85IIu860CUbgV$6YPEMc+g6`h&%BHdN_ zFAO?a_!;(xhR(!acKJOh_N=B>zMiFltFpQz=i1fBQQrR-IXB)uK_c4Z!&0j!^!QK0 z6CSD-)QNmvvFT{q>_fJo8|Bf`*7g#MV>s>hsGwG#aM~}W%3&^vYx0ZGn(2N2j|vk$ zV!@hpn^dWDexP9S31hX;2JxEQuEuRvD}%Od0y0p-+~737zQ3!)N{5VKf=A#|*cSb2 z<=>3|lrsM%{_#%xLZJlnMpVSN@gBFdMr+2ZMd)7w^^}jw@Y>g3e4wMd+LKgI!`mQph18?h#=fG4PYKfBu2=CE{89w=dn4x+2Mst|6E+J>`V_%_RXH(dV$ttiSHC0o+h z#pX_)ii@B>U=OoPTjD>sXkUk~6-$514=lQOR?~j~Imr}ej_tm8X`GPK+|?Qz{b4=` zF)TGkr);n58Jv^P0l)mSVKN$KVIU^*iQOu^_``P1wxVuOsxrK1})6 zmzjtpjb7Yxk0&3R*7G$HpZgmgFC1{I7DWmLpyNJBI57}@&#G}k`gR`?<~oG}n)<(I ze@%skk)Nia79TkdvzHAVZr$o#bUZroT-^+aBy%LVAO!bXY2yy(SP$mh$f2*qMG_vf z;zz&E(g+1K0^w?zvk>I~UZbOD2`;vjqYP&mk~ujPLl2djI%iueRTvK6r6^~;dPt+$ z`P7NaS_?DVTORs)R+l1vJ9_DNXzd9}vj*P3hA zp79STSMEGeiTo4jqCIz+1D@lQ|7#GbJUIXDGkRFPY)#V8E=MJ>LhfF;R7Ei}aOL@g zaSV@>o0$D)E?2KR2+4SJwvWba1PQ*d2j)Vy#av!2FUf|CXA!0W+qV(YD&jw)CKzk_ z=fzhabF%A+n;WUdYBE0y+eO!f}%3}?u}n)eeW zhM~J@A+Z__G`1pPTS_x_gt4DYIBsq%DQ?!)ACB4GMzGyM7Q)!fjBTw#vM25SLv#YH ztFeALzUAkBDs%(Ow>vd>TxpQGhYRm(n);*2CcI^tQsC`4ai4AqKAS&?_1kz$*?GNV zyIq8poWirYQ8qfhR^B_v&hZ|Zz^nT8gb*h1s)as-;#vFOl)%c7%zulkCuX>rARhl6 z9~%A4_+XG<#@)cPd9|kI?aID|FRY(;g&v@(Uc5dZToTtlx<^#jK4NBWrsg8EJ~>{d z)_-zmL_S_PFw{AvJlFq0I6wH zX{9-l$*u6&>2Io%ip#Nka@HC&|7@WNU9P9USHvafi=Mf|QTvc8#OVNfmi%4oIf$-6 zrPzE-_@^&XT~|V(V(oT(3)edJj`t`~qH6}A*Ieq7#EmyVS1uNWRi|Do&sbs+`RL{G z67yJZr|U%dn75y3h!$qVAs)S9YuttJnxK9=>tY$r>0{tJ;8Xwz^KuX69OPM&2jHdd z*o)shh}toGtCjy6#|=IlV%>Pv;fj)_56;kR$W#9Hkq#Mqp0exAd87EWo+WEza#7O% zDyxXlw4ar)_3zUX|KE>#+?pA)*g4=sfqvR;k+Y-5ZOq>#Yv(yE^xa7+vr++QHCEi; zZMQL&2d$l+Y)dqHcovt$k|ZmqiT8V(;=t-)o|kldc$Zf*q%Z%12L4IeU{mkdzqX|l zCH;Nx?jAk8gN~@+2_D#w2^)ofg_L9|tU`0tgSIBr20!xQ_o(B~i<-+Pxv`&Qb#nmV zO!2pQ%EdoYt>=k3@c*>mYtAF@sC_2C4I7D?K9+ThJMMV8@9Dxz($X#*F{_vi5Mox$ z%lw>!;la^m@Mo7PJp&s%_+i8E$R7z(dnJbe0^(6Hxu4+e2jQgxhw3I+U)AjgZGn!@ zN<~f2U}m(FLg$N{jPtPtkiNLhhHp9*Y=uGkkRM@ey6#KC zCY;iqd3(3Lk|#EU>y8jUf2S@$3dH$KlO$sw*i387GQ=w^NTt5IDugvmD&v!;Z4DV- zr{Ol`bRTDNbe{}!rBcBA=5L8GdfNROX#BRjZIW&(^66+W8B>(mgV=iZ!!H1fdk-ZryLphWis3U`;~p{;6qCdWuaf{cuh{;0_v# z%?UEqE(}Z3!fvV0zaSz?x7?_4zsR{+=G-2PZa{(J`-8ZP%yL(xPvwr(LldjnGyVI; za)_wD@Ce5-{s_H=5(qsSEyor6$qYxp4^5=t&<3Fty#@1cO>wwp=Q zw8%TJW=?^Z%LG8o#o~AhW$y|-Re*^gmGX7yoWS>vooKPfiouS7rN>P|KH88F+>x|4 zUW@=wl{=S^*m{#O%4P%bVL=SeXp7|1!62LLIgH`Vi&@Y17ot-;!t9-2Glf9{iR@2m_2pqx$NR6fq1zQ^ zAleoTurz?tX-&fHQQ~4B$M2T|QmS1N2BJaVcy@HDo^-i?k-3fAi*Nzw!_wsKS2@q1 zXK)d=c!-KEWpi2={7#dSP!Im7(r!cm-_l+frjG|0A7JO6&zo6Qa2M2VRh{MAl@}%& z1|oDn>4;d!rkj0q&d{@*Kdi|02(3!;FYmC3)ZKnPIL@2mp7}C=qpR|N&yls=nz_IW zt@2nw#l`k;OUEGJNe@Ma&L3|5OtKpJT_%sZEyLC&n6U3RdDuud`aai2iDfQk4sv1~-bub?TZ`a0X87Yz3^{(diQ9HNVHZrsSW7Et>`n&$QPx4;c%AgBa^b1p)5M~=53Pe)8MKuAr-yL>tf`umI|+VsNM=LJ13+l zt1cIjJcMGq8x9zP<UffDv z=vC}*jm(_ors`efgZo{zLh$DM%x7!~#VhdD+&4LK<*`{{pEMUiDKa-fhV`?ZPqeF~ zY+crP^u_ZZIZU7V@D#Uta}Hq)oEyEZk#d_^(QIQ9J#gp=;~!hM z9B`FK;3=FG&(h&r^oi$XKMtzU(PMW1!9C~`Q96z@T+B*Fjx$$ttJ!*HIm@OkjOx8S zrFWbrAE;Do)10=zw#_BlXgFXblbH9EhWVLtn6;bXUr(BTl^G}f+V}U+(Bbn(wv|OU zIvaaw5NShkYSu$8uN87wuOYK#*)d`_!_hDc>LJj4*?A+hl^dmn$xpQtA>7sWx#!QM z?ZfDggmv5ojEv){k-)cp79XERxw@Liu%fxui}q608DfM^UX-65>RNG=gBnTYwRs*~ayQ;fIR+#{%gyn)2I z7}ZU}M2zY-K_=#6Vf=rK7-s=IImN44H~%`duP^atc0ZRwKFapY8(F9e!Zf)>KN^#P zMD}UKIHpBi)xE&2ahuT%0}>@ZBBuQTNiW>`m1J?k*9$$M_MX7p>JP=caEE+`LPgsV zzeKNGR{ZL007CeNAI&Q2(YF4C$j;ghuf+n0A(YAo&Xv=p-kPE2*9Mi2>@>%W6p^)n z^1g_RzG0cjgd$4&wMRXQ#MW*BlgXlyrneuC3~ITSy_7BmD)UyjBKQfz+*p?G9d6VM zaG>wYlTiqfN}ba~;3{@;NVzbg_><|0iIO%3Rn$JZ^t>#kZL)AXe=43`E7mT|4tzOx z4>Lx7;ZD3=eHG6MiiVCbYIJtONK#B|cQ_F6>9g>q&NRQ8!|?060TTDu84Ib&O7iJ2!rLWF?=i4kVY5nCy8;_Dm$FOGNuqD>Ebf7ysW`-as zQ1M=%Q1||=nvu9YpJE@>``0u(Vbvq@fyV6=U7n;VIVQReg?K65Q920S3D5K6K6LfQ z_odhCf6bQOwD`@oXb;n)8RI1C?4^;5d9k~a)7$ji3pN-$011c61CaI5eQc#wjNJ<2 zlm&LoIytHynlb4u3=S*PRs6M#I9;g1Dy8eu36ue5U9XL_DwQqT%2 z?kTuJvi~YhQudysUV?&KShcAL z0)khzOvl)Wdh4Ba(0v2ZwW#9vRXBkQ-p2M@n6eYc?9O&*)hNLgKbWtzhlEB>Am zF2c5Tz+0-qm9%u0Rr$E8(WRM~_xPIKhn;p{4Z7L-!H!YB(YaT#!V=_%mG=|WL{$Wo z*?Dr4;`T;I<-=JpyK6P4DKQ$Syii)T^@ekX#^fMB)y|opqC@+$I!IU6KaPlz3by5FIBRYmGlK77@D zNy)t@3k+f`$wN#%Fl{K=$dz`K*vPR2jv*2;-sB`iD}Y9z5RFNB6uNj7KJGxE8gO! z^rE;av&^8&-}Pc%eq4>9=Oo5@7UnHO5=2F1{C;ii2ur=z@wo{d{6^*$|ElV=;M>X@ zMBXlDdse!5Ku7_UuXz3Ms~MBy{m-&&O-LS2glOv*Eh;ZH2p7_{Bav5LGC#gjy!0;? zmmJuRm9QPLvW#^UScUy)%HW_$E*24dZxC#ujLP z#c>I1>#Otn_nTM-35+wgiD;N&OYU|yH__vcB;49QC{L-bXTMcmIE`nv zjGe;&zMm;ZYK7aH(14n})sj1Q-v#co4)$nCQe`{;JZNUYoo@fxF%{o5J#Hn%KIJE+b;QXwcPpVuiC0XfJ zdN`E7LuC35FzrqV1P*-Y)em-KyK3epF#*Y}iU@9)CLL^E8u^Zd*s_B5H%8LR1hxQ& zFLc51q9IeLr5W@bW4Da3R2Xz9fm!+_$e6}SwL6wuMnjK#m|WWL7IZ!xWcN!Ksm4z6 z_*t8?W=d_by*ciLiYbP4K!1{;BW=FBJTe>&^>XNJ9%Wa1o$3@HO;<-1Xo`K4f8%xX zm(HF78ghj~a$w0>2@%7_%C8gJnHXHUJaHDQn9&tx#jq)mzEYZL$bE8!bMv>N=m?SZ z>%^^{Czj*Imv1(>q6b1&=Bv5As=p=fwpbTVELO#Xl)Nc4|k+t`|-}FVuEa2)kn?9LPJ)?M>NCJsI(66h00m~ zPXnSMYtu%o!8YPZrZb0$q!9&%vnI*=*S)U11YRzHP4S8;=U@rXa@XA+^#E8oSIIdN zZAMBONH#|6rXa6vNm(8VXv#tx>!UADfkkob3%crkQL(=axmDux;D%Gb>_c$|%H3Xj zj^pUKFM-mduGpQIZK5&cCvZFZL!7c1X14j)le#JR5Z^y7>q9@AOo99q`v)ejx%X6J zu1v5dk8b@0cEqkt-_FYOBd z?qjaB*MR=>c)zi*^^rcPw4=hdlyItg??z`iMel)1U(`RjgZ;EE`H7R5kl<8Ts>rxJ z*T-@tvaAayx%A)AM=3;lYdIZxN26^=himJ{?-fGr4>>o)Ebop*sYf7INzcZ%oOnVD zjh#a{*@s#g>hX>jQR~8vX}MiIiVw`Y!8~|9*HXnaH{_(s!Uu^lEyWeinY8<6IergU z)a`&vpTDY4>%K{zG9U+XVmtYCiWyRcSy&jSe=&hubz=QB?lwZ|uK zQ=}kx_oEY`Bh%-dS4{Y8xR48G;e2kk`AxY4``Hi>&YLkC6o4+fdkr$m5ZYPMS?`xR ztERK<%|m1H{Yb*OiOjjx`}Lbm1O4H3aiCMQPt4Cp^@a!K_n-GM8A z`!QI3^XzUSYH|0Y-ztAerJ;jVcct2Dpq`|^{WZR5p7J9 zzq^PhkeSz8Bw5j&3ifOFI%B5NcsiH&;I1;R^+>^Pi7+R%8|I*iKF&vDYzVZ6i|g3j zhKjY`+jk3mX{Tq;Mj3IlYQ81VPz5~i9gbkkQHJfVY`3g#fZW62&p*e1DN-PtDF?JN zsm_*MtGMiYt|hK{-UraC8BQ?ek%U!fcm^aNDk7z+uJMhsnQ~P?C{DZMi?C5 zV&bZa=sdR8?vU-UBY)_Ai?}dbV^`<2SBuDib_dX%sw@|BHAe28#C>0qH>}4?rtZni z47aDe`8=igoQcS)z2!1*4VLo5(NdzY;~{gKc!4Ir7rK3c%`>@E%QQbpCR6xl7MG6x zD)b;dcZ=Y;X%=FDHfbKSFR>-@j_h8IZGL*W_1SrL$!FTLBLqM{m1HXmAhReP}$*^Sh-1`YkXRxEF}a zrbP9RV<}OS<872KWIgw-JBda#{sqtu1tbbOJBe`|c7E96_cS;*vOKv5YAKQ6I39I{ z$%l04hUPDr&OgE8sYB{ud(1?^|F54MuVeQP4VTt77!F zJywO<-rBp0_3;ufH3vemaA`2_vCRX7w4X)k_aX<=;S{`sExP^${VemaA)2r*G|-n+KLQ zW{-MnrAQmzyAsfbwS3@WTl}nm-BHkt$|Rt#k7pn0iYzHOZ5N<#DExDq9p)Au#yL0u zIL&)j-;kE7jdz(iv-57kVf){*l)!x_Ei4`7lea zYsLBy9)KAOVvu{T7BKirxU~uICL?yf_iSUXy~#CKKPd%`^k(r$;*RLToXq!?6r}Pi zID!M!a+N*T5r8r42{+Pn6*Rp9__C#H(mkp12s_@h3ZVPvC@X5=@Ki%2+vjKIW_TUh zc-+FnT{=?9VD(L2?#@e~6Hn)(Es4=@n}qJlbqkMH)msg+-(;tZ=y^yv9`;kd$^1-a zKBSz=o$?)#2aSMDb#0=VZQvBuRlXz0mLACSC+UywaAL`Ce;};XlVra6tUrp7f@l-p z4sqIj`_4l*yj1-(1)3t=zC-a4@{1hJtcVEzPm}I3`+X%fg~rM&>8B42)jJgI-f8;b zDA3XEtM1C;Ub}>L`U>#Nc`B1t%>M=@d-LAzR1P@W_juTuv&flM%##dGBZ)^~vbn;a zVpzD(J?Pg4;|sIrbRUUd5Ucr%%PwAG{#MJ0wOZv9deE$o9u;O=tzrT=a~IJ&a*}Ur z=7+pGd<_5&z>bAJO#o>wcQ?bUGey6fQ>j+l)kP*o1w8#5lMcF9p78x6;lq zX+2Bi5}w&DtO=hdsSuI)i1xIu5OeSCz38O9k}0G4jSIYjZcy5q(0UzRLxaG zW7glJu#Z%%75XhO{N|J4xqhjZ27$k4kbqHJq`@7XNN1DdmINsX9~#QqW{YlTZsUe9 z!cQeW2d3(<5k1Vc>Fd1Cn?PXB+L>PNGDJ@*1 z3NXBh&)S?lo^XtGiuMSMcFBk#z$o-Rhc>PPdDB8|Y*p5~3t z7$vx&&IkB%rtj&B${&GXe9-xmgE`^#RnEPt@k53TYn;m}pLk1H=}mA~T$kPQ>6~l0 zd~nyHjXsx;4ONc&36xy-?~=-V9!T6l7+~8?+)SzO{ZsE^BIe=MN`!NYe1plclq? zQF)892m5@f)A)muFx}s@WO@$`d?MFg!1U2YUtz54{56xITk$73f?U&#PZsMNlzdl4 zzO}w17dR+R8I7tKDg2vLoYF7b1Et*J?-QQErx~)o#DU+|{Q~Zl_=rGWT zrU9Io;9X~swnK{>QwDD%#tx=7og7j_R>8K_*y2~dLruKhr>T9EhI2>0Z1w>Isw__o zPd7N#6zp}kDN#J{JA+nCQVpTf0PM+YpPODpt&`VunERTB*;DV2WM+g;UYb+Z(yHNk zxb025UN`35P1hi3w3_R2x=-tExD~dUc*0IUEH-5G7x&ItmmU3@y8+7@ZFLL)?V0+m z#%UBD=J?i(1SfIfiaG}Pw()Z7+)dTkeCwaT=C1?|S3MFPkJcwhZ9}JA;ZOWjic``` zdM??nUAuO98Fbx0tJ{Ma$lQ+?z8Dai`!Q^UT4khUfKUA9O-C&#vVZDq6>ohnK)4;_ znEf)wOz^JAYFQj_FhXruKEO5Vdesc*4os8-Lb?e>@``PsjO; zUYpn6_d`uWrHeDa6xsX3)W8F3K7NkxfF$~Hk{NsJk6y9v2`^sHW&`aOv`|2;Vz zWe>7UZcHc{9};vgUg)!pyH8C)cC#v!59~u9Zi$=s3g0W6sb8ulD5vkFEO-)H=#<`~Kfi z#1=Kk;3YeG?Pm|o_@71L(pV(@^kK4mNoMI5 z^H00xCJ5Yj6^7KbL?_=%6yCKz5$z{tkVrnX5n_RoO|cgxWT~9HV*>hDiD< zXASdzdyR!Y51TDcBK>MGTR{7m6u l)%u}zprP6>^8>8=9p4t=TYtpmJuG0001ZoTZULOT$1Ah41+lkG-2@6X~hRq2Qs#gHljDE!*t0E@_f= zc2g+$=?ZA6cElXmSox!)7Zss&z3lDTq9l+P<$nRmju?MPf7o^>?a<` c{895k=u38TeFuNIYP0Bj|5cm40ev@=!Lv|ow*UYD literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.db_info b/YL_adder/db/YL_adder.db_info new file mode 100644 index 0000000..f460998 --- /dev/null +++ b/YL_adder/db/YL_adder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Mon May 04 14:49:14 2020 diff --git a/YL_adder/db/YL_adder.eda.qmsg b/YL_adder/db/YL_adder.eda.qmsg new file mode 100644 index 0000000..5e534bf --- /dev/null +++ b/YL_adder/db/YL_adder.eda.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588583146463 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588583146467 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:05:46 2020 " "Processing started: Mon May 04 17:05:46 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588583146467 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588583146467 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588583146467 ""} +{ "Info" "IWSC_DONE_HDL_DUAL_SDO_GENERATION" "YL_adder.vo\", \"YL_adder_fast.vo YL_adder_v.sdo YL_adder_v_fast.sdo C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/ simulation " "Generated files \"YL_adder.vo\", \"YL_adder_fast.vo\", \"YL_adder_v.sdo\" and \"YL_adder_v_fast.sdo\" in directory \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204026 "Generated files \"%1!s!\", \"%2!s!\" and \"%3!s!\" in directory \"%4!s!\" for EDA %5!s! tool" 0 0 "Quartus II" 0 -1 1588583147196 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4529 " "Peak virtual memory: 4529 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588583147247 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:05:47 2020 " "Processing ended: Mon May 04 17:05:47 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588583147247 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588583147247 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588583147247 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588583147247 ""} diff --git a/YL_adder/db/YL_adder.eds_overflow b/YL_adder/db/YL_adder.eds_overflow new file mode 100644 index 0000000..4b9bce4 --- /dev/null +++ b/YL_adder/db/YL_adder.eds_overflow @@ -0,0 +1 @@ +148 \ No newline at end of file diff --git a/YL_adder/db/YL_adder.fit.qmsg b/YL_adder/db/YL_adder.fit.qmsg new file mode 100644 index 0000000..50f8e4d --- /dev/null +++ b/YL_adder/db/YL_adder.fit.qmsg @@ -0,0 +1,46 @@ +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1588583133589 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "YL_adder EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"YL_adder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1588583133604 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588583133690 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588583133690 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1588583133914 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1588583133956 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588583134628 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588583134628 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588583134628 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1588583134628 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 91 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588583134630 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 92 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588583134630 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 93 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588583134630 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1588583134630 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "31 31 " "No exact pin location assignment(s) for 31 pins of 31 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_A " "Pin OUTPUT_A not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_A } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 72 1288 1464 88 "OUTPUT_A" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_A } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 15 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[3\] " "Pin i\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[3] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 11 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[2\] " "Pin i\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[2] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 12 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[1\] " "Pin i\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[1] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 13 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[0\] " "Pin i\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[0] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 14 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_B " "Pin OUTPUT_B not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_B } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 104 1288 1464 120 "OUTPUT_B" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_B } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 27 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_C " "Pin OUTPUT_C not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_C } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 136 1288 1464 152 "OUTPUT_C" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_C } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 28 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_D " "Pin OUTPUT_D not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_D } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 168 1288 1464 184 "OUTPUT_D" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_D } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 29 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_E " "Pin OUTPUT_E not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_E } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 200 1288 1464 216 "OUTPUT_E" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_E } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 30 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_F " "Pin OUTPUT_F not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_F } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 232 1288 1464 248 "OUTPUT_F" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_F } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 31 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_G " "Pin OUTPUT_G not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_G } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 264 1288 1464 280 "OUTPUT_G" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_G } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 32 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_A2 " "Pin OUTPUT_A2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_A2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 344 1288 1464 360 "OUTPUT_A2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_A2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 33 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_B2 " "Pin OUTPUT_B2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_B2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 376 1288 1464 392 "OUTPUT_B2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_B2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 34 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_C2 " "Pin OUTPUT_C2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_C2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 408 1288 1464 424 "OUTPUT_C2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_C2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 35 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_D2 " "Pin OUTPUT_D2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_D2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 440 1288 1464 456 "OUTPUT_D2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_D2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 36 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_E2 " "Pin OUTPUT_E2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_E2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 472 1288 1464 488 "OUTPUT_E2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_E2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 37 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_F2 " "Pin OUTPUT_F2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_F2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 504 1288 1464 520 "OUTPUT_F2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_F2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 38 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_G2 " "Pin OUTPUT_G2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_G2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 536 1288 1464 552 "OUTPUT_G2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_G2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 39 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "overflow " "Pin overflow not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { overflow } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 424 848 1024 440 "overflow" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { overflow } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 40 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "pin_name1 " "Pin pin_name1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { pin_name1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 792 968 64 "pin_name1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { pin_name1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 41 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A4 " "Pin INPUT_A4 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A4 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 208 24 192 224 "INPUT_A4" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 25 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B3 " "Pin INPUT_B3 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B3 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 368 16 184 384 "INPUT_B3" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 19 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "isAdd " "Pin isAdd not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { isAdd } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 432 400 568 448 "isAdd" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { isAdd } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 21 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A3 " "Pin INPUT_A3 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A3 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 192 24 192 208 "INPUT_A3" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 24 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B2 " "Pin INPUT_B2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 352 16 184 368 "INPUT_B2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 18 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B1 " "Pin INPUT_B1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 336 16 184 352 "INPUT_B1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 17 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A1 " "Pin INPUT_A1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 160 16 192 176 "INPUT_A1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A2 " "Pin INPUT_A2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 176 24 192 192 "INPUT_A2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B4 " "Pin INPUT_B4 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B4 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 384 8 184 400 "INPUT_B4" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 20 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clk " "Pin clk not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 64 240 64 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 26 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "reset " "Pin reset not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 24 64 240 40 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 16 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588583134715 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1588583134715 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_adder.sdc " "Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1588583134854 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1588583134857 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1588583134863 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) " "Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588583134897 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 64 240 64 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 26 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588583134897 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) " "Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G1 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588583134897 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 24 64 240 40 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 16 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588583134897 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1588583134972 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588583134973 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588583134973 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588583134974 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588583134974 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1588583134974 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1588583134974 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1588583134975 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1588583134976 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1588583134977 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1588583134977 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "29 unused 3.3V 9 20 0 " "Number of I/O pins in group: 29 (unused VREF, 3.3V VCCIO, 9 input, 20 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1588583134980 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1588583134980 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1588583134980 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 39 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 2 31 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 39 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 35 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 40 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588583134981 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1588583134981 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1588583134981 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588583134998 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1588583136777 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588583136851 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1588583136867 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1588583137247 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588583137248 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1588583137367 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X38_Y14 X50_Y27 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27" { } { { "loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27"} 38 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1588583138203 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1588583138203 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588583138278 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1588583138281 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1588583138281 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1588583138281 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.17 " "Total time spent on timing analysis during the Fitter is 0.17 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1588583138291 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588583138295 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "20 " "Found 20 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A 0 " "Pin \"OUTPUT_A\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[3\] 0 " "Pin \"i\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[2\] 0 " "Pin \"i\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[1\] 0 " "Pin \"i\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[0\] 0 " "Pin \"i\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B 0 " "Pin \"OUTPUT_B\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C 0 " "Pin \"OUTPUT_C\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D 0 " "Pin \"OUTPUT_D\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E 0 " "Pin \"OUTPUT_E\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F 0 " "Pin \"OUTPUT_F\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G 0 " "Pin \"OUTPUT_G\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A2 0 " "Pin \"OUTPUT_A2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B2 0 " "Pin \"OUTPUT_B2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C2 0 " "Pin \"OUTPUT_C2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D2 0 " "Pin \"OUTPUT_D2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E2 0 " "Pin \"OUTPUT_E2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F2 0 " "Pin \"OUTPUT_F2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G2 0 " "Pin \"OUTPUT_G2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "overflow 0 " "Pin \"overflow\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "pin_name1 0 " "Pin \"pin_name1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588583138297 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1588583138297 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588583138427 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588583138439 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588583138559 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588583138818 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1588583138894 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg " "Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1588583139029 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4848 " "Peak virtual memory: 4848 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588583139235 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:05:39 2020 " "Processing ended: Mon May 04 17:05:39 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588583139235 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588583139235 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588583139235 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1588583139235 ""} diff --git a/YL_adder/db/YL_adder.fnsim.cdb b/YL_adder/db/YL_adder.fnsim.cdb new file mode 100644 index 0000000000000000000000000000000000000000..6c4df34ecb1ba8ccd81e222af34599c506b6a8f9 GIT binary patch literal 5936 zcmeHLc{J4f`{yEUmPoQ>sYHb#S!!m?jeAvBQG`N9hLB}M7#TB)EFsZCVWwSW8T)cA zqf3UwSYnLb45qS-G0Z;m`MLLg&+nYypTGaV=Y5{{>v^B^EU)J|&-2fFmz0!L*$(N= zJ8JXTZK8WXWK=LRT+iIX)Xdae&n`MR)L-wI#W6iIE0Fmy&@nS}3q99>$e3V6fZl~` zh!8ztlY_c`+De%`C}i-@#Cok6JC zJM#MGooTVY&6zgary90xPowa!mVAt%Ou0?Mv0{Kx!s`0?23Q$uxiMTmS?l4!r7*mG ze0-*Cv=y_Thyh=0!>JxD{re$~yQD<#4>4>TMEf16T{qkvd+b4DW#4kaP0@i-7k#EQ z*4NpyEeZIvKo-&sEyKi(2omtXWwx3Fuu{ycy?8Cx%1)2j2P-g; zyqbPM@Rk2@OKN6jK=1IL;uNR(KW7R*MqX_ndC@cbj`1Xk{Y&xy!zvwBe}j2BlT%QU zTPO@A&?{4&g$0W!9rjSu7u;lQzZLr}mzX$dF@Jq2%&PN5N4%;0>*GqiN6iyQWheMS6=*fUbbtz&5PB(+rynE3|oIqpZ* zWM&#V`ks7wbJI@qQCwK5G`J~oi!n*F@3M~U)2tL}aCHUv@uS-Z?R}|1dn-JCvOE!J z>qFeUh={kVqo$-v=ww~;3mG@#hy{nk1}bRmLXVp;C=L?14D%w4o$jFv+nVYwl>e=r z#i~%~?C7xgTYU*h$h`}@oU{u{H@Rvlw2MMhZYp4|$KVQYh{ zHTvkpdkRgEVlU@%yREGjDk*90iwF+}HQ0OJ8C-)XCb$h4!LE{B$%`Hnfoqylu zE9p1dR(_cK+6QEp=(}hs$iz5j|D6n)@;+td|5(;><#ERi^gnoH{x1Q(U^)*`{-0?5 z8(&1H{W;vAp&rxKhF@_+|7 z^KTLdZ2qa#UJo9Sp?}=(Wz6&PG2EOFyq$S)Havd+sUX#FnzHcLnLBY+bx7z=q}KQ- z`o{xBPujB#`6xM(as6NHXHg$yrc6fcD*O#oi4mu}$$Oqw-%jA<<8zhaJtfGuMdV`T zuD=zGZU`Lejr#n{m1_fAAVie0*#M`sp`WVsb{8Hyec>jgdAld>V0mQ{8?`TH~aK z(%V+l7exrB>rWo;Zt)q=lY-A(EQ~U$Bj8nf?7he%OU-~bVOFNg4T6&}KRp84N6!Re zPX4_0oe!6rUKZ5Zl#It3YZIsqOk3B(hP_j?1TXB8n8m53N72K&qdx+B0%!9G`Lt?n z_3D+Z?1a64@j0BrMb$=QP$q>FLpY@4n7u6~yyP=oKlod2uv(_-2#9aK$FH$$kFSe9 zPLT5Du}Keh*-ME=JcP)mL9qjUBsF9YRFG|$+{+r1QDecgd)IY0KKsGLlmX`lx|Eg3 z4|ftuPeE)c<8$@M<7tfIiJ8K#rcNaOx-z-8?J2!*J;~b~a zl}u0?R^O_S9&-l%o&DnQsbGSf5l!X1_T^NF#&e000??8e-VHJhb24~?ttwSzA5HG{hWY) z(uWBY1+Gprn9vz)RJZ!~e!p2}m2q=jwlCd6YO#3kfW%}_jw#(iP>WAGg9#GKt>5x& z9}I_4Re@@1UUEGnt*#7@Of#w6Hccy4Ja=RcG-jbTMC%heLuJ1kNlr>02U1^)I0wLD z>{dzhyaR`X1CN~=jXo$Lu^i^gwAA5x%}@h!znFe)BpOqag|X zkv&Oz#+*)F23I>zs*7U8BJupS)p21&eD)2S@q+M&fPDk8-Ig_Rn8jcpdNJXik!>iH zdN_WgagxQ!*iRD32JvH`u*MSJ>}wzbt@4zfRg=D-o%Rx#lmxsT7~5DA5#>Zla@m}W z&uq9{HW#d#PMJzYLFWY1ogv~nr#K)1J<=Hh$;>9zH@kCrup}6HJgu0EXEkDFnEYqi zDew$z50cU&3gd?GtZbcIkJisIBTVEMGb!pRP_eaUaPvIBY^U(pvPSCK6x)~iC+coY zJG=4q@jqfR_DPOr{4PWu1YfvU+?;2ApBfI4$c8cMgpwuH2WK1@-_i-x?*i#ME^^YL_I`m%)$h1LrYf>!5f*xp>$`<5Id(-c!Oe5z5Y87M^BX?(I%EavTI-JKv+sO~ z>p^vDnDI_Z&kPVo9gGiKYJ{&9z|Jd@Q3S08CAI-0dfpnyyOs2w{BF^kzDjx@fY0;6v)88TQfqEwjR=r<7O6~n(Rhzh(~OtY{f z1KQ3O5zdNJy8Q9rg*yg$>%u)0BLz6^n(=bI&0pR2Ma5hEaeujp{3og~-*_Y>{swXG zxmObpyB{IJB(S>=t*z4su3?toVGj11a0W%kNY>xUkpzrHlB-l$Q>pJ z;X{Vdj}=Ey*Doc(t0^~I4hq5)2HA$Be$m3C^*a>Xaf&ETMB147(tuHKo$jL_VGb;v zq}u*S={;fLhcEZQCDll#TYNxC1mq`9lmY(B-rUxf^2#X4*P*+trZ*OZ={wfFtLQm~ zLs9o)Wn+dvL$hl<{i>()D>qLicI!y_;{Mh(*Mg(A(HKi;@`(sH~f_SimL z;q?wo71EKSZaTS)|GMmrJVpfYUVFWopwgFr4&* z+17hx`gMLIsXf>6HvE#f+kw{ThFxoju%f2Z;#RJp(5WRteiHJ+Aj%7%tTtQguv5j< zC=JD2*b)(qf7DkMP8M!1(Hm|;=DyNMvL6wr-S}W~JpkfU@!TnR<*nOdT&ZED^S+RoQgz}FqV?iV!|~uIL!K)i zc9~~UB_O5%sx$o3sh=l=zS>S#bz9ANu42G7qInAgvn#$j7fdSwnvs!E{`wYjJkN`z zHB(Tu4;^d^$Z|qB)5z6tMM6!8csAhU=Q)I3LFo^_y@&Q>^36HRu+$znh zEu6E6E$S%izBo*I(`Din&b+s#diD>m%A3|2<&6M=RtB}Cu=6K5^Am@hGpwWP z0j#dPF5XI#DZ|W(^>uD_QGpRCY%MT9P6_3J2-Fo_Z@iLszJr}G;{lopMq*%RQB%4F zjL&mQ!i1^qWEG&jEgtxBfUu47IIK`ZzO!r}MfF-S%#psIoLj_;@s3d#!_+Uu8fWHF zN|y5=g?KI)64soeop<$eQ|mYISOPl2^fP}H9mG%~Fg<+;tQszSk(=MLffq-Hh%3$G z!C~mWS1=PLvZbffnfvytbZVbfX+!R+V~n7|zj)bww41nq^+~b;IpS;K+Ak~mxWmnP zttzBt0cYP1Zb02^!ii~;s>0?tK{hZ&%N>#BH=#3>w;gP4LcGv6nFG(~U+ghpMeX}6 ze8LYF!IWU|@lsvXgXyYT%%Bsl4*s)G6DB5$-LYNv@e7TG;x|Qths$bMP2#{DZx!m& zV=pP;F)72}=YvGqd{}&%jNq?DM_3-O1c)m6hTI@_X0c}L0oYvmYZirf8n?{zKxblG zO`+J0RK`a@L#ghygu)+U2RiSE34<8=VV05*Ng%i~6FW?vAn>n<36Rk0J-|=w9D$WB zstNShRwph8k<{qKPF-=SgoODKI1b|T!%s4T$wZEKwLfriRkS*Bn)ZhPK|al!TvOpb zacn-&oSdD9k}qnFW?){ZcAP(E6dBuA;0!3rQo*j0P4L=?1Ca$|Y1O55| zv}{w=hXYipBj=28-in|P7_@)i`-l7)Aee_-~i~I~xDLeaH!%ye5EeekcL}N;=uKH$?TKGCs`33pNyzT-;sX&Z&D|sqkGP*18e0wknwe>z~_XpJX zPq1HaE5DpN+SlBBJu98(JJnpo@8yQ-q`cfJW!)h#_m7YbE??^VI*MttyXeBytarj0 z9VG8azGS!~c#f^=sFSeg;kllN@7haLPOyLLe;9hIqHXAE@S@s^)f^-+y8EbG-nkb_ z!GtvzpVp?|a;JP6xG+%si~L`VCLI}FJw`6Eru(i%RV2RnMsS|CoRjz3GJIQ4F80~Z zXUY>t9apHfKVMnMCh>R?t|~G&uEaj{kyG^?etuC=jU2*JC_uU{f*?oz{C|D^R zW}N~_dc1cnXn5pegQ`2Lwdx3A0A}?~x;oTL>yjYdtKd=3`;61d^Y>W_%TlE;X!9xT ztyH+w&zd?d)7P}#F~)h%U1LuV?$UKFe<7O~NqzftMY2SVb*_LFotbh7e-VC3uJ?HT qNS9ULm#UJ{w2Fm8895$FMFIish;(X>5i0)RV88u4@s_`b?SBAE_>SfP literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.fnsim.hdb b/YL_adder/db/YL_adder.fnsim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..09c28adc601099ebc2cbf6e47b1ab9388c2feddc GIT binary patch literal 16964 zcmZ5{WmFtZ&@RE>ob!QI^nE{i0%v$!tq?!h5=un^oG7PsK;?(SdS@1A>q+?jK_ zYPzRudY)4?-KU=#EGQ_bDwI$EN|%49#6PKR?(Aai=s>~F$@+zrokGIR+RluEgOh{e z3lAGR2OGy1c1{Wnb7yyJQ*#QnZ>F{s>=YUb6guYLDWuJ;UH|puI{(ic6jZg~{|cyD zjQ=C0{us<#!=EtzW5+1r$?*$3)ZbJ>|4EJU6pQiczAKw4lXgK(B*S zPf~(FH>X4@Zb;TwbuK^n-p!`nyInQt|7?uVr9oy~e$Y3_ixSkugC)>Z)0A6(@Hu~4 z^Er1;d-<3QL3&qp{&-k*={#u4+0Z+0Iaud+-i0Lg@!22_Z7m82@pB6bHXSfThz8yM zLO9Bf*#Yr=mU*%Ty-O>J+T^C@WVu^C!>kz0VnDxb9&}$lx)GjP@-4XJTb)Jnf#GCT zEe%vxBq_|?J=@>4%qGq86RBLLoSL*DCUbUb%1U-%>S`1%2pFC66 z+umjju`iBJ&oDWJ;aS-RgL>R)R)f@$R`8swmPQ&}XAg6=K+2y1QULgZ7!6bg+V7Xo zkqyRuU0h*^@m3?NJLOeQ-;Xn;{%I_tSLP;`Y^)xh*($ZB*ncB)Tx|$VK@+m=S zd)&;eo48rDTmx}#<54X4U!=@x+auIn*D=3F5d%0F*Z}ewGT+A4d}9JpRKHWBGZRc- z6S7gwZ1pG%EVgv>4O$elPGZ(J7RRo0usg3qN>F6vcI3IcH9etRZA1q#Ktdu#F5r-Q z^6kwhUHjQbVF|zC8(V9JzXy(3HNUS zDy+`e@GKId&fs3=QCrsi?4V%r>%B^R?*vv$`Sd!*5b|Vv9(C4)&!gSGShX=bkbbvJ zg>*h1`ik+)cKC7FNmpvTK4ic}Ade6ek2I?KZ8R|!=LP(8cb}ejWd&Y?BMMnB<`2d; zOD&0KoFjT+wz|+%=c%tPdjchL1W5^+KdA{1Nr~=M@axMs=Jy=`l}+8a8#t^u-b{I5w^QpUjxlBx^Dz{ zN>a*BewB#<&9as!v~FfhaNLIOXl36Gbd$mt77QX~Y((fdiVbLhkiVaPqcyzlL$aJP zSC*$(Tn%73dM3S!0oa_d)9}+Bf)nI$>n z=d}!0w5abtDuuIn3a!&7jO(|&)WKa&yAYOMw!EgKiwkSLYp?JwKUfj+(TqvS7RknT z@6iFFk*AjHYBjdN~Q1NEXEg_e~of+PNd(Fhl==06KY0WO1dfN zyNLju>IN_LvqYn5$~AsMV|3NK2gENtwensNT)Umr<lr0wMxv8NerNz5@!AkMc-#IimW$WDo#v*eCtQxem4_achrhFT>qnd+97E=#;*_@hW>mT_C+5i>^>>2H zubSL{zhWo?4o2|8D@8z$P8=I8?D+a%mz^$Zx`VJATeb@6EU`G3=TTfeBO1!@N{P0Vfn zK9!FcqAXJpe$A{P=4ILC$%g{}oQI7OQBMy&cmFrtD~xkktA3G50hu$Z_nw24*u%*j z^r4^r5$_({Wgpg~n*P%lwo^3|cWiU-lL27!69c(8zMtAgYxY562Y^;CoH zqhm9AtrW|hpEBvJ?Qd!={H4J6+5G2*M-B}Y%;;2q`imZugutkaUtmFntjN}6L%hsuvMssdRvv)7Yim{RhN%G{?M;C$-4s)=X%<;FKf(Nq0-ZqK5QN zo&?#Rn`iP@jB)1?=n)I$wv?uo;zq@Fgqns&x;L`5lv@q?S|yCo+AA-472yV$o7< zly-7#(bD-(RMeW)2-NLdE3ESEtamm!x;HwP3%mFn-1950Wt?mc+q!>DLd1$!%T^R4^3ky6wcv6#1%v}|3K=7A~GT+Xss*j146x4pxIW&mJ z%^{Z`;p=Y_w9XJhFb5us!C!UABB%YJSHdg*QriNe9Wfo?JBxBQn#P!5nsjZ{dL* z&KUBgzf>SlJI=wSGZe#0qs?rW;jf@Ra!F~^p3nZL%d(C_4+N96rG`i`2mE4{pRq)l zn{fJNxTPi}g^{XtM@IR_h{$1qsbaB#S96}J2BaOx$0!*2NQLW;gP0b_y|?WMT`C#K zSTu-*Sy;gjXN>s(tG_4ZU})Qj=$1d36z}IK$e-1D{BT6M5sh`TNiA403Uu)K zVah}#z&LeQCe~C3y{5vuR(#F@9d2W2uJSOVsb`>jGw&J=jz%1e_G!AUH3ly0~LCZ1PhiVg_MnSq$+VRJG zeb>1IxoYLzWs~!NebC)L7*~O-D?y32Il(36UyZ-~5nfCe$nglEeYiKlh$PUHsQgPl z=En>yG%-F#T%d{s zckOZeOH}#Q$7yH^zw)brQ%4g5)ld-229aH{`vG#)QJ#HIY%_}|pMdCUt~eLJy6oV>>2&)~i@T8MXJj9A=7CUs%x(tKWi6a5`YmRCHJuQBhJNYC50GO?(ba zDUL=aa2T?7>yq^2gjTM8FDrf+(a0Z zo@Hl)JrU^8Z# zIxC4E=b@oI2bvt=EN6&tRF=vM{6@RKC)mG)qj6-W_1JLm5FphCPLIR3NCh=|ht|@4 z6)p*n4W_rvsB)Dy%H^IvcA!~A7TGc?z+A3HJx|Rhoj=AYzQZaqR43Pdi@Mt~LVyed zdp1^W#rRwCe0%+76ANt{h)X%Jo6$T!&jxny=k-$wdphL9!_3MANejgD}a_2F&VG>xdl0j1pEQ(JYZ$nbot3nfH%jp~Q>3WIc-b?*PT z3RdOnIqcGmA7GTus^7Yip+Rb#y*hdlDI%M6utpQZQ+E6J5QRfO&5eqoVMm;?d$ z#>3wRRYErPG|+7{i4A%r+k#f*W*eT>BHQtN%SLUs0Bh&SB7g1AniLM<(a>tiTB{oD z)}#8-LktpsGZ-T`DcG;aSjjVbN_)W799D1Qt!dYMvCOUY209^fxFR<#xAHxlR&Tnj z{d>isW0yT*crArr1r0_~#P_;>g@@C^;$d-bWkWW5*sa=k3PTJJFyAQ24PcTjUVPj6 zRPJ>{n)gFMFX~*JIC-y3x;a6u6}O|KSN--rx;Oo2 zla6lGdfcA*iZg>HZ^^s{4@Co4;A}y6hMLJ#66?!$i(1ss;Qe~}Zz6zYi^jd1|9pIi zCmLtj_`p;?53Z!*O8l2J7t{uHO6Z-8sUs&8C7oKaDG1gmF_%-n+DUVwj_t_%Dh);s zdiX>Li7gMQ00H_MK6=$}7r&ABMFz*p^51SB&5c2W^Mv}}tk4W@>6XfEgF2iT?LGwpq>_4_FCd`exqtgqtNC2>l8YVzs^Wo19IdUn|E4dppp*s zsMT5@J12o1>MdCQHx)6}FT;R&aGrj@GZX$rIw$2eUhPlr4TSU>o5f3JDo-!0b-gO+ zeRUz@5D!r!d@lJ4Rjd$5B6yC*4x=j&1ADDoP*^#H_i_SD{1(~n5&?NxNl~G|) z5e2^Ur**Fpko^!LLk101kQL(&`st4%qES0OwI~S=_oU5`d!PFwJguCg?<1twmRu)#Y}nB5?Ga{v=cdg| zelePw%(%@9e|yM=Tg)}nK^&<1H;5a5yGtuy9T4|Z%dNkiZ`;E>l=h>sf78mx-{+)t zVtoRPif%piN>%b3)qI(FbG6Oy;GIn4rE&5CaP3xx#Di~5_=-ZJRcyC? zVk=f7DfvxV9<5e{&?s2IONMmMvHx?W_0F^1YQ4d%gPmmb8G>DtC$Zt-U5)sPa9|Y= zI@Ze7_ZuTdZ^z%avNb^}ug|UMSVK|ms60OH_~xo9NoC>QGCdo05H~!lK6&42{1tqW!tYVjU$IB4L5T6Jbl89*RyAay9yYvSu^j*oo#Cvnh z8>Ajbipru^&!65lAEqDjSM)ZGb@y&kQ9w?-bDv0uC`PavABdhp6^!Fb}SXsFRV%uYcuZ;=1F- zHEmOH0v@LuacjkmFZ=nhUUjpOqXy6NCw4soR&w<5I$M7L;$+XsAX`0r*8Tfp{j9Pw zOX~a_5t6%5L2aOfMtzbQkcSThcFn_5@iZuu9> zpzLiq>4TU+?GdBBTc>&k09}GBG38uEe&-z#t9W#dUEi&|Qn)ypnUk>}Bv+hg6u(2s zf*=}I5+nhH=l}d&d@%?l^Tf`Dhr10<`M0F+7WbBNwKz^ok-eh?K3;L+;l}P@nY##D zO>Jsd;bKvG3&#cXvG$334F5>^u7>tGuScA{IZFa=z$~?MGZF-N1aaaaN1(}Rb9pQG z3p!n4#*KD=U}r~e4vlH5anZ~6kP-#2ed;e0A=CkKw5DWI^@YwD#s}{c4ivZ!PgzO- zS_}q-LLlNyl#-$S-$OX)4ILz;>N`GzitPtWikB^@S!UB-f;82DakBMlPek%Qfz6+X z#7VKxM|#qkwbZ!cBsJ^Jvk}2|N^qfzv1NzOl-mmFF#`&2!&ajO{+i@5OspC3TE7%a z*ejtgVoZToie$pwrti6 zm0;EP&&wd`$O^{JQwt+oxBx2IPG=KB@LxqZ>7SZ0sFC;q(K6#=3TKI+1Lr~u3NHXI zw`py=G7?0MevT$sEK-VKFtrCaXm*%kD$Ae;=$$C`uOxjaVY?B(PNGLp*~S!gTDW$km=KXpn|(!iySW3=a}*< zA2wfOEhPAm7~M^rF*+?Cm~!Ko6P$t)xQg@of^FsK%m zcd$HPHwms(@S<1z4|Oqbe{sGML=wAc$0R#+yDNGy)`=m`M$u%o+k80*Cf)j_|115> z*!1XaWEG?f-}&DooBtquFk_(r=#@8+B@mp%3v@psg*h}%6d~x1d;zAoSDJ7Rb{gVe zaX>!+ptsU(XstaN9z1OCX8~2VLdHD?#ER26+OlqQ!?b^qRdMKCLOE`uYB) zc6zGxOM!@_ThCx!f5h6DOZ4wBl>HpJClow{63iNB=-*EyB4|2Lgw*Z?EAz0ksxT-A z6-?C{yeI(@b}-w6v?AakeK@!=ozh2M-lXEP_Mh=n?oG zFi|KDT#Y3491#CgjDtye1K<)|1b&Ohk#s^s%z=+&hiKInY;imKYc9nk?Ka#ZOi9Xy z6{VI{rFB)Mu>_>U2kzrzriFx{ z_SJu^hsrA&x6wA^&lvwW?JMDk5cKje4eZB=Ff>e)J}hSvZ)GNKxsjT2{Y_c~y93x*Vqt z!Y!y3A4eN5R=-1aq6=7T*qLz`b_wBc0-FYFNhveCRYkFX41!WD`NwS6OLk|+eIv6h zBeUvhVh?;@-!4_xd9YN$?KLLZ4@5#Y>xD7+ZtNh5beB&hqk2vkFyt*d+l!+=g&O?xxSF+>Cz<6Myo$xT1ZN37VDPZ^w- zC!VhN8;C5uZd#e&_p__K;)k7YQ+GgLI*sx^puH-M9SAI1A157;Y_BRlK9PF8$)MA{ z(;d_~?-jK}o@7`?Wn$Zi7DzB;pQ!tgB5posy@^b! z_HiHlLB-hKDoE9_as%6QJ))cJ3@)To|3-s zutjn90>W=o7jZ!R$-GK@W1qbw19=&Z&>%=APnQ_8{r{LLiiS24X5ptEiS z_GU1X0-m9}t}?KPod9UQSj#!}+dC4)Tk4fHH#QcRGRv#O7v30%$Xd8D6f!98OP^`v z5F8j3H3io^5?+VHhEJ8tPBjRy+ymr=+4C7)`bq(YTl#A4P-j<#;ewrjP8kVqQ zw{zR32ObD@G;Sj?*`AVk%2|n%GpyR54 zT^RqWA!|c)cQntv(&}AevA=B5wzBW-y<*X7>0@ct-)Nts(ZQK%bw*Gc=j^LPMuqVC z%&4NKJu3C%lFEiAvtqhhY?=>xLS;5|4rRH*vZThy?{0#OqL;k~tG`D+1e=iQu5=;J z$3(j4P9N$x=jb#u_aryhA;%^!Gs|$cv#PGm{#S#oMM+(QsZA?cf)eKTpvEV;FtL@4 z0yqNBGfu;`e+Z1bc{pU9ooB;$H0IvVmuDW|xRP2*;@Y>4*mxj8#D>@|dQ z$XsmaUGuL6Ed&oN=EoYxD>LAaX3``_%ClTvnK)$~A2e-;?1mc8t8?cYt3P{Nowz#c zcsTkzjM?e@vDTI^@du2&al8NEUMCuOGiHx3Gv&RgQ0S_g>R54o9HF{z-z#|0NkQNH z)Bn77QdVeL0FG`Q+Bva-nz-=lcNx)_>N~=$ z6*_@vt5V(?y1N}s2xS4S`4tjUGrV}bNQPbJj89#Pj8XHO4e&-wmc#5^NM|9*HMlB^Jx96tuP|gO|8@P#J@b4 zX>wrFTvdnP;InP4*WLch@uJLvPJH!qO?pYiD!~aMd0B9D36o>(N#dW?0@voNOK796 z!D86GXwYZdwv1A=+4|_~^t~+{kiRagVuu&#r9y@C+-GF8#j5ohD9}7ImqqZvwkOd1 zk3Y0b^Wg)(V%64s>$-*8vz!D*HY=D4VC?Tbi>H20BDkRn1&n*&IGd`-;1i4&Rs~1r zDNcCbxl98Gy>8LMBC0lTF2z6lI#<1^nd9F?yR_In<)u}BExDZ=Y5`Ch6@3JhwtF*X zF{rY|zr>R~s{Ep1vqllC$Y0h0RtvG|cw{(Nw`YHt{~OW4Ho*gVbVZ-~;y`gWz4MTX#L{jy35>j=bSV!7YB519bu7PSBGr>TpBX~ zZWtox=^|%>Ix1=^q)yFTzdUQ7;{)3}-56XWtgBridf9z-jVGxCbJ{@DTBaH!J>VYj zHBBQ|F}xUJpwcReZ>AR|ppTr%YS6Y^&*aFkYZpW7u}F^c=Jw>d^5cBY`uXG@fm3;`-l^uW59;a2Gb3(mF5(1%xUzC-`c7!T1L)^9d@f z9bHumH7ACGuBp*Cox?V?eqLKE-@5vo5rJ2?9;tKZ6ySM0q=(X~eGLNn%?LgP&5Z;DT;H3Tis zeY)Y>eke4mcOP{@abp-w#$T)dh2eATK3l^F7o)Mw$IlvOgct5#8|vvF=1qC39~PZs zT_Y5lbpq$kheqm$F^_*b&whgLBco%cc+rM&kI0NCe=`cuIMC+%3x$B5Wyff-V!d5PiJpqe0rgS1Hku0$s)GR*1n`oZ3Y< zKoHB&zK92>AL33NO&MOcfk^QF%@HY4%2OppgOe@?=crDA263849&;}VcJGZog*y8e z5)D!)KrxA;mD+9+B`vsHu5gZ_fHH}LTV3z@;Hw1g;xi7tQ&F*YsRUMu45Q))E@mus z}|Ph@+`O1a+@VV3>j{;Fx}!ZW;0C6x^dgkrr_hS6{#ry3>%8_Sx>ML{f~l40*=aw9SAar%#)F5RE$ zjI7TEE|(_U{{$`{Bs9{d-^&-}BrCo!&w!cI??FX5S&A#G!h*8nn}R&#V#6pMPORp8lCrZPYm z=4L)bCQy;GARqYYYqJhB1u_!&XDGmp8uuIyxh@ZSI>_Wb1Uhy=?sB7n8J<8IMl@1T zXz%xOZ#FH&G6kpqXKmGdf2N%lMv|r^nf-bCYDj3KvDH%aw|t*#vbQ9ze2FuHbJ(F9 zXXY?xW#T0n-QPn=&6;9=p?uJDb2GzGhG#k(Z3UbntCV`8WNjMIjWR-o zikoA*yhx0eQsH|9br3h!2s7U4*1zdqxnkLsoq4`8UAFHy`m2jFv6k(G=sB-}q*j(l zTKm-NNoFEP$b0#h2^In$W&%~Cm^SJUvbZr)J>$e`E;oS@Zo25bT{4DGx2k}l@2gi& zeq)XpT}5D4*`Xmb;fS=Ddj2_v+Hzxc(OyS(QMCWc55m2J?S%nHjjp_Bw*Oh=FMD*pJ7nAyR0 z`c4<&OmPw;Nxs2jwvnn$?v7i-z;769uJ{tPOp&SEz!D5Dzx*Q@Wpdqf5sGA~krqNw z!L$(X|ILJBRz+#|+hfw1|2)|+@h^q0^xmk|R(c$mme3GOE-Z1SIxX-jii$0}Lm|ql zd6`;MkT@NAHQdQ#bTIQ*F}4-?iy$!Ep3ZsXQwYIRn!q7>y zpsdsMJKu^rMsYM@_LsCs5+tl!BK!#PJvV$gg`#_J(OtBF=2UP^9%AQ{`mY2^WRY6+ z!6CIL<54VlG~bEhn6SV{4~oUyq-w*x9;>{$wFiGFCjhoAkNx^0@9IpK_0Hjx)5c>$ zhiW@H%?RV@=Ci5jZfQXC_$wwR?%J{+=K>W3S#+15n4|p&S~6Jawk6=P^>H>}D}=t$ zw4@m{y`3Iz+>)m5^%ab zzT5pScC0kN*?f-N>Ktd0oZq?~pzl^qID#(B;;q_PZ368~L7wrIw$RWxrqlCr9o2^h zUu58)c`(d4Vx!aHw~gSlhu)r{w)#G%J8NqTSb|whgR{b)|8(T{c(P2bt}ZQzo+CWy z0EE>-Z%t@sP8bUobg;}MX=Wr-r`T#jL~5|T39aHS?O=C@%T5Xs3$BI>60ej^-@@q3 zeo}#LvR)I$!H^&19O#G}2@;&KIwJb;JQ()h`V6|fX8R)=l$9Ul9Y6K2r2?U(iox5x zzu+3RSuUe~!Lk0*-)#E2c~m|%i2m~)N11~tQH?N zo`p~;oJYmZj)gjpV(-Q-qWb^j21}VKMugUlu|!@ZFdD z3y^Rh?M-GZq>AjO0;-6d&%&k#zTa@T=F`vR*O!LOD%1V@w$wz)vJI0{+fXEmY@Ok4Wq&9x1*=k*tBHMap@hY=xz%bVhxsyCUP+k+Xz>uYY%`Q`W&zwAnx2PEk#Uujx*!hjicp6IBAa6bJ%c6(TC_Vo5 zL3oeB0WUwc&0O`gsPAOINknR>o>~doZS@aIa3o*gdvFCAfAM53Kcru{yuYDB@ z2`_|qloR`A`#|g)bsfe>7ZlV78HZ?$UHpmgHjOhOBD&KFrV}HYY(T+&|Cf}YABffx zPE61sra+hz{rJ?K9cTvO&wQ_JLR$q>0ixbdX{8p3)DTUgySO4=p%G3I>K5xG3iP8hA_wAdH$C!#yqrX5O zgF;OwBB9T6`P<7r3g3?P{Tn!r&Q!_=TCSm?=3IbjFCkV~5Y`o0%)uuQ8Mc9B%kSmV zBu&=)j`Me<@@YgYqH+KimvO%VvPH<7(Z)dT^NQw#b zbDOJAWc!@zu08RLjlcJ+=%=l`Nd52^J|XYp4WVX`lUci?=gj@7sKVSEP8aM&y%_4k zyCq7$$?Z3>RrIGs=a8O#pdH2L=+awF<`R3CZMC@-y%ub3dWjB}%=bx`<@n+@tyZyg z7SEH*-M^=&tGOxY-@kefuy$AVp<^)TwxgXqltOi zxkE5o#xYu8Fq?XfZ*f{?F+7_alRqL!B8?c`u!}vZ&z5c~`${@dX z5e=#N)?DSk7?tp%)wyv1!aMh4wWN~Lh7t$ZySG)r!sZxX@km(-O(1x-Td05cD!8f$ z_^s78zxm_`itkme6$2#92Rc$ZVI2-o9bU$wf0maK^`uo(6`#Sk8zNe-2V;ZH*Ua4U zf1hGD*%1=v+8NZ9z+BB-Vng{Ubm9`mC$Yg4wQGmk?n>W2EmgvW%(yo!`xP1|TQ_ak zqIsGV-2&#luucLmGu+Fv75;7d&lwrT$$e_L381g|+~*cH8cAr!^BftYOA+=jZ7kud z=&rA*87GCvO5CTN`(co1Aq#%Qw^o#qC&K-%-=yCN9V!T}kfSN!6QjAzJbRx*uA4r6 zBM%x6hrxNBq=`4oTJ-8+?{|KFf+IfdO#_HtP|vD%gu2 z^b+O%9uHL01H#}@nEZDPR_tL6^y$SbJWIxAOHHdoCcTNJQtFoGqs_5tSa&A&o0>L-WZ zA|YKT4)DrKpC$kFmFJdtyK_x(H~vlPy^Z|Z!$`#cg3dV@4!anctX?ce;8hldnnwMF zPIOmBbQgwryIG?dF9ExTTdHMk@?aK>_+IpT66Zah4A4ZHS1X44;*XEH&V-8LK%`{s zr}<2%bqSYWc7N=mr81219#^ZnSp&UoD{H*)qc=uK!E4>RuP9;JP(RyOi&$W*Ml)T( z&Z(6oA!(T>V%3!Ld&D)Fn8F+nq2Hv$;O4C#2~qGIMgT+Ng4io2;zxBD0<@>F)gI0I zL!EO-!95nm$g`m28J$VJONA>brnwYqmF!!>&0E65Gv&pNqyub!)fB?3l*6^8$YHoI zBBlsQvx$|7Z(os{_VpxKfgHZ*?@+A5qa4(J8q^qN7autq#SOd3>YY;s0Vs>$3Pd3^ z@q{G0n+%4Wfgz=@392uYAJ7pi4s?_&v3yI>o{a>x1c>qG#uqf#@S=&fZwaE;lJ7GZ zPY7bn749-jt(1svUl8xsDgM3$sa;@5yqRJi=LM-%sJ(nfXoi2v-&;hj+mt4~1XRNX zO^)-RZ^0>batgIr_jjs(RAuJA@gL`T@^{Wgd)CM-lJm8zQk{}Wi6Fufx4jwN@VGt! zrHP38qkje8Kdg6t%5EcmpN)PjA-H0ppr}15cxie|XzTDjzXEjbNUxqquO3MIE<``( z5?uMQZU1wLH=}-xjtFuuEK&GgjW!I=NTEaN*Z%0oa^r;Xb}8_=^lNXl=VG)cEMok) zQIwXr$E*;Ba#U=4m8qNU=%UpimXYi!G^YxO}nUo@DC4W%EG?=EZ!}U}!Z> zwW=y}4{f}{?z%g)nP=dE!ksIhv}EJ$gQEJoFC)b+*B@)MFL`telMSm;@*)%eCXMR3 z?BV7td=r@=s1FCT7fUT(=sjx&a;X0CW7=50otT);!2yIf zJBNEok==0LMU1Bi^6P)!6jLyy3Je)~OIUeJP<^7zgO?iD<%w1OE;$kKl{e$C3t?O2 z!tx1DbT=9dNqL`7@cj0%dC1pgTIX&hH7;L!hKTVL{%imrKBvO_wii?z zvphJk@QT`X9=>`MzPcCg3xjzsve@Q0`JUnT@-Rl^Z1HpBX7;(0{2E4d7cACX?%;Yu z!GjuCNur;Z*w_46khd8Bnm}$VXX)_DP58!kd)bb%#3|b4==|_E*Kg&)KJP$=hdbel zl*mB*9ofn~+BA!n=b=J;Yvr0o&@}(mHve%UST_9SIdws4yXsNicPD6NLGBOpD;l!+ zs&Aehs-8beNNJN_I{9_ir>`2}=eg$-^VPXA%!B>A7aiB_B!~{vD?(+sTHFFcAs3U2 zslFId*%1JoLYPNHX}L&$dV;=$XLz>lj?4<*c1!1a$D7B56HcuQS)};#4=hY=6?Y3u z$ek*fm={`I{Qy1@!xM|w3yb^~;BXHR*_B@1mIlIfGSlAbTl=TR{4w{H&(B& zSFcy!-}Ag)jExC*-OIJxr(IlQpgIs8lV<}Lnm|^bC;UG;f0NXnj!UC2%p6%}$qa?_ zpm#vS@!IEVs$S`(&1wJW`-51T|Jd5MUzPku@*E};VQ#yz$jk9{6=nh&x2nsrvda-_ zf>c}TjE-hVHk*2#6@(Op`ldQTSw5zsDTB>~rcl{-hb@6bkYNWtuB= za4*7lB-`z^K>_Wvj#S2ewqFJ8A*KUpQ$H0Q$emmD5pF`L$0QQWR?B#yxXUpG&$twNql+j z^4a&6*<8jUHw}E}p8u%%nV$nfWi_M{I@O~SLFLZ((}}+1$~bf&{*{sEYx_OBqaw@w zr)p(8xppis(NE+(Q(1pYr}U3GUq8xjfHpZ2M_pTqRIgAeGvphU`mTaXM7JNfw+FX( zf=rjhk{Kic>2(kT_jS9=WM8Gd6eItyfu$*E!d+4~1HzJnVTU+#cjCbhG~Mqs)s{~0 zE>wn|9oR?yp|?4iw2gW)**X4umx*w<2h+S$rD630-d~C)gWo=q;2oSwCmih89 zP}rNsS=+PzNq4PO@ju%AN9q)QsBh1=O7*?ZQ+&%mJU5AbcOk9KZak!SlPmnwFie3pKSYZT6WCBEtT`1cd-$A{;qA{|>hJZaqA zJ#$5`)Q37FwM2=;Acdpg-qjA52Zxpy6Aw1$r>$R_MznB2)HLFWTyk8rK@$ChsI>Z- z`H^40V$=M{7n4AJd@R^Ph$Plji;NUiN<8GZ^l)!$5>s3>v$&Y>b=eo{%4o|L?uKU{ zhS;{33oS76{kp94?j)BupbKF=Q!KL~YBWclJuz5= zLmEcoO&v4TSx_o8<{(#sRJIi;Ra^L-TfwCq`bZnBj+A2DNm2|#@P3sVSZrvQE&@Vp z(GGIR@<~YuY#t+WC(BlcAK`ghXi2k8Ve(@w&MsT;*MjoC;bkGCdF<3@IQn!tNaSW< zDzE*%`9dOuQI~qzx51GkA}bkRq2vHHF{a;H04KP4D{)VF`=W2O7H+&#K#89WgFT6F zA@k!GD=@pLG|nuK1E1j11(&P;q&gD^URCaMKYGupEx|h>I@@pRziV@2Mfg?+fJWTs zjc}v5# zlAYuFWu1V4BuICMzs@c;qp-G+xgE<#ednTty$A#`Tu40ZpGl3r3i&v}q9^x_=n}ko z7rOM+SmoDKPkmyCwE;*IIFjD5#X)W%h?U>EOpbFoq9laR@_1E&@FA!T2K|+Y$wZu5 zMB;q5%C%S2n`RNpqwER+smusabUt^FUp!TV!aCVQ67f}#T&ag&+gvUwQ3qK@BDr)? zt&x_Td@lq%2g*${eo+ZA7v~9u+0^*M8Rdt?$!{K zh*eJk`_xolf6X;BD*fkjb9_Tv@T$Hj(Hheo{QhQt+9&H?rEWh?qz)-B3z1_AruEsa z-$)4xZXtxIhzuCBIoC{5Ya|5;ip8X@nxPRs09d1+X6HTA`B|B(C+~>C%W_JCj73{w zD0`~}-!h@7I!gN7C}77Tpdbh8sr7Jf_y7x>?UC9{hh0)VRvwRl7NISAYsTcp^O`mj zf$b5eE7&O4^cJ)o%nJ;|hnj>C&|sY48LZI(Fn#JY{waYP=?C{EEx`iP26n{f(D8J*^EQzOE_ zK_D9p|L*F#MfjD0w%mg(P<=NK^x1?9B9g4J;mZ|TaI+L}BjcWE!oeUInxE#bXimj{ z0d(?q_0IM+C>`sXq^DaTaK6gnPIad{v?TP$>hGn0kKiI9-M$se5>}XUk5Vh{c%Lc6 zcJ;IOlwla<>21KdW@TgYr*Nn`AcDNelLyXR-oF}0=h-{nXDZRvl8g5B4zLWV$8a_HQ=9Q4)(=igG@PA-X48S< z9O%H3r9Qlzl_5v3<76o>x@009600^5ya literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.fnsim.qmsg b/YL_adder/db/YL_adder.fnsim.qmsg new file mode 100644 index 0000000..6c2cbe6 --- /dev/null +++ b/YL_adder/db/YL_adder.fnsim.qmsg @@ -0,0 +1,25 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588585220323 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Functional Simulation Netlist Generation Quartus II 64-Bit " "Running Quartus II 64-Bit Functional Simulation Netlist Generation" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588585220324 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:40:20 2020 " "Processing started: Mon May 04 17:40:20 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588585220324 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588585220324 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map YL_adder -c YL_adder --generate_functional_sim_netlist " "Command: quartus_map YL_adder -c YL_adder --generate_functional_sim_netlist" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588585220325 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588585221323 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_adder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_adder " "Found entity 1: YL_adder" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221415 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588585221415 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment.tdf " "Can't analyze file -- file YL_7segment.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588585221424 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf 1 1 " "Found 1 design units, including 1 entities, in source file /users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 7segment " "Found entity 1: 7segment" { } { { "../Exp28_Decoder/YL_7segment_sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7segment_sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221437 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588585221437 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment_sign.tdf " "Can't analyze file -- file YL_7segment_sign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588585221478 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_sign_to_unsign.tdf " "Can't analyze file -- file YL_sign_to_unsign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588585221497 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "operator.tdf 1 1 " "Found 1 design units, including 1 entities, in source file operator.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 operator " "Found entity 1: operator" { } { { "operator.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/operator.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221508 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588585221508 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "overflow.tdf 1 1 " "Found 1 design units, including 1 entities, in source file overflow.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 overflow " "Found entity 1: overflow" { } { { "overflow.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/overflow.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221514 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588585221514 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_adder " "Elaborating entity \"YL_adder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588585221610 ""} +{ "Warning" "WSGN_SEARCH_FILE" "segment.tdf 1 1 " "Using design file segment.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 segment " "Found entity 1: segment" { } { { "segment.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/segment.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221694 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588585221694 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "segment segment:inst17 " "Elaborating entity \"segment\" for hierarchy \"segment:inst17\"" { } { { "YL_adder.bdf" "inst17" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 88 1024 1152 264 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221704 ""} +{ "Warning" "WSGN_SEARCH_FILE" "encoder.tdf 1 1 " "Using design file encoder.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 encoder " "Found entity 1: encoder" { } { { "encoder.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/encoder.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221743 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588585221743 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "encoder encoder:inst20 " "Elaborating entity \"encoder\" for hierarchy \"encoder:inst20\"" { } { { "YL_adder.bdf" "inst20" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 800 928 224 "inst20" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221746 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74171 74171:inst1 " "Elaborating entity \"74171\" for hierarchy \"74171:inst1\"" { } { { "YL_adder.bdf" "inst1" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221805 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "74171:inst1 " "Elaborated megafunction instantiation \"74171:inst1\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588585221810 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7483 7483:inst " "Elaborating entity \"7483\" for hierarchy \"7483:inst\"" { } { { "YL_adder.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221856 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "7483:inst " "Elaborated megafunction instantiation \"7483:inst\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588585221875 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "operator operator:inst21 " "Elaborating entity \"operator\" for hierarchy \"operator:inst21\"" { } { { "YL_adder.bdf" "inst21" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 312 184 320 456 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221883 ""} +{ "Warning" "WSGN_SEARCH_FILE" "sign.tdf 1 1 " "Using design file sign.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 sign " "Found entity 1: sign" { } { { "sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588585221911 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588585221911 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sign sign:inst19 " "Elaborating entity \"sign\" for hierarchy \"sign:inst19\"" { } { { "YL_adder.bdf" "inst19" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 360 1032 1152 536 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221919 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "overflow overflow:inst23 " "Elaborating entity \"overflow\" for hierarchy \"overflow:inst23\"" { } { { "YL_adder.bdf" "inst23" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 400 728 848 512 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588585221926 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Functional Simulation Netlist Generation 0 s 7 s Quartus II 64-Bit " "Quartus II 64-Bit Functional Simulation Netlist Generation was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4577 " "Peak virtual memory: 4577 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588585222167 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:40:22 2020 " "Processing ended: Mon May 04 17:40:22 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588585222167 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588585222167 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588585222167 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588585222167 ""} diff --git a/YL_adder/db/YL_adder.hier_info b/YL_adder/db/YL_adder.hier_info new file mode 100644 index 0000000..00817e3 --- /dev/null +++ b/YL_adder/db/YL_adder.hier_info @@ -0,0 +1,265 @@ +|YL_adder +OUTPUT_A <= inst2.DB_MAX_OUTPUT_PORT_TYPE +i[0] <= encoder:inst20.o[0] +i[1] <= encoder:inst20.o[1] +i[2] <= encoder:inst20.o[2] +i[3] <= encoder:inst20.o[3] +reset => inst25.IN0 +INPUT_B1 => operator:inst21.b1 +INPUT_B2 => operator:inst21.b2 +INPUT_B3 => operator:inst21.b3 +INPUT_B4 => operator:inst21.b4 +isAdd => operator:inst21.isAdd +isAdd => inst26.IN0 +INPUT_A1 => 7483:inst.A1 +INPUT_A2 => 7483:inst.A2 +INPUT_A3 => 7483:inst.A3 +INPUT_A4 => 7483:inst.A4 +INPUT_A4 => overflow:inst23.iA +clk => 74171:inst1.CLK +OUTPUT_B <= inst3.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_C <= inst4.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_D <= inst5.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_E <= inst6.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_F <= inst7.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_G <= inst8.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_A2 <= inst10.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_B2 <= inst11.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_C2 <= inst12.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_D2 <= inst13.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_E2 <= inst14.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_F2 <= inst15.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_G2 <= inst16.DB_MAX_OUTPUT_PORT_TYPE +overflow <= overflow:inst23.v + + +|YL_adder|segment:inst17 +i[0] => _~4.IN0 +i[0] => b~1.IN3 +i[0] => _~10.IN0 +i[0] => a~6.IN3 +i[0] => _~15.IN0 +i[0] => a~8.IN3 +i[0] => _~19.IN0 +i[0] => a~12.IN3 +i[0] => _~23.IN0 +i[0] => a~16.IN3 +i[0] => _~27.IN0 +i[0] => c~2.IN3 +i[0] => _~30.IN0 +i[0] => b~6.IN3 +i[0] => _~32.IN0 +i[0] => a~24.IN3 +i[1] => _~3.IN0 +i[1] => _~7.IN0 +i[1] => a~4.IN2 +i[1] => a~6.IN2 +i[1] => _~14.IN0 +i[1] => _~17.IN0 +i[1] => a~10.IN2 +i[1] => a~12.IN2 +i[1] => _~22.IN0 +i[1] => _~25.IN0 +i[1] => a~18.IN2 +i[1] => c~2.IN2 +i[1] => _~29.IN0 +i[1] => _~31.IN0 +i[1] => a~22.IN2 +i[1] => a~24.IN2 +i[2] => _~2.IN0 +i[2] => _~6.IN0 +i[2] => _~9.IN0 +i[2] => _~12.IN0 +i[2] => b~4.IN1 +i[2] => a~8.IN1 +i[2] => a~10.IN1 +i[2] => a~12.IN1 +i[2] => _~21.IN0 +i[2] => _~24.IN0 +i[2] => _~26.IN0 +i[2] => _~28.IN0 +i[2] => a~20.IN1 +i[2] => b~6.IN1 +i[2] => a~22.IN1 +i[2] => a~24.IN1 +i[3] => _~1.IN0 +i[3] => _~5.IN0 +i[3] => _~8.IN0 +i[3] => _~11.IN0 +i[3] => _~13.IN0 +i[3] => _~16.IN0 +i[3] => _~18.IN0 +i[3] => _~20.IN0 +i[3] => a~14.IN0 +i[3] => a~16.IN0 +i[3] => a~18.IN0 +i[3] => c~2.IN0 +i[3] => a~20.IN0 +i[3] => b~6.IN0 +i[3] => a~22.IN0 +i[3] => a~24.IN0 +a <= a~2.DB_MAX_OUTPUT_PORT_TYPE +b <= b~2.DB_MAX_OUTPUT_PORT_TYPE +c <= c~0.DB_MAX_OUTPUT_PORT_TYPE +d <= d~0.DB_MAX_OUTPUT_PORT_TYPE +e <= e~0.DB_MAX_OUTPUT_PORT_TYPE +f <= f~0.DB_MAX_OUTPUT_PORT_TYPE +g <= g~0.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|encoder:inst20 +a => _~0.IN0 +a => _~9.IN0 +a => _~16.IN0 +a => _~23.IN0 +a => _~29.IN0 +a => _~36.IN0 +a => _~42.IN0 +a => _~48.IN0 +a => _~54.IN0 +a => _~63.IN0 +a => _~64.IN0 +a => _~70.IN0 +a => _~71.IN0 +a => _~77.IN0 +a => _~78.IN0 +a => _~82.IN0 +b => _~3.IN0 +b => _~10.IN0 +b => _~18.IN0 +b => _~24.IN0 +b => _~31.IN0 +b => _~37.IN0 +b => _~44.IN0 +b => _~49.IN0 +b => _~55.IN0 +b => _~60.IN0 +b => _~66.IN0 +b => _~69.IN0 +b => _~72.IN0 +b => _~75.IN0 +b => _~79.IN0 +b => _~81.IN0 +c => _~5.IN0 +c => _~12.IN0 +c => _~19.IN0 +c => _~25.IN0 +c => _~33.IN0 +c => _~39.IN0 +c => _~45.IN0 +c => _~50.IN0 +c => _~56.IN0 +c => _~61.IN0 +c => _~65.IN0 +c => _~68.IN0 +c => _~73.IN1 +c => _~76.IN1 +c => _~79.IN1 +c => _~81.IN1 +d => o[0]~5.IN0 +d => o[1]~7.IN0 +d => o[1]~9.IN0 +d => o[2]~11.IN0 +d => o[2]~13.IN0 +d => o[2]~15.IN0 +d => o[2]~17.IN0 +d => o[3]~19.IN0 +d => _~53.IN0 +d => sign~0.IN0 +sign <= sign~0.DB_MAX_OUTPUT_PORT_TYPE +o[0] <= o[0]~3.DB_MAX_OUTPUT_PORT_TYPE +o[1] <= o[1]~2.DB_MAX_OUTPUT_PORT_TYPE +o[2] <= o[2]~1.DB_MAX_OUTPUT_PORT_TYPE +o[3] <= o[3]~0.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|74171:inst1 +Q4 <= 16.DB_MAX_OUTPUT_PORT_TYPE +CLRN => 16.ACLR +CLRN => 15.ACLR +CLRN => 8.ACLR +CLRN => 1.ACLR +CLK => 16.CLK +CLK => 15.CLK +CLK => 8.CLK +CLK => 1.CLK +D4 => 16.DATAIN +QN4 <= 19.DB_MAX_OUTPUT_PORT_TYPE +QN3 <= 12.DB_MAX_OUTPUT_PORT_TYPE +D3 => 15.DATAIN +Q3 <= 15.DB_MAX_OUTPUT_PORT_TYPE +Q2 <= 8.DB_MAX_OUTPUT_PORT_TYPE +D2 => 8.DATAIN +QN2 <= 11.DB_MAX_OUTPUT_PORT_TYPE +QN1 <= 5.DB_MAX_OUTPUT_PORT_TYPE +D1 => 1.DATAIN +Q1 <= 1.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|7483:inst +C4 <= 83.DB_MAX_OUTPUT_PORT_TYPE +B4 => 22.IN0 +B4 => 26.IN0 +A4 => 22.IN1 +A4 => 26.IN1 +B3 => 21.IN0 +B3 => 25.IN0 +A3 => 21.IN1 +A3 => 25.IN1 +B2 => 20.IN0 +B2 => 24.IN0 +A2 => 20.IN1 +A2 => 24.IN1 +B1 => 19.IN0 +B1 => 23.IN0 +A1 => 19.IN1 +A1 => 23.IN1 +C0 => 17.IN0 +S4 <= 45.DB_MAX_OUTPUT_PORT_TYPE +S3 <= 44.DB_MAX_OUTPUT_PORT_TYPE +S2 <= 43.DB_MAX_OUTPUT_PORT_TYPE +S1 <= 42.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|operator:inst21 +b1 => o1~1.IN1 +b1 => _~1.IN0 +b2 => o2~1.IN1 +b2 => _~2.IN0 +b3 => o3~1.IN1 +b3 => _~3.IN0 +b4 => o4~1.IN1 +b4 => _~4.IN0 +isAdd => o1~1.IN0 +isAdd => o2~1.IN0 +isAdd => o3~1.IN0 +isAdd => o4~1.IN0 +isAdd => _~0.IN0 +o1 <= o1~4.DB_MAX_OUTPUT_PORT_TYPE +o2 <= o2~4.DB_MAX_OUTPUT_PORT_TYPE +o3 <= o3~4.DB_MAX_OUTPUT_PORT_TYPE +o4 <= o4~4.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|sign:inst19 +sign => g~1.IN0 +sign => _~1.IN0 +a <= +b <= +c <= +d <= +e <= +f <= +g <= g~2.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_adder|overflow:inst23 +iA => _~0.IN0 +iA => _~4.IN0 +iB => _~0.IN1 +iB => _~5.IN0 +o => _~2.IN0 +o => _~7.IN1 +v <= v~2.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/YL_adder/db/YL_adder.hif b/YL_adder/db/YL_adder.hif new file mode 100644 index 0000000000000000000000000000000000000000..e378dd04781266ea7bea00bf9e07ea2018942cd6 GIT binary patch literal 774 zcmV+h1Nr={4*>uG0001ZoUN5xZ__XofZr|gA1v(!9@_a5+X-(QyD;cBpisn98hLj$3BRoL(oMH&@!1)c$>gx?&;pvatSe0UluRi; zT$M|Q8E2TteaiM3p&ZYlS(g^@CvAUXisv8jcQE+am7UK-R3errf-X-^{{1fE_hgZ8 zu4k}@B|{{B7GLJ)xgTA;50RkB1kXFaHasg$qnkVbtnRwSlgVb}xN^PsL&oC$(JT&^ z@HAz2U=KspmE9du302fzwq4!mD?rXX{Fvq1IcTP@>6@voir#yB*y!Auq}$gu+wf3Y1xpim22PrW~Z8n6W-ENCg*yXNXCkTUH%3m0src?M5cPM~Ab^ zcOUbkk>STz25NLhljoOi|Ocg^hVpJ&Rh7kg31Eg z;<~5MDIa2HJqL~Jlu_BRF6Jc#axHb$apS)_p<+ru(*LzfQG@*#2lEp}4 zBq3gfP=o*>xDadzCIlVAuBW)Z&>U)vEPu213Wj7QJ+hLKvSR72EX%BrMFt>~!pX#V zKU6WItxIH<39=)rEw8FTSx0Sk)K*7rHTfSyaZy`CjErih%}NIJh#oy+Y%lq`p^>B} z-0?yRMW|4m8%<0qWXhy2YbHZ$|CA^%|ODS^pj~ zrFu-Mv8H0}ttmtNo~yJ4>Ldk~QY&3*3x5*PBGIYcF}03jqL;%M4+i1m(*isn1OJFL z1W$V4$w>ISWy~;J_*6KhfeJy0AQ|=a{`eLCJaUEKnP1@Wd3YAs);G6H=m5R?3nb0O Ed| literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.ipinfo b/YL_adder/db/YL_adder.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.lpc.html b/YL_adder/db/YL_adder.lpc.html new file mode 100644 index 0000000..5af436b --- /dev/null +++ b/YL_adder/db/YL_adder.lpc.html @@ -0,0 +1,98 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst233000100000000
inst191606766600000
inst215000400000000
inst204000500000000
inst174000700000000
diff --git a/YL_adder/db/YL_adder.lpc.rdb b/YL_adder/db/YL_adder.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..0b6a4ed5d24d69db2a33b9fb3f93ec1efd61ff44 GIT binary patch literal 532 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdb0sd0fKoTQ-NS1gklh3f-ou=-vs++ zH#-QN{dU1=;mhM2m;dE7^^`8kRZtP|(ErQmEwWi5`{LIJ^ViS4xI%wr$elRj4ex%W zJv)0_R<~=B#6(WRs%5#-En1W0wKm>*b>qZRqkZ3|@&@@?ZO>*pGDGhEkw=@3{I&1e z`LMO&xcaJtcP?$a=D29mU&Zwb^DZ2#N!?cU^mW`ZwXLTjIDg1KoUi81EFX9-&UNFa zTP+>;1@@iJIsB_(jl={0#~$4V&&~HpBy4?u>pJJ#6!k1d_N@(eL9Uf5lCw3Y3sf%h zxcV%-qkqv$JGJ1V6YDY>e+M{aAH@ax2-BWfqB?lG*h+{$l($W(J1;|0@`i z5)+aF5)u+R?tc6bsxo03%OeHx;K3 z3@2wzR(+}R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map.bpm b/YL_adder/db/YL_adder.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..84e1b072f886c23cc1c1cc46c5eca851bfb3b1d4 GIT binary patch literal 803 zcmV+;1Kj)(000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KJs00000005@~00000 z005c*00000001Kc00000004La>{d-r+dvRaztu1G(i4aB4_HEqO}JISp%M}t%AqIA z#0$7h?8tVI`Xl>aDq8i6mUQ0YH?=M5_CR`wveIOBpWn>99q%TYPN&mer_;F*KQDGu z_VZKr1MAEDsTjtMOE+_Wr>p}V7`HB6himF+u8Gq#j%)fq;e0-?{-N~of!M}+u>WHF z=WRU+Ssbctv!pU7Rw zgA<8JUlptnQ6Ap1Iab=2bc#iQC#V z2f591Gs#cl)a)g8JBv@P$%{$!&P>vw+1cIMTI+Vr>)jxXBAb~w8Dw^3lOh}j(V-cn zqj5a6Q7{g(A}-=I$(6g3rD^f|0hB`nZ@Wz0H&7D;{!Hv7%%b3Mm=4}By-$?zToLBF)mgEFK2$;8$_>i8#HP0XYR?GM^YsDYZ`@oLbo+A}O_0GKisMAwEzdt4jJ zkNG|nzW@LL|NnRaVrF1un83impprT*3`j931F=(pZ@iO#sGo~tP-J|7e^5xgucM!% zyK7Lqi(`nRlVh+eNF@Uk5HmA;0FwWM7q141@BuMMbAV$|h-ZkWzaL1Dffb0E7#x7) h2JQ#EK#D;Uh(YQ+JY8H|{ZQ=!$un33F#rGn|No1Mb8r9v literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map.cdb b/YL_adder/db/YL_adder.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..85b6ab1259e516710f02fd8502b68fbf560b0441 GIT binary patch literal 3981 zcmeH~_fr#y636A8$jPaoB1jX|L%NYJEjdJ`_uj#P7z2hP9TJ5@6hyfoL_o+{C<37; zbPy3DB}7VqKtiO1VgeBYgiw;lz4vFlH#@VRnVs3)*`4nXyQhyFIfD63;Lt}N+KofH z21P_dU=QRqw60#esv&w~%UfvLQ0yCP_I@C_0(&i23Ij#0Sq((b*!3$88LuI=32w5{*Ct9Q)<~w+X;Ds_ zFj_mjBm5!C*l_uZ88Bp}1_P1rS{(aULe*@?8xZ}PV%IN z;eSsLmoP0=AgB-#fEw^=Y-B+?GiV}tM85ke?lvp|E;G%_9Z1zE1(mPbCG1G+G#1`! zTvsQB;}W{d{jzJJt#?@yhJ2mfb&d_X0@1wxr*Q}Lx}^i!Db~uTdIna|wi1hbM(S9h z*7uS#;)%f3ra*JDA5jx^BG-dOUR6)&3`ZTTDs-=?(a)-NGqOw=$am+z<~pq&mFV#i zOGOMdpHYm}p)CC3R5AM;AUKWwPWm}l*dP^cfRCuf1_OWJ86*D zk#Dh}8^C%r>`UORcpQYg8FK#4UYY;PB#-j-N&dj!%Zlbt5*@QlvgJhk?w=3TH_>c^ zoDYltTj`i=mVo5AOV@pWof;`?0b8`|1S!e*)3M3#(8t*;4#(4z-~Fv*Edaa*e3ho3mR#lCW{Ls2T9I%XHvQd_qHlqBKa)f!07`Uf8j$ z8`BvNmXMl=FQVXiebqu2>{JICq~S<)WfA6Hej&f@k3O1|V`mDob9+tONCCl@bJ_;_ z)Ao!V{WN_?wu0)@HiYk#V{&an$*-7j?(C~~Nd9-bAAL*DP-! zKqwyvQN-dj=^g)K>^SPFyR$&ZplpDoewBIwlImnv?EGOL)zRb==OMRJxBg*a(z2MA zoA`i3iP=)4zKCa(;uKj>KbHO(x=8(Eb)p^Q!vZmBqmmNk?&h*-)do}EbO@2lYCStozaW4g3Y=bwq1 zQVM5{0%1C>Rw!i7E5yb?s{*KVv3R%JV_CgV?uo9SY_}2@@PU#s&|BX}s#dKQh@D2Z z6?ibgKJ22MXgbH7cdZ{0{QNQ<6w0t8^!#j>s8nPf1kveXFBcAn1o+v0RN^XLkc*(@ z??==5Sr#=xWSQGs)t8mopcuLmQbI*1wU1Q`&u-Z#L~Yn5;)>czk$}S8y5Spa+^smh z`frUET{uVHy7p!O!OvF}272tZ->tg`ae;{+Q2VS~_hD*|Fm!bH{AlAyavxjH52v}t zzXPOc?2Zk3j9%r3ZhzCiX=CQo*t0|=Lr;mhpY#LrU>K zYx$EK4HiYagNXCciojmS_&Vb+rjrqBltifGLF9otwW-suoRUGh5Ua@Gq57vEB z*{_ppsl3Y>82&8|Zp58F?A8xt1DwG{uAUouTl)G!q)FA>?8pMifoDW?sf_wg3pviV zR2{bQ8dNO{c5_|4{&1M{#AqrrDCT~Ir}i5d^Ng3SStzHR&hcgMF)WJWhSDL5rAaex z2EZ5lFXG#V(OcG@4SDCE+wsv%-x@BcQv)VGUDCD3fh~+jZA)Gc0h`mdqFwF>Y+=I?M1b8%KC1goxN=E4Q;%=!K48cAlr+@i!t<8r`YDPp_QJH99e*!dqA}6L;dkC{-mHJ6gVelGf1hs8h3%7rXNdo8IoE)TbBv z@^iSOQJRev7$*Y$DsR(c1$}(OL%MonG{@+rmvq|rqXWAxcmY+v-r+@LboJqD!so{d z{OL@9o^=pKhn~SqX&-#k#yN@zTV~qlBx6h{A-+gA&Sff9I}??bRWxP_$WJj5z3F8l zY@FVhY%h`=`_tcK*&$=j;tR;+&tHANhzFN&e>ai1yVRaX+n*V1Bg>`)ygvHKkcW3F z`+l_FyZ23=g<;jt{iD@v&8XSLw&pf#b9ns4r}4ancQ(`4)BmzmxvUx~jIBv(Ec=oS z@b=Pk@ofCy;mmdvd({weCG`)Heu2AvY8W+Sv0+97AAcsf@5mo>7GY1_Z`&J0LJV;t z;-X@cR9@BF3mCx#;i`>dap*?Irxv==9;k}Watx|{0ECxPgCQT3E tZCC6U>?bMRge@jnajKBd&>BrocPSGRdJr$zo%aZpj|n&Se)~t4{|3Rk1Tp{s literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map.hdb b/YL_adder/db/YL_adder.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d79b43f7a277eae31bd9d2e6687535a70839921a GIT binary patch literal 12469 zcmZvDbxa&i)GZFhokFq2T^4s+q`14g6?ZT0&f;F&rFd~!+#QNTad&&a_rAYg@@0}a zCwI==Ofol_n>#aDP*6}cDA51)uKzXh|4`Gy#nr~qft;O#g^h)sT-@Ep-kcoB0VHSR zW@QJm0@>I($ki-dJZ#J?$dygZ?8w>4)nv)FEKJEI&28NNn@MT)f89YrQ84^JfZE3R zANKqI3B?Il8HA-gyP9kE?lFiZ?M4iZWt}P)fARiK zL3tzDJrNn$U8$wO)%C<+1d@8&4IC1oproX1dbr%`2_%ar?q?t-xQmZhPy}0INWQ@D zYxyQlvGZzfdy(GPiNEQ~h?I|vO}Ps!y#IW!xj-a*-`3go>LQhTCD{!lF3%Ex8@b%E zwqt3=%Yz2^_U=7bsc5eWvxi(y<4&K^O~y}7rcSf6PAdM$Ztw5)1h?qxt_hFKYZX0A z9W$>hbkwx}8BMfla!NCu(6iU-XQoRti80|6T(+NVCoE+btUAdO1R=$K4FxK&%X3j8 zOk<4xp?TCz&4xldGz!D9AhDd=FKl1Sp5U|K0i6j_CX5!NuO-vObhX4!D#ph?@bWSax}beBkAdga$KCT<2VQhsNbs6(fR zLv9`*ZMhPKLrp12_M-I(5IyodA^C)MLpsv8;&~?7?*CLjUc*$iksvlQM7og6`IRim z7p^B?e0^rlx=A11;BFb>%R7*SmmaqCbFeGJWq$Bpxm9!%#vNsW`F|t5F7>^K8lHZs?12B@2yY@jFRdr~ z5_Fpq5+mID2n%zKa&~5QoW#bl>(Im*^@<3GqsM!O;KjFwR{l&s(?v_lKNds#JuqI$ zERGDSzuJdFF)!NLl@FamqSiRgfoKLzDvGq6bd^dc|;%H=UX8XT8OJtHb0tpMINx}s4E8+x; z@RLZ>ev=HOHRK0~g_D2?8}RkblTLwBh&$fhiYB8AMo@eMT$27vv?H2XEgnp+rd~nv zYlHTf!UVuVl>=ZapJFluJ%9G?Zh2*jXH3cwS)-(R!$pP{)_uE_-yQq&ix=`g!A@m} zz+e)^hF)5PDh!@V^Pd=82Jv23hr1W*6Q_jCYtUf|Fg{2xOML81iYBpfRk!qabepYw zZ`(+b!_|Zp$qQ%|dt(-YjZifZPS~&&Wc6q5EAHT$mensBs?@9{;7?;;wSv)Q=iZEO z8(!r?o<1oww<)QaX2C`F=QAA7{ODij$Bqcc@RyV_Tk0R4lM?Y@N{?~b@`>b`tdm)I zrn1NGxSPgj_m6pvV{dxBviqp%fe}KXT$nI<5disB3o?Gc0~6x9540!X)V3@1_@U9C zOZF1XGzP>N78SuF4K!l(jQk--|n_;J5au+xQL z|MP1S^(=&DI8FT&#_Y`-TA)yDJi2?k3~TT}wSR;aX2DQ4&9eBqdZE#7B=A}cl)UGZ zK;b_n!82f`P+K>PZX(@x1CuCcd6u(p(xWt2{?Ms+3DbM@Ck`BCO&3smml6Sgh99VW z&nRyclqxQ|xM5!Rbht>_oJrSvaTbV}DG9h&>dlzDl?}6uvmf;f4H98GYRGVL0AY*_ zwAG;cPr>&m-?`j8qX_^VR#J5q{qx>b} z5D;8o6y}`=w2>KuGYZyD`@>_z%h=l)(4Vpg@q>Q5`mYQ#)GH`531qQjI^O%dAV`Hv z3#2{cJ>Do8C(}PkrEgTH1Z$yL{yXMnJEDI&Jo#5wqx$h%W2~n|_IcSd+30>XH#a3k zC+(b!P_rfte)^R-WV*Vhw!TFcVRf>Ca9ce0;=%399y%DoV9|EQfI8&DmJqkfT)7(D z*TFzoT?OuY`46TidYP!KEb7gCUg-#SINVJ=vR3D&k~K~4*{3$bl^Z+pEIJu$s~mka@KORQ=OIwyQ~SAZ45L|j;q6&2~oixq-% zb)cnj{l4HK$8R*^Y2+LT@|_{(kEN1o+_FZPR&(gasNo0PE(g0ob;f-HZiD8L%Oqzn z%56ifgaMSGstUpVMhRm@)SRZZYoWg^_7b!YUecJ~_}ir?AJHU85Dz!P!Hp7SjS{U- zg_gJ`^IZ6E+LYBNQE|RqllJq>&9BEqOnQYxSXu8BU!A`{xCF)!eks>+Z8FjoRVVkD ze(AnoA_i^2OOe(SsvJ@J4jcO#T1$d|)I1vr&(@^N>#zRVe{ z4Cz5~D(yv$IPZV__9{(F;hg_$^$lQ}ABw8*`P5g_f^IGYaWgnnOeQxhhnN9Xk5 z;;y!HaJ=ZpZ6J30M9*+VdEwwWzsk~By<7%-BJF2a;fw@@4Ar(CH=t5f_3Ab3WRl2x zN-+B(iQs!9#^~xCbP=8uuLh6N9E66voQTScdv;(O6g9Gx@knQ76-*vvRNs8v;89^c z$9CnmPRT=uwn{0TQXNS}wA=gQzZEX1$n371PgRx1%VV(O7;?x4)<-z~rj7&JGgZal#tbe-`+Iyx!PDkaQ!D4|hj}?7-h8n%YFvCwI zO*$f!G5Qtvk*2&rS(*BgV5Pu?Bpxv-URnE1U99klZ(6W+aAPb+8o>frQ%ys;{Iu7i zs&vRXlSA=0Vj*ffV*iIEFOudVj*@Q6UyB#r@ zNh8wfr3;%NC6`maydYvkf`QIQi(*uBx6e&SSU6luY+-wd<7TzrBR6~QQ z8+Kj;E3{0ZZGz9!$6{ba0U0@D2o>cKW4h4gZ4LF4cYxl6i(${nCMS!rqG)3Ycg5K^ zyOM{yep>Hu|8mpdN$AC_{ujz~r;k&w{jIGtwiEf_Y(p@^l2I?AeY_VDnimZg0i*P| z`sLb#Wuz|+$Lq=3tG{qGG^iDxA%i_*VBQ^4INwUC07WKRRiGcV`_Gl@fetP&6^!*Q zsEuH0yN?sgZ`&S_*o8$c*g~N&Ka@#sCQ7zUsYzujbZ5Aq)!QsS_~Jv_9{yz>boH|l zSr)I6TR%gSQ(ceHbptxZ1@-Wnd@dRxud<@RS|1Vb&O6>FERtR?s-TgbeGY1jC^c9> zVzmJE=gXo8Z)sc?QPz z=W#${N!e{1-fJE2%sV=_6bVD^SCWXAUY1{kuVBhH{;4;lN2g;{4x(ucE*+PTgV zJXV=XuTAg$xT{k}L)ZYh`xy4=sX}juQ$(OtA&t5W!-xJzAP4%GFN0}l zkk2~+J-&?O>kHPw&55Q3o+|NXlyQtIM|T`aK}&}RTS{jO?vFfW$1r7Th^UG7kU5<$ z?@mvGGAD2KaHW&H&QF9q9;9yQKfAxFlrh{-|D`WCS+uM zqJ#;p=WrZCoOn&A6x+!nKX-N?v2K6=D#miHh0tp18;FpyKeA&@f5N&@nSKyp3TIID zh=@*1gPhb5tQbcChl^lJA}g>TgIHdUJ!LeEy9sLvQz}MM7CA@4@mSEA{Le0HMzyV_ zbwyWWWy-kW##nc{m|6Hyy80Yg6(8dY0V+8@hMR&G+m2{ZQ1e(lt;B>G8{XIK_Uja% z0_vn@e&Q8xWojX`;d^r9Dj5yPuwQ@Bfb}8&O9<=?FAiCBY1w`koVkg_$n2KW^={ly z4uApQ-k*7oH?waWwau^K`Mlc{XL%pR}MzD zUH96&%*C@h5$;P)FlCA&d5l;?vOVcy*)U2;cvN^i_;6TUPFn8N%T>)zPuf-Pbzgl1 zRIymi2}Ov|c<^LBN}_|VZ`>@)(qYO(ffo-h5N}E_y*#KrFNGFG05B4ACmn;=f-_1q zmZo4A4Q(A*W8>n|h)N5t_r1*I#_mGhNK`-*I#^U9ckL^t>WGC+T>e15VHP`PZ&ra zkxe0qQ=6;_YX}ENMIDBhHe9QJ(l}S$iUZ-+rqI1}r~oRKbVbLpTVO2Mzl^NcV5ymeEkI!SMmTZ z1%qjB>!l15bRvH7$!86vEKbOmY^<=)3Wr~8Zm6I9vliduQQ%Ie;io~tA=x9(U2~Ob zKRYC*n4g&a%fB?kxyre?Hn*f~ZBrb<9oyR4+``kMDBtocur$);6XoB5ON6VWB-&{z zF@P?e9nVlbQmWj(L)9h~M(VXI1=U z_EKh^xbSG?hZf_6_`)?h5Z>nsSDb5d7q5Mzg=7|zo zi|gmoI(zy%*U`)pA=@bSkG)17@9$sNmNbkSJF5)wyLH%-?O8rzDvoT|hi2TKjcfe+ zmKA^Rsxb1WE?Vt{fuH?$@`cQD{*!)A1nV&P`+K+?-1Qc@m-{Kl&CTxkXjg|R=J^u4hPR6XE_xd(swME9f zy|&X-r>=SWK-DwT%JTQ~cdD;${?_xDAGcn4jXx&=Lrg+x-NZ6_H;`;H3>?-W@++^u zh)+S;4qLeMX$+qsM(Odt1)8@n&wu*e`&r1D5RmnRluTF=7_Exm>y`IBoiMB88~q8p z(X$rHXOf#m7Y>js3`wwD*}CP^Z-wEQ0W8@FJ8|03DEO45O(pki{8MNARMT$a=vaTb zaNl<=6D2bA#}`t@?I+8vP4n0Hxqg<+5}fAW_ndV;*h()@%k#WHtGcUkAyjj2$5%VN zz4g)QsKLPRB>u-fKQgU#*i=@RS2rQuWT>gv^YDAXh2i%1t4p#g-{@{rreod_qaO0R z-y2wMRrTLRaeIziAU$Na>Ha^}>e_Odzs30Xo(`ttWv6_D{g!uUbWcWTM>aQY58r6N zm&NhQ$EcEeoi^d%1opD0-HR%NM+b;Yr- zH$H*@GwldR7pl`xAl0(vKNS{%275pwnf!hK>A$U#+L5Q)hC+jY#5F{4%A`1n;t~Ei z)TB)?(WC#%^B7pXCrsGvdhs^x`K78(e(C)o>t#^&PT~J;j0c~<;ib!#diJy5 zRf&EJ_McR4l=Bv06E*PsUlyb4bk@JZcdY3Nv)!tEuSr#`4IifCMi=Kj+OOa5sb9gb zv{nM`K_dL+c6KW~BFX%<&%+W%nN>YdkOHjZ`wYVJQ_UhVzZjVJp;A~eJv=0q0*wmhPg0}>>8_sQ7@;2T~Jt?<@rtGmZw z+R7hcD(w)u-$pOfkvmor9_3e&sflf=3`QzV_Y$Fo%(3pWcIPU5F6n*9!gq%thff9o<# z%gCWvIU(bMh4m>3qKYiFBIm(=k2$-Q`l^iatlH%uL|pzDO50R zsJ{Abg1~wcU5%r!w|k1(9$~c<Z&O#Yv>vJ z@x#BSL$UnfQs+7-36s7(V!peG|ehAliJ+*&wGCt$- zuwzx~U4bZF`i*R*;A+fv;HyrxQ0jnJdwJ;{g?hpbg*nmK5&n0H!y-*M{LvEpJ`gWBcNH8=I{Xq|}SoblHp2kaoiDEOkm#gNZoCT`ul zi7FedgRgF#lIo*7lAI~!1ckb_@sUK8_z#KeCHVR$oGyHwJdlU-R=MB!DL-_jnUu0C zPrE(!>mI`oR$UNFr7J!$j_(s-DW#d)moe>z2myNX-BP3a`%CFR7+x!?$HR#>Z2oj2aGLu< zxNG3WTeO+m7HqoQj@Z80&3rTeY!lrtYSChGkq6hE*#3l!OM>^d%1(;xgG_cJw3RB! z+`ldO7hJ%)la;@UZX%utU%6aCD@KE1 z$f0aX@%*~y6m&uPq(R3XqitV0;enF{U*=v+G_K9&+I_C3$Av62)NMRWct2Nb)gNZ= ziC`;6Qpl^wFGhj;lfZpkLKg<|fH0Qu4k+rZ#2=*t)RFV#rNr0V zgf11RtMn2pC~wH;;HWMmsvEIP$RY)ngC)+{Lk=n*aqdjrHC6|$ug}hLP&u^8uT}yk zwSmlDr|afZA)Ix~mh>ATA@-H_gQnn+o!p%(DPt6Jzi&^$e`gy;N9XL@fvRH9)stc; z@xTfZXVEc`3t1|F3}(=AINO|JD+TZ=y?^UTv3n^wC<4<*YAbAlxw`v#jP>ye)BDM~ zuq@Kn(8RN~*yCJK*6P|eA~bd(iPonV$S9GAFz9$_6;$wV3Z)-86*;);yM)i{f3+el zDn%$z2SpAND(hZh5(5&b%v*=FyGSt!#g3@R7B4Er95NcT&3g39xw3TU_+L77e+5V> zFrdKIYe2cXsZOA$p{&ko4>J6ACF+@>2hf}Hnq;yqYt0ioOO?z>0d(g0#hRzX0=TyD zh~^jhv^nn?_BMO3sL>Qrx%)pm8K4i}grJZWo(x6jYRn?LFd|QUHeb2)lu`l;Y>Rx& zi*AAYvZDKcuf;NFxPqM0)fW(Q|NJ?zDP+$k3H(KLB@I2C@5|&3Rno70Q-JC%6aFR} zF32Piz$`(U1WVyR*>Jrap}#aVS8F5jcBPg<85p%A~iNCXI2c~$JV_d=#T z%1igN4|{}R57By&#z<%+(9;3ZV~KlEJ4jsGXJ_YxH?hhiz`ECt0uM9|=(=r*hKwEcItY}Ds&)MsD9My+t- zkiK-^N8~s=UBw3TSn7mH7=Co}tYv=!XF<%j04=gQq(4JnQBL<2g2bHi;gztwa~W{F zV^F;r$y2?af`8`KDjscK6BhB>aTYZUsd9n^)j*t3fRz#rDwi7h{XL?&?QWCj>7Xl| z;M00@wzc_XF6|BNvu_t?B<`DX2o?sPs^IN9V$9Rw&r|fsp?@BtZ|Ki)ZlOcr95Q`} z=fGbQIc~YsIM0-#Pwj(Ag{IxEbRydNB6I6}8JEtrHhFQ#Z`(z+Y-}q%0rjqw7mrIv zh(0+el}82mv^w>fO6iIy$kzXjlyM^ znhVyBaEmzujiig(aJL4AxzkGVx;m*uwEqkh$nOu8Ge;U>7x21Q#c@Gb%y8A#sAlR! z7i1^0?gr)$qYEzC;$w$)8&!io{N8@Q4kNNwMcS`>$QeKLbzi^R( zNE@gijpDKAMa{N?HDs?K&0HA*O}(82m7VgLT_JzYrz_)W!mn51F^XnJsc8{9sJ>eF zf>KR4Y=-X_wv4eFtP5Vh1!TbolV4T^)L)Q0SKFaPr4{!?^ps^J&n55LEVp0dpL zOGzVY9Pi&Lk%W6%&|4Kqg7!-;DRxPRU}nb+M2dI{ajrmaS0pWYN21RyS3hf@2eIb zJuuLQLn`jTr>z$y9IbEobJ+tOZ#>BBWJ9ZDZ5hTOyt&{?gYJlEahQ~;$hm65IxzoL z?B430g7H1H-!y;u(6yAblL+gix|;c&uwlEN58EVET(hfaiFk zFZ6ZQEoCO;9k_1=+)odx9>lsV)cg#D3QPUH+3xe1D22PrDWef#1fYpS4yBiRV?)pC zZU86_1`Cz|@<;$mj8wghTq(HY--tZT$agi0>LM+ki+ndP7Rjmqa<#4g3_(6JCwHxtKl~B&Ykiq* zSL^jHQZaK# zH<}ng2qc&I3y?s7PO=w(i{07_w~)#}KU3_&U|lQfB%*Nr@*HeeIZ1%t0U;+` zcSv{Cn;Q`CyK!*oQ>FN(qY|b8F2Mk^ASd-f``V_u@jt!7d00G2SUj0nT4RyfW0C7H z#56g48+4s%n^{RKoKBTZ#<1G9a+J5bs2OrVO> zhXMh~!5oN1x>PeY%TxIH0mUvdId7mVa)(|DT{BTp+O;od)ZrM6>xZF2{~x#;VXW%_ ztZQhj2I>Ef3(y-P*0mMIu9>I|L4+NLu`r9v3mj&D$zuvdl1O^g8!h3DPSW&|IR(#H zBI|f!9V9W^0>VtRo0+%;ANh&=>7O~hHJ12!9-d+ID$)ih&HhU(5dagp-!8KFb&L4v z12rSx#+l*#+gESbc0{P}4RyaEw*@rGE)r%C!Cw~tUEX~(*L$K6 zU%`Ax@9B41);;VVSBhBC*Poc-30gb5J6bk)m@rAugg5AfE-9$b$*Apwm_6dH5RgL} zz$gvS3f=F%Jt?#~@J%Y0;JI!*)d3N7(z*o+dbUKEAZg^?8WTNKG=4jGN{?*Q1NnVJ z>f`I7l;~!ZxJUy0m+fq&0|HSZw;ZI4R}y%oK*Wp`9xwpyhY*S+j8RZ+G9W(@@Tm}< zgYW|`3_WdRJOz3O?Skg)B-&!;36_xKfkGHwTR32)xv`wi1 z&y%TaG!?pQ1tbR7yKTVsAk5r81sa0%=_zU#ksjBf1L^0U7_ppFq}uXD{k~IfT=w|k zA@N5y;k*$OsP*)HXn@|tL2utM6REC67i`;&#u5=h!Whw!5um|3(BJ^Y?lQ$L7S?rn zq?bJC3=batj8~e1SueL@PO{MzL$7lV}B^n2wG-0uA0$?81}7Ws_9Z37>8z zl*Z2|Di|1OYDH5gAi{NBVQU$&YZ~X~6pVUZFmF*v)XwERB_~M=g1d;m#_xXAaq6dh zxyLGalUP1dVKU-yK#;YL)`Plk>d>H6-Y^rol%clE zh5PA+`ypb!I01Sny9tM~==}*YmZ6KwioGW|nfFGLA9;CoIVvyt$nkS8VT(w~9x)P3 z5#?JoaOFPCq&7rGnF8q~0;nVc{!8s(kgSHD+9bNY0<@fsGmLU|*5}Jw=8W?(Xx@Yj zo=xj~5|n!oaJKHuR=17J!$r~nkK>8?qlx*j{Q^n3u1%dZhaQt)CO)%KX>;9#vBVK` zh@t3HMd{Bz3d4~zz2zohnh5usHu*Ci;C?I7oPI;#l}s30t%s$hgWJf;*~Z<* z9cpW$v#a#i>byshphG%=1rgwihBBef>mPPM9IS1cEFe0S0g9TxG$EMnAp=6)39|2Kwn(KP zKyrKHBk^7>b0QwtHMT-U&c~9|Cb{HUa`}xxn|*K@%(BHX z#pO750Ar|?5zdIH53(X!&JU8P|L)piJJ+R~!O3bQU(7hsV_4=)l6B;l_AF0kS;B{5 z_JINs5iiS^&ca|Nv}QJZb%ICA_|*JW*MB{K^f+B?s9Y8DVuJk(z4ZI?6o&;3cZTg# z*=*BshKm4eTz4FY&3BfN6zj5Uxp7L8Y_MCN)Ihql&%)^8&VUy99Y(m(R#JNl;z4fu z)RX)ydZp_iSQ}vSDQID;x56%5rR$olf41$;>}8;-5{_YDc_>nP`k}QzxO}YiWNLzO2Qd7XKehsec5cy|J~%nE4dD@Q8Uai9)Wo!l_c?BOrI!Q=|BXb7O?z38R4Z zX0ivv43&18n%`xwVET02AZFhc!I~*4R<5M8NC2gMl@zh~%sq4Oy!6O~%Tex0`oj90 z(nm3UOk(;}b=>dAP?(TWJAE-R%_OyH3V0WXb17B;5qQ{@`sN2TyrmfPB>$mul-7ly zX_xZ(-^0z%PcP_SS~~W48efGeadpQuAAaMj|5E-Hr1}rR^=Rskr>vEUB}<_oFM|aa zJth_X7nV@+*$gCPEK|-5YnxyiDar4t#=$UO*tc&Ac7A?EV<{gTL>$0=?+HAdIByOE zJ0)|t9_3v69c@jw*Op_$hGFUjtbgGB0dd{y^W&MjGtSg>5t?T$+W)cS|9Mmu@OQ7T z^2ZN7o@zV2dEJJ>^9m`ZJ#N~*=EPwipb1q?9UJGL7W{?QJzu&$El)Y&>8Z{vQuElu zfW#?8-b(&WXt-!GwR3^IHqNDfK&2 zi{jq2%H3co*cN+gY~^RBy9Buq8Ggd7Yv6p#-ef99CNnhSOKT)25Z2 zS;#11joRvoi1AYn95#ZQZAVhFHBLy0&#a%opn4JR$bCm{)!LI5xOiJ!)2eutgxa-h zbWPL*P3y^)7N(iAU>?L66wX$g$HVDkJiSu6oj$hg7VTcII?stuJY-n~al#-IWg(Qc zdYe16SQ&{jcieDtN5XE^Va2?c%WPUKFmR&_A7q)Te3cK(aei0>cOdkS8rRz|pCJ&_ zyTCbdXeptVK7vl_<0`W0H_s7B`f@(qa7n^B98%_(#6q+|<hVwq)qJmO&q>Dkxf@!vI=tvURh zF@8A9j%^$=tH=0R80*$5Vj?sw!X8AYza-ToB+)rW=M9K8Vk2bsRRU`@qEqF6 zX>iWdSF$s`1X z*&=32Wirk`W(dNME%}>mlqwsapDeU&TQ7fM#7p&k8?sskfoB+Zwpkw*6P2TMlR)H? zzQ?*SVKhZsHh6iGfUukznV=Pm3THFFc^xAvd?ivK!FHVlBiegS1eqZaj8l)z-}W-N zuJHUrLW$vy!;WUr;xMBM1rt#u^0|mNy9CDaf2SKA@vKL3Hi{Lk9WO5m8L4la%^M40 zM^ODPzcy9&uPxS#G?INg>4ELv115CoNDx_+WOQ;PeFz088cQo)%QkPuOxm2D-sxsH!T56*6%5bAhyY*HIj15vpJY3=q6USpW*Lye8`+ZBu*mb zs=+v30u|D4+;mw{EtW)Jb29E94a0nuRl&AoCZ_*UN&IqiXYYlzc#K^1;vE??qu$Z5d5%7geM4F23)vcKr{nUhm2?$Hk-L~R zi0S-m1zc_zYI?vYm?yo_=RO{6ZqP0K-5I36`^>3KKv`Z;F1>p1^=)0x2~N7(pcvfR`p{I6BGlhX8(&)(x8hhT=S z-T_z4=opQK^jno3(v7gxqa4NR0^fwXTX(#9Q-*I3Y11A(Xyg5eqOUKqJ+BDS literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map.kpt b/YL_adder/db/YL_adder.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..a3ccc51a310b2f7d550c7d052f03ed1dea468a2a GIT binary patch literal 396 zcmV;70dxMW4*>uG0001ZoYj_1Z-PJ&hVTB0$==Jdpy&ZOnDk)NLmQJeJ&{$$c0+~j zvKmeN@#RA)R@y*65odzjt&|8_cr*MG^<2e#|5va0=^aw2q>Lnn;tY1K}&(K^Wh&aTJN6cEV2p`{Dsgli>_*tv62B8N^bucYYZf>N0r#iPX`c*3~ZBpvL q<+IO6KIa1Ev(87p;RVXa&PTq%pUd}oZ~x`Y3-iAxzxNKZBfo8tiM|p5 literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map.logdb b/YL_adder/db/YL_adder.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_adder/db/YL_adder.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_adder/db/YL_adder.map.qmsg b/YL_adder/db/YL_adder.map.qmsg new file mode 100644 index 0000000..c62ddd6 --- /dev/null +++ b/YL_adder/db/YL_adder.map.qmsg @@ -0,0 +1,29 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588583127832 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588583127835 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:05:27 2020 " "Processing started: Mon May 04 17:05:27 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588583127835 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588583127835 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588583127836 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588583128592 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_adder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_adder " "Found entity 1: YL_adder" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128687 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588583128687 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment.tdf " "Can't analyze file -- file YL_7segment.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588583128697 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf 1 1 " "Found 1 design units, including 1 entities, in source file /users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 7segment " "Found entity 1: 7segment" { } { { "../Exp28_Decoder/YL_7segment_sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7segment_sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128704 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588583128704 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment_sign.tdf " "Can't analyze file -- file YL_7segment_sign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588583128714 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_sign_to_unsign.tdf " "Can't analyze file -- file YL_sign_to_unsign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588583128727 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "operator.tdf 1 1 " "Found 1 design units, including 1 entities, in source file operator.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 operator " "Found entity 1: operator" { } { { "operator.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/operator.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128735 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588583128735 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "overflow.tdf 1 1 " "Found 1 design units, including 1 entities, in source file overflow.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 overflow " "Found entity 1: overflow" { } { { "overflow.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/overflow.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128750 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588583128750 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_adder " "Elaborating entity \"YL_adder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588583128835 ""} +{ "Warning" "WGDFX_PINS_OVERLAP_WARNING" "i\[3\] " "Pin \"i\[3\]\" overlaps another pin, block, or symbol" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 936 1112 64 "i\[3\]" "" } } } } } 0 275012 "Pin \"%1!s!\" overlaps another pin, block, or symbol" 0 0 "Quartus II" 0 -1 1588583128846 ""} +{ "Warning" "WSGN_SEARCH_FILE" "segment.tdf 1 1 " "Using design file segment.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 segment " "Found entity 1: segment" { } { { "segment.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/segment.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128914 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588583128914 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "segment segment:inst17 " "Elaborating entity \"segment\" for hierarchy \"segment:inst17\"" { } { { "YL_adder.bdf" "inst17" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 88 1024 1152 264 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583128917 ""} +{ "Warning" "WSGN_SEARCH_FILE" "encoder.tdf 1 1 " "Using design file encoder.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 encoder " "Found entity 1: encoder" { } { { "encoder.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/encoder.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583128940 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588583128940 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "encoder encoder:inst20 " "Elaborating entity \"encoder\" for hierarchy \"encoder:inst20\"" { } { { "YL_adder.bdf" "inst20" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 800 928 224 "inst20" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583128942 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74171 74171:inst1 " "Elaborating entity \"74171\" for hierarchy \"74171:inst1\"" { } { { "YL_adder.bdf" "inst1" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583128968 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "74171:inst1 " "Elaborated megafunction instantiation \"74171:inst1\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588583128970 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7483 7483:inst " "Elaborating entity \"7483\" for hierarchy \"7483:inst\"" { } { { "YL_adder.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583129015 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "7483:inst " "Elaborated megafunction instantiation \"7483:inst\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588583129018 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "operator operator:inst21 " "Elaborating entity \"operator\" for hierarchy \"operator:inst21\"" { } { { "YL_adder.bdf" "inst21" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 312 184 320 456 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583129034 ""} +{ "Warning" "WSGN_SEARCH_FILE" "sign.tdf 1 1 " "Using design file sign.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 sign " "Found entity 1: sign" { } { { "sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588583129135 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588583129135 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sign sign:inst19 " "Elaborating entity \"sign\" for hierarchy \"sign:inst19\"" { } { { "YL_adder.bdf" "inst19" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 360 1032 1152 536 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583129145 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "overflow overflow:inst23 " "Elaborating entity \"overflow\" for hierarchy \"overflow:inst23\"" { } { { "YL_adder.bdf" "inst23" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 400 728 848 512 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588583129183 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_A2 GND " "Pin \"OUTPUT_A2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 344 1288 1464 360 "OUTPUT_A2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_A2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_B2 GND " "Pin \"OUTPUT_B2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 376 1288 1464 392 "OUTPUT_B2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_B2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_C2 GND " "Pin \"OUTPUT_C2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 408 1288 1464 424 "OUTPUT_C2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_C2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_D2 GND " "Pin \"OUTPUT_D2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 440 1288 1464 456 "OUTPUT_D2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_D2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_E2 GND " "Pin \"OUTPUT_E2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 472 1288 1464 488 "OUTPUT_E2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_E2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_F2 GND " "Pin \"OUTPUT_F2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 504 1288 1464 520 "OUTPUT_F2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588583130064 "|YL_adder|OUTPUT_F2"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1588583130064 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588583130676 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588583130676 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "53 " "Implemented 53 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "11 " "Implemented 11 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588583130869 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588583130869 ""} { "Info" "ICUT_CUT_TM_LCELLS" "22 " "Implemented 22 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588583130869 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588583130869 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 15 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 15 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4608 " "Peak virtual memory: 4608 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588583130921 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:05:30 2020 " "Processing ended: Mon May 04 17:05:30 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588583130921 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588583130921 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588583130921 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588583130921 ""} diff --git a/YL_adder/db/YL_adder.map.rdb b/YL_adder/db/YL_adder.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..47f588cc8a1f7e8e18ea22a9f44f71d22ec25c5c GIT binary patch literal 1328 zcmV-01<(2s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J`g00000000*R00000 z006K700000001xr00000004La>{eTk+e8%JfCR!I#6{(yLU069X{BTvC)=X!6We8r zijpdj6{t_u*dBWajc2U6Y%wqJSNRLPAuh`|9w+f`OT{aQNY>oGbNkLYGr739xcC#_ zUjG}7_7Y5M);ux1(Z+2KhU4fZtp0%1?@{_kNWG7(BgJHEg{2#`Zk0RZVZQct%}hI# z-^|~{q|@~q^n~wUvw+{PbWtGk5XC9CPN<5$V7}sZ6W?$g{e;!8i1F$-1pPn%&ol5D zD&`GDx!@I(^hi6A^XCOU`UOpPtee(|>dev2<6D#-9;TDoG@a9tblfmH)&?!goX{$H zti2&`IqC8_$Ddd#(2bQMr?s?WlDZN(m0smR+ET()K^iS<$m-HPWrkDO>fvE3oTa1X zYDEn*P5~HoBwHopPJ*eHw0DhnR0_#0l}6V%!EQ+~K&3Xk(xF?Dn?mK%7hzuTb1*@T z(UoC!9kw)l5w(|HfKl14osEQ9t8>8|FR0MDueov&2|1x)rH;!G3je+_+*;X^_1Skk zca%8Yc>^MA+c}Fh1V!zC>z~8cq*- zdUuioFuaU>v!;)OXp5+P}{wV(dKHg zxN_D3Uho1USH2gy0qol6If}9Lk|wl72`yPm(*sIBy*IscZ$72jbT*ATuVeViqu;!x zhY#uQ!Q?(X{DvEg(usTMR zxzvgyim3Ejr!=HF*5YN>U&iTd7Lg%agkNMo*L5aT*V&NW-aQdI6#JkT`!3`LpF0O!ax)Rp~QDK0tj8fqz=3 z1F@ZtyHf3r{{jF2|NnRa;$~oE5MW?nh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*l zMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5&JZoEKm}|J0zkFBxe32PT7;ll z+#N&RU4x-&8QB>efZ}EwckKsK3=%*LG8U@F#WBP&-qpv|*VPYVDko5$l|cbW#_o9d zN(M;790GAba7d7+pF4^cHJ~C6u)#GaOUi*9xI-XXpvE(DG8h0|8OCPm3sNHp#2|+t z`-_oGCH=iG7=KI6Ed2e(806>ifa6Koi z$H{dDgvTdfVq+i(6wDHafSe>?aA6Q63JI}13`Zd0NJ|6?;u{{Hh(U)#yf2}#5Cp{6 z4H6iB8R8s-n{e`ZS8+Fi~p%TfhI-Er{|8EFoGj>E{xAcO4k&X?bG|{1^D?`-ooRx`rxhbqeEcVR8Nb%d4BZt zPJDuVrl;pase~&*DKx3ChNU9hV00imHs`qLZpN%11} z;nT_sa0j0dUW~;9PtI(D!143a4>QVx3+!$>={08A^65_eg4Z&-_{`f37A0TG`3ob+ zueD{!f=W!$BMXcrEJB*9oP1AiS}Wqny01y+&&5iWW#U^zk8(SQpdZQNJV}$RHno@K z?#ORR5(O#f^^5sDL`c)JY#wXFi|!c?&WNet3|qA4lUgWF@{%toqsVEDPv znV#+Uz0Myhd9*IJs0}Am6!EciNn=A2Njh!7N5IyoYtNH@ zqG)P~bCkS(>I2SuQ!IM*WCU|a)X1P*U<~cH@1#6X4ODjYG-#1WOwo_3b9Z6~c}kbW z9?Fh!Fnvi0uRyOle9Q$5H6`Y(SD4SGwFSwQO{*XPK&d1GGkv*OJs<5y(YiGQCJLnH zZYS@$el`Dtr$M4C@1XlTmD&;7m}V>h)7oUH*P?hT$LZV6X(Df6t6QeD__jjk~>k z>z*aUEUT$s&8HoHyzgBdV5JB8FsjPL|Jmw1+1y8y@LuMe~chS(iR-vj{RY+ivMvb3RoT+$9>AhIk&mep;$AWI zb&?GW)A7&AR7Hw2xiG&-|B2!G`hb$YGN@_Jah;k8`H=M&?d*+opX)Sqvo$G+EzwTG zgP-)Wys0_*8z-&b$YW*%j^(w}P``d17WK5MO}P-mw=P8{du$_41n8`|YiP7MceU+5 z29?w5JjOd(2znuJUj%|CV9b`%tlCIIyt`4G=VOzO6=v7PizUodIy2-zB-OYm#4b9r z$o1oje0Z`?pmkhKhOoxYUL?a-f3nb=DS0hdP1&c+y1Q&|QXQ*MYr{ tJiXB-C`7b37=^j1nu-PaKAC)jMwwW$@eXIsq1h)VH literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.map_bb.hdb b/YL_adder/db/YL_adder.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c3e8c632582f63bdfc161955cf24f616c02172c4 GIT binary patch literal 10855 zcmZXabxa&Ux3_UAE-enbIK`#t;)`o>r?^9LcXugL+^rNSi@U?(?pEC0{r0`@AKy*B zOft{pBI-_o6K~^WQ!dPz~lV5pz z()5=VaX*vMc}brH`ZCwRcVile3B8i!|0clE*#62@FZgo2nMnc}TOtsFlJ0o4GjpIZ zO3||I9iBw0hYsbJM{eC7h7Q&2;#pWe9Q6z_eqb#1X#OHwO)l(N9LK{`H*3Q&hE$~& zV-Fl{>Jk#UI%+rEOT&uHk3!?!+HTVQxbY4{yk zDoq$rFqv=cNM))_`{;&=uTs0+)+Y#mJ&#svAO|*dcIE*X^@b%M`YbS=V_ZV8@A}ii zZwnV=6cJ-mXd%V3#A>PML~~!xv&hKU;whufT}Ci)BR78{iT}y*b7^wUZNt*=tE~I{%kGRvQdG7q8USEkPHDiKkNFUc6KB%eOD<(%G`w>#Uh7A#_4~J5~tl{=0VPa$<&*~3@E%$j! z6xqbWFGqj?-S(XjDyyxKy*gcWS+pN_SVT3LCk9Lgd!z>N!)^@1w$j%ck5$PckNDdD zc2PTH@V6-P`ximU^_W~ahfKYS`vVNDWYq^T_PeynFZ^vS=%Gu;3=xi?A1<3@2nH2j zbC+>!$ya9&4G!3LF2TN^X8VvBI3)xmmUwl`XWA!?qy>H8XP1_}sK2#jL)d@g=>3~m z73%~Ezu<<=BAw;o2@Y*?iuOiEEk*gok&x!A*OAh84^1&yEkc&+Y$OTiwGd=PgD8&H zAF(4sbyrRMyPSeU>zhn}=1cT=p4^9<4$dosP}2W^zL1p;R+9YKayc@+k$m^S*CM$v zrr62vBg0C2atkzt9Xx(}{57COskln5^DSPq5v+*uv->4mWCrBa)e)=#U8sK(?Pk2L$n<6!X1w#!NA=;M;T)(}``+AJM)FWlaaQg+&#og|hkh&k@S}m2`E64$X-a)rb;J zGHZq<4Zvl3Jv2M|b|%x{%;{5bJg1iG!-6lQPZ3E`D`$39`D} zxT19Ab3CCjCi@$OUa|gGehaPH(e!n5T{*B^9d^UIshE!n-q-tmSz~kjQA-OL{BU$b zSf>fiYo8`&`jyaKAWO9K*)*XdxqIsa5mQxichWyZj^%k!;R-d>Y#a+Iaq55Ra7tL= ztKnxC7&A3;6&;HzDsn*fC^cTkK#$RmdUVghE;+=91pD`8`B07ujz5I;&Sdw~5Q9 zzow&!27UHETAI`CWVZFa{%U&Hu@!GwC>L>p$BG#SZ%)YQ$`978xnh$qd_;E4p`@n< zrCAt$eLyk0_MGV=r<{NEQ#QT86RAtDMCJZ$VTz8KR+w8C2h$&oM=lS?lbN=0sUi&n znMc#JP$IraGHN#w0bWFM)xDeC%U)MJ2ZRMm2&NGHgq?}|2szHT$jwszrjb_6OG7|a z<894aeioc#_>*1$OX$8L5&?o&bVtPU(umoIZJ`gk*TW1tD}nQ87gi6gf0Cx??S}}y zx&xoIK_;E}Z$^E-YLR+_K)Tp;4~vISc%fupcku`1y3Nw1qPIEDlji2VahwQ3w4+_T z27tcSdS>3%E$9S;M)su^J^~M0XLVwq4fImk;uQx4N!`kluN~K2`KrKf)00&(!LX315yWCbxdLlwsrb8-^O(VavwlYPNKe=#&wueVo*LEonYAs0{ zx|S|tYH_{NwDQ{q@*J%Oc_}_x>RB;^hEUdt9E1Og#RpWRSO%F{N762m{{Xm0r#SOT z;q|f91V*POwDuygWdPhlG4!}Z&yYyEEQ6xuzE2@EKa)fQ+xzR)t&L5KTCRt^VMmL zUxNmruJSdRfCZyY%Ai*;&_gtS%N{2?&vG+}Vq2BVgX2#T%Bdx}#@GyTV6Q33-eL6_ zkkc^|iJK8)a&N?f-2VA@uu(0gl}AT*)pG>qqpOU)!l6xAR=%4k^!#r-5wKJIULyoV zKW34dh1;w?H0ACP;$tuU@&lzAV@Nwe7qT-rs0d0LJ(qDN3F9QntvU-%Xk=K8qg0x? z%*bvz;FX=M-T1}YMY#p2!W~5ov~p>I5f{`JKE?p;{)qS-K*551P>y*Xz|4J=g$yiK z3$eYK(#B-08Sy~2{JO2>L!aTko)Ta~gpr?on95xbtR?wwe;Qia(=aY?AcmASMh3Mlh7i)6bYW+mnYJK9wO?kO( z%WGxe%Hq!XE_tMGEWyK&Y}fKOT-b@sx>!tUNQhN=Y+60yO(ueYB3Nq zEMC2|j+lCQi1N!9?kr|gNsh``4A-TB`GJznu&0u}`LKc1shasjF+7JRInpJkHnyF+ zFKHUGjQc7otF3^KapZYo^;n7u=vCU~B!Eg0$Du!w@;^kZe&>wH{VkHF{;GR~N&@g-MVR7H%{m9y&GOQWI8kqFmx=S_D)T z<|4J;ptg50NJ3ryqAGPHNt`*LgBDs6Rx?!+W;8j%y$fddf(y?UVTEK`0lrh$E>^2AL}#v`%zk9{vajSA8tY^ z)?478<_I}kQ#Q1;tCZSai08(a)RC&Cs_X@jEjvp$Tkc_Xpj=-! zE@lmJbI*uz_AN2A~?Gg>P=#=jmTkly-IQppW$9VA-JSVJ?PZ0= z0(ZvTuyLW)NNs&E^MqvWGN|z^zKkxQ1MZU>q)Yb zY6}@H24x!9dd|(~>v-3sRA_wCZMJ??*Bz%Fv8@Y^-LReQmQ=lWzwrpqUU#(?G1}cr5?bRY)o^^>Y0+FSwey;K);<}$SdR2v))-Hh|H2kdWQs zQ+d6=%=Wg8V5i2b{n@U~sc@$E>we3N%6r!FmE4ug9o<~#ypN0!gJF`tYFf3rkjxvk z|IE6f^r^tYwZ)2G(bEL?yUwA5^&`z&{ocYaigKT3pBJVZvg(!%)5+ZW?OqIIZ-!NB zm8u{FKjB!G78KzN@qH>dR9NDd6YOk5YQUluOJ2m^`+|dl=!+3$7a_{7)Mwy70Lmqc z>IT+NQxXHwlo##E~1%S{BZnuV#bwF@Y7QeI$3o7nCP(w zIX%eU?dH{yFJo}UD#VnDg>rsv{=6tjur#dtJO1y&_k$M%!=YUrrNa=sRoqW(=Zu9%-yv+{SQO7GJ>NN~kIvWQd?kq$hr3GokJ$1oBoP_a=xQ;bdPy%?a%#>tJp z#?n49V(n4E#gdhw3ICSdCzvwCzQ((uFuuflS+W^%9ch!N0Y^N!$@c{-X3rB*qCpfb~g?$-J zC4)Ib@}v01r%J45r$C^GhSzQ{>FD2=Hx5QJ`pKujP)`1Orw&p`5H5I(IP>F=<)mer zZ%t0SaQCc`B-SOLSVMJ8VZY9`iJ634fXzvLXu=p!k3#y^)$9G~k1i`-O}kVdE3P7b zm?lHd4o6g{rua%_K-e4O!AE>lTTX-{+@Fl_JY0bWL&ET4`y-ARkv~(mDgH8wA}k`| zKDh77MnTby2=;l_W8w$QnLRl7$mkuJd`8 zK3s2Q4A~JaRxl3>dLA$Oc;(2%_UV@!EKw))@r3-lAKbeRUUn|_BG!jd@*{^B{~n93?m_6zMX zm*}WM_Ak#3{wlh5Bm0Kcfy1vaa!}pj;cr!1kQO<}9bdEE99djSm=2!~4o6zLNZFWE z1?f@TMAT1IK2u+4(y)^)DT(T{sI!O(M94JcH@jzCQZuxY^;oSzwV6e#^sluoAAWUu z*l#TDQqI7HFWh)~xWu|=#3jy1Uct5$gVB1k+Cx8VTbVcrJT){)z#1$NQVO)n=p@YE zp7up0S)YR7J|tWcXh`@V+TOw^aq^}0oyxNQPdUo0~c^* z)VY)rJ@~*UWW)^mfe4}#CJ$>|J*krp)uv2zHl^H;1bc`mOs!_9`W>kd4+%qUBh6jQjCf_Yx>e#f! zhMO$o0A?a@+F@B#;zDo{`mvfL;ImYqFy7-!e8fX@!~+fXY{T0?Ky{Vj^$X?dJKP_V zo~pC;@rF1TZ+8o&j>1Y|3c=wjanx>|+*IHMys_prnuziZ6xE2_2~qU!5#2!R;c z8;TSW83}*m{n9G?p|Gc}jtOgnqZGP?m`?v08JYkO+x@Oa9V-qZPL1;;20RB!b_lG^ z%=^0-wp7}DZQYr-mEOesW8JSF@sAbv<3FjtLI2Q|6gvsjw49@w*>DDMr>BIG&(5jBS!{l0aP3p_pjEF69(8m5?NUzp&(+!*9cSNvdFr!sU; z0YGxo`$LY8x9-l`l|=_<`-RK z#`g2v`h3iFujOflAIW4@&d(tAFB->AIL8jH$O)2XZN%RXDwCek=vE&I^v@F_U+@4g zKAR$jENk;=XJgDn2bRYQ`&9Q_@nBd#m?_4|nU(gU#!9?0I_LNV&`O|Q81u%vfK?B$NY99U$Z{N{9UYn)OI$2q;+KKMohCR^-cJmb;i)7Kz z)mdi07lR~~^RBEch-6XMp7DvCJ_Fpm4Ou8Pptc%O;8d|F@g8O-U)zrNgPWS>29s$) zEg>?Y$->N9&W8=_CeZ#FR~riTu9QP4T<0z z!cH>m*+#n&$7jNyWX9jzVgi>KkoIEP*&Lz*m-vv(3BGMDPFAryw)Xe6^UN})>xyT%sJ9uLu?Cv>BT}`jNifX!r z9K);$X4(7QG%cj@I;NAi9HyyXf@-u*KhYnNiJX3*H*1HzNQbRbirXXh**glSMy}HS zdj)~+&;$Y48o6h@bm&^Z1$%fWx)f-34GnO=t-(q`rq^K3iyz$g471(DS!ZtD6DEK} zxCOaI<2dZdnN~#}NdIL2BC(ZFO4gXud^0xRPBrrqH}K@rQhWN~QnE=goZOxj=VoS$EClZs_#BPgsU93aP_LlTm$9p*L{6E%A3xK6N10SLEKXwYUY1h8J|RN8v}M~ z-#Qu;>$Mm7CIiFW2OFgvybLj2B5(letqxSiQhGqjDtsJGSYX-KCnBd-^kxEVZ`ZRQ zksyFLc{0!+F@#%vSsbI+2PHkZp2q3^4F@Zcx!6eSsBjad$oig;Qq5PtBst=rI?h6_ z$wKio2y3GkH%J0j!x=k>UIzdYZR^$>uEtXk@hcELvk?}T zO>hf56}H{b!C5pdPK&7%66Y&+XewL!;#>mXmCv%-p`gInyfxWV^7NJiy1HnvwiBgsO@tO)$gG%W;&7`(AG-+3-Ubl&Fgem?D8Zg+no4|~ zO56)J(PafWW^L=qOj6DaT0@z;4eq<7+-ipa=sXhQ0-?bwbZ|YP_~hHn#Fm4pu2pFx zW6JkJ>8sCX&^&ZLnJ{$Np079+%?(v|2N-voKbsPNHckC(5|dxml3yL_GU`t6iFI-@ zOY5iR#>_fm;)VINJS`2PLE4)oBy97gc4<+k+~UHT%6sRR7CDf?`bxo@U6o%U(U%N0 ziw(ELlz+$=Ii%b|2Q>LbFm|B9tN`l;D60m<0V@dQ46&2q;EJugTIoGaQK%&;*A_AC|O8AE_`Or7$1EFg-@` zs%t5guX*7 z)k@r=IQWEtQUe}nc}JQEmHH>Eh7;sWp=oANpIOPWNyRG$}Z}>7Xm5{*zR8!cgZYsiBbLl@X1l z3Ei_SzNvy3w9wtsU7br-9NUlX)6tPunT>IN2MOA3yH?rxpqMYA8%Eyl<$GNOuP#_` z2UxIy`Oqf4jYhd;jrH{|rpI#11_Zu&X61nq6^}eY@b59T)?Cb$=raWOy~D!Fz+r)7 zTVB+|K-5>BT3`?*CHY63_{fOP$cRCSK0L}T8JrqLFo=fojfFA?Nz8K10!%p(6|oQQ zyM_Q*Bfti@IIPhTn&7?)5fuKFnM~hQuyDrmNHCL~15b;JjEjRv7aFUh>#i)vKiwe6 zk?K7nZU${8r|U2^#b%AnK}O%_eAXl$j}L8up?{vdwKJ6(%?VRmfTQ8+cMVw7);|Yg z@^`cQvLNNUL3q_I18rfR=QhON?xpQQmikwYqs zeO7_l0#$+wGZWS0Or!4Qg@F9`>6;X0PfZIRk}bS!g6mKZ<2caJV|Qy+=A@2;ygOw9z7c# ztylK^{3Y+f9pKHsyqq$JzUA95+Q!y@ZA?2E<4+a}uzz$~KPcOP5hHA4{Q=xS2=V1x znO&Vtu(IVglO|Gc*Gt}C5xJ1pb5K-QtGARQXXTXCy!wE@xmg>SBjHiVpcu*6+s{_i zAq&(fzI5#aDdL{LOT zkVy2!gG0VnLJta06lZOmd96Uvoh>86I1{{HjlFAZ z1ME7yAiwLZ(S&rzVGg%%kJ{DBtu#0ne!Yw&C7yzKIk!^=GcyZD`2%XWvMw$i;F-R|Cd|TN*~hQD1=BJUqd%$?Y_mKn zuhvnY7tIZ76uz`CVH%xxkd1LyH%q=}R@?ua=h!TMBwFYl#CUo*%X1ENk7Xqq*mI>F z&O&a(tZy^g)CtZVAM6pi?9oIrxt=xK4x=JBjB7L}iU~Y=@(I2l4Cuc{%f80mx{jpr zNPVTBzGM*F$Bm~Q&*k@VHGh3dClMHV<{Z3cWHV1I)pYXZwNwkrWn7ejwhP<{Y3|7E zlyxw-xlY71(mWMAtgI7%P7ZI3`!H5p&v+hT_sBny9PG`=um9m5ah^1d+k90QS;y7s zjW*fA7?}5W57;72E8CCi_#M6Ga!bP<+9SsK%0+o9^u=rr>%P^h z{AirlD7WE-DjGlFM3a*KilN}fMCM@w2N3X>6(;-2=p%6zlmS3VJwMBbZY&Izvc5h; zrm5@fbb)v)v}CrS_UpaXbH970`z-H`5A3?=*kZPizRwFcxb?FckaRZIQ{`nu#?ktN zN2FdSapB3}poyLgyHj1B-!_Ib8qN8y>5}r>rL_HZ0j7fKfT7`(65#Q%sINc=A45lf z@qTwd+CLjM0B9KTNx69v97c5XAz|*hiFK51XKh|b<8(GWUuf4!nYQH14 zHbT%vh*VkCmaVjZ8;H$*RgCj9&ex)1L_$g!SoO;GP7qs{NorGeeKLt5Hd7L7qUs?( zVwXR4kj|s@HQ zC75MeuUZdXTm<-Fg6FR*_3CBYi;BZvhF4KUpBtw zL8zx;36Yr1CDLq(UCD5F4}ODjx<@uSa7;0-FxI&f^w4LfexVpIDXOr;4Ar%XCFCQu~FJjyQVo z&9iJ7W2Gjfzsd;HGV%KnUnfhsq^ZYo^rex<0S0E~aZPxUHctOEiWRBJ(n)`eWy0Ys z_rL#JT+zkAIa6i1W6NmglYXeaqe3X8*4;HhU*)hMAB$#d7;TNIG#{_xVxGCi$doJ@wB)|(P5+{WD zoXOvc4Rt{In3-i+eqFfOyK3GjL#NZ@A+g8tk5b~=h*o^`3O6R44qrYAzpc|P%!;5F z5+wAP#qR~^qUl=68~zoL+fVKXISDq8ko+1vpcg&YO+-fV*CQeNugd9?=Qs6cqMt5Y z68%4m#MHhvj7cZJ%M>v6N$zFi<=2;^ewb6byj=S%DKCeO5u)50g^52iK4@dDj*6ti zAV1GwdO#TCSwcs6LGS<5g)stF6&L379~GKyWu$(TY@9Tu*$X7i$iGMADH$SOI$?Nu zuSbpgbz&-OTSF#%VfuG&9!*U`*%N{3oW;lt(a+JcPsP~}hj`M-NuniuMzjyZKEbW1uYOz>~x z)bjZAFXQ3`FJwf-CSQi`8d8MkU}K_$9T*Lda;ETE()uB412KC?M-JGcW*;^dAVk;~ zoZvR4^ZJkatpO_Rvw}(vyRBrwmU7Xgnu8My4lS^W{PLouyXn4KOMA?OVo5z#IrjU_ z_#)C~2=*JQ`r(wUkXa3cI<%Nchy0$!092XB3dh5dw8w)WhC}OoWC&~zJ>er;;WMNL z@}SgZ>Y#akqJ`#W%2aES!SFple@5ZNK+{t3Fuv_9-@gX?dwH`rV`}N|KXb%ul}-Du zv`%EYTsDTNa24Zb(TYrTnoP%}n7z~?*o)`PCxNy8qU{2#nBi?y>H$ewLV;WB>t zhnXO^SPc$E8aR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EF$Z*1Fo<78uOys>Q?n+-R%?QCqnvF&VZ8+X6&{<(GQRQ1z!s;8&t z8J#*kgAN7;R*eY$PrCej#Q&kDnX`+PgFS$agPE0?4Iu7jWorsx=U@l0aGPfX@WcewHe-VmhdtuHV z?Wi4%WWG$krycMK^A!9I7P#!~e1geU8iIzFHp3K?6bs$=Gt91VIo)vi_Hnkgo*knr zyIG<3uozGou*g^XEqng$>1w+NwLZ`hYj5~7YBW84^+KBBi+8LtrpN_i?>8E$#G8=J z7v3ByL3xAA019%zj;GCYP${V(0@2?_qdy{mYN`UOU8~4|;FVdOQ(7Si@yF1SzoiAv z?q~Ochazf1J$CMI`~AMYzC-7aikU}-7CQpwEUWAtZb1=BQfI_2skU4%u}f1p5+wuu z8b9mTjXQ|!#mbS^%?`C2xkk)0hVQE~Y;MuWSdG!f1cz8Bf8h!G>+GI9s7JsgkU#pXPvz*(_LAhP=%ZX}5Q#tq!|J19Te0818!VTIPw zw3wFcO>U{HHK%CkKfzZvW4+v2-K(jn6f zLaif>5uT~WE+tIYBBf)IQPguuXoZ^{NRV>4zr62WDOY3&a4fa+eguU>|9=J2I%plS z1s6f-@b+M0Vbw*Tge7~Ey?hC#^)ezueAl1~iPLxhCL#lsXy(V9(Ndl000c53C*#cj zQv|^ouRr_W>QQ=ygtf_5_}k3f)7P5K?a=?`)GSXr^dPM_x^ql_=$HKJh&oraE6LJs zs#b6?Wk1+(1J2z%vPlv|qt9})Lz;bWtwvZMP{_}>X%0j}G4mJ<9;QKlM1Ao>-g0Q6GJ3$CWmzAK=fxc*utzja` zoAviy+;Eg1aEx36FY03Nn8%DlEcMy3&NC`)9~?z8xa?%7KsBS{peb0}oRxK%3|9jP z@eFfJL3vuL2<$s-vK_W;Sgd)C#?*Z(5>?`j4k;qsy2xC3Nk7B(2n77 zE2dLX&)_W8D5+Kbvy>d})oQNGTXkiML$np9G+pkxNIQU@q85RDKuZ;ct@636C6Uf$ zORf4l>)aieKQ`}H#Yc2nLyvWxdrJx+o=N!r{@B%^yd(2t$5)+JQ1|1MbP;#FXQFx4 z4}A|MkL2er`JKzk@c9IanoChA+;e6Uw+n*StPb9u z^(PBu^C2#Tx+D(#LrjyD#^ys-X6iP`E4Pi(?zQvg(6Qt|1qJSh$`@E@Nh4>tk5NvYEQ*dZ@YZX&zq)9NySS7NrK1^s$v6KwXI4)Km{?ttyaOlR@T2v5uGG<*L9I2s zJ1c%9n=H0rnVqgU`Z&I=z5V3X8-Qm!zZN0m{Xtu9ICde}b9#1+T70PvL& zQU;5iP=>(w3Ks5!yibi>`o)aoS`%?=(#`Hh5K8BT^|-2rgh%o=7jq9*OqfS?iNBj% znF6ZN29J4LTp!>`em*hN>(2_%mc=t{Ub2vI`)AZ39$38e)%yIF=#h|x6}AJN!D^9S zINeJW>NlfupF3NwNVfS7Zw%C(gmt7;iLAu!5{23mXS?D^Cv9*6<6SQjfT5oKdOf~o1G;v-07a(T4 zj|4wnLeI;hlMJhRA(XQkB7piwFxaO zO`dPQqvI01tDYVz(YUf)zdDC(Pwt7O3b#0QyO6i{s(nT?eKL6_xdZqVP27uIj9w=}IaTUv!NB}RSFQahETk6za2qp53a+ux*N{Dz4fVA|iN zAA+1gPo7b71xLu}20{j%LIlax7H!gOp=dWU+JZ_rb^h zBST&7n91|C0+_WU7n^Q@)d>$$=&1=eYZwGq3F^~|U;UY)vAcQ$Dj9I#ajbn67N!#s zEAM=aF_XE3^V$aZYaG;k;VxYDQa1Ka_ynYcB&3khKB{XS9lyV-@CW!Agd5?ec6In4NurqV~PJjdEtJzVOD zhQ(IzOS4R`1|_?W8C$;TwYZj7`hP=sw$3(-Gdw-G2D_4qR5)*PtRn@KFq&a4_qrC* zp4?d!eeJjgvuO&DMwc}tHoQ*R2VZpZQZ}yWBJn*ge=EYga2Y_-k>`5(2iMV?#$VOM zcH*wF$8PH=QFbjGHGrPHM==wg2)^OGG%fx^WtyM`vy2of`-Jajuow zQ}`BE{@nXT+%?0u<%GTvrz+uDO;b=&V;m9p_h}|>cuDpx`?Y7&Rrj9VG2FdRq!G$< z82oP@I=bE<2p0uZ*9bxSJgl@7Wcqw6%gqqGR15L&t=+@R!;+@z?CMQk-4U@jn_dim ztuVvcT{JHL2_7zU3u+qo3A@!cFXtcj{tO8L;R)lTAO%4SGQ(;uo@;AH=cS#r`)@r_ zQOQDP-f(sryoi3cdM;qz>j||f?vRH1hMeb%b-3Z_Pb&wl2c*?iL>-EF9V0p>sehjx zZ>k**CZt)yzAa@Yflp&6^B=65aIKM8tQMwJmN*=N+^8*o=b(UpMS2wL$-8BhUge5Y z8+4xKb&E7>v-{GFps>IwopmT^JWR{aqtAsz_FEJ|v-Mixy<@1F<7*Ct>k+JHil(;Wk4VqmU>JqJ0OM#iFVs_~OV>bZIZm z)x~CY!p^JHtngpFRwHpVjS<5Hl>0MX{MLzUnw2TT14U-Rr>A^#djeZCPc_3i%~1GZ z$QL7w6gjBUtqkYIT&uyFk~q&(sAnupJ@Bo>ZIqQhC3Rt_kORmUZ&7LMULmh!AV0xh ztT}sO@Tu0SjoNW>Pk6{LCAT7nTQvHa0gKcNRnj815*vus@nxz0k?>vD=m2}sb0DV; z)$Fo!GPV_zb1Qm%qiymhKH_?~{GPznT)Si?xm@gqY zA04x{sg{@%d>K=bsc8;ecNQkaW5KY@SZ6`d5g1!AH&>8X*v^hAXql>yN-Y)27;g(W z_veIUe>99`vn;Qb^9O)XRtum6bY=#fuMcJ=(n&)&309fft`#v2r&=5HU52|3m$dq- zC*GQ6;ML<6;LDy|CMB`V4o2#~?ua=WUD4@Juouof(k9I*)k^pR$NN&v)s-XV$wnw_ z;dEK284XiZ#K>f_g}X@-?jtP~@0sXO&n#Q75Ad+DVz5EZtrty}j%Oaf;NrVboLKgX zBVAKNW$9oRjXJRtjIXKwS^d^{4TQe~{-GMILqFpRX6gFJkY4mTMPzF5*N{vy9|k9_ z^cWF*$R^BSUF-ydEM~iD21Q@koPLCi3lasrJ_cuovh{gir#R()Ub1q&xG9@Beo`|J zz~oOCidV5+e@XfpHRa@73QPGvKB`2y7hm9I1%g`tMPnQ&!}qNE6XEBu3W&fi{a^1C zmgS+X$8)vo1$NF+{is*a$5^{v5UHZL`zdcMYId54hg8`sF!QgkcI2sV_-t9iS7Al7 zo0d<=MpEU~mD`n7QFu_ksIf*k9rMtZ3l2R2HR7FMUOB}Bsj|lOMGg>mc)PpuloiSe z_=l|XL0;^8;}rC?K=jo!a4^sc|cAvT_D^{_WR9~ZP1w*qM5JdNd2SOLK@Ew~RI3gs(7dE&U z;dS5V2a_kzNd*JZcmAkg#w++xZt$&_!OKvg|IDb?t>Q3fqg1&Kz>;2tLTVeO%$ zC2o`a#TJ$beD}XQ0*6SMLB)gkG$kd9AgIXyB!?I>S$2>JFX_g5Ip$A*l+>>f5fz3L zL;-Curb01}nyc9%f6;>%w-NeYijK7+%*qUSXlp1#%#_=UQnm+zW(n+fQF@}Kq4BLQ z>SEV12IO7UJbcwhE@Ey{w-kkKN0?T_`iCNIJ+R5|I#GLZ#uh8vLR6p+%6RfJXxatP z(o3x-?MVowRf&`a2qZEq6eGDK1Hl^cDei_bHpSj<3FgpUgIOz~uR`%>^uWVpq5dnKIxAiYLr8J0 z-9YjBFA5(@=4uvdft5Y_!5{l3*sb%*&}KXoDDn!zobXTj_RhxwDxod%r3(p0vd$3gIMn*k zBmT&TS2TIoj*t}{Qsdck2vqeulsB3I^E2Pb`guHc2ZO+0WX&XAHdy&0Cb1CmeX(-c4j|M&=PVNrqx96^y*(ToS!N7j%*Y0n(x?wIa>kt8V1dQF+Nm0RfGe|KPQja9SrRGeIgF<2)J zxfd&P@7UHLYD6L1=^kwX+$MC!96j*Hc}0t*xAubs$2}*f?zLz+rn?n&4Jy+F=idT% zA3902`tITlMS(Z|w?!M_xN6NK&KU?JP*iU} z$!-^h4oBAc-_lk(f^=gRO2P&L?WTc)gqTsn?CytMLq~)11g_wX7 z4{wOIxI0#}=K8_xAfNo)Jfc-FHuT8i^U+?_M_;0eCh7;}mOmgUUz-Hz$Dvv)V**yL zr#Z);n>E}-0Hkv)ze=QBl;`?HLGBXx)wCXOM3I$?O{p&-UCZ;Kh=P-KcRWxfd`DI# zv`n_A3EN&i8CANaD zTi{$^x`0eC6w1_M<@DudQ+1n5frY!bzq$L{sv`si)fO27u9E#*-$N~1jAsf@Z2v=I zau|(}nOBfW^lT_OyP9IQ9S8C6#^UDYl9J|<8da!Ls>1J$-N|~Z_@X3@TTbWqc|$fRu!DaG7d*_NHfd*9ys9cczF@k1UxMOqFMv;5R8SL9SQ0zOc&etTpSi|Q zU1}lEaZ$V)`|bWq;fOOvdu@S#mCw$ymf!E0bT`#ne7~r6)8+xYRxSIhRcCguB85Sj zGsn-o*$>ib%vd9$EFf)A(_Tx1=jsBt(B|3#J74n2Hl=+v^YF*dTUxAyO#2*ro9zWIf%UC+tCB7^ zgnK#SNyXpu9Mu>4`gZ2!g4PnFe4|olX$**xL~AA(5f#6_Z3G4J&M$1Rajf&L&kAzI z6nQl_Ru@+@t=$(*-PuaaS>Mi$7w6A>Ps;2l5ujn~)YUcbXxEu}VdAK6oY&_lKB=LL zpCaNbBI@8fqG?Yg{>gi6n`>*GZne6wwKS`4eU=x&t?1U=B$lUOCfVKXktzm#Qqc~?5_XqlLx{jQz)zQI)_^mX&>xbW!C7Y8jbXN84c+xb4R3v1*81rML+)b| z!*sXpRYmISu-S-?*AjyoiJ>g6mOyH5+gjV{?fXQjQt?gZGRwnUO^eW5ui3cHnVvgJ zZhrf)ZTq)dv2y?Nd)L(wGf1rtDgE{@n+p*u-vznUi~dil2=QK0VhGY4=55EtuM6`j86QyRFZb*-HG@BRLu!=nn9+574N;kwOs5p_~OrI($% zlz}4%wJFX4*h24e=A^JP&Pkm!`eAc%9NbBST%|uQi*1(er`E;aEB%?jqwMm(!btS0 zTRzG(qnzGV_bLnYwC-T4IXAFe85EM-23Q{BPMjm`~GSzTn zpywC<)8<)^DEFFngfIC&l}%GaELkErS`j+6YI@Ej@NTbqnYXhmu!|gBNvPrNq)LXM z^6|?aosXeKd%D+rP5-85mlMZ4KMy+Bcq?HOQbFpG)YeL>TITg>y+_wkXU9|fsRfp# zVh$Hu5+c4vJ3rb{RhyoUH73dIar35Ims#5drkbmzHEGy({gtcJrl+j7vuRJtD$JV$@AHVboj{P;fybXAJvJ%Tf1fMo) zj@9Ro+`hz0SQf2uU+}da{+8{%1gl8A_*T2+`yZK}lC0g`rE?>G*m5`OYd^V3cj&Ue zvDl{Cr4HoBQY3OCUM%G{AfH)X3FcZaEIW|C${sUpE549k5H6Q~{CXgg-qrS&9h3h3 zp!#TtKB30bOS42{5)l-Y14s-4!#)tP=>RP~QGD~y7nidN5 z+hXOmYD+-Dy3$;aibG9L!^m=ieXjS2Vb|SJ!dADz8_DIx8L>!2$LHtmovp#Y=`7(0 zn7W#K7FallJCGMKDf*!N`h8#9BAim&{F%HTce3pMS<&Lxbu0T$_#of$N%63~YtXas zNwI2C{<19pWi-xmQ`_>-vCM_ZOTXXVyFfI>YolOlIwoJqVW1b6B*g(jyhV^svJ>}i zX(S$bK{Lu?g=?b6nL}1AyJN$p zh%mOZUKA@{yhA_L7gLumsEk=~4`Oo`jv0y{C^JOG{T$N3g!uh~fUdMA%T+N?Eg1+` zXs2$J6pfJdRH&lvm`II{HW11O-D-ofsLOkW?I0F((2GpJ2>@tGpvB zU!ACqnF3QG_f>@UfW=r#Y296<2|bI*bOOOZDv%u@UA&TL&;>lpPsj*ChR4auuRQQzZ>UNb6XsWdJv)2Wuw% zP?=ef26NA-6}ie#m_s-PCYsQvbW;Z{v&?7$J@2=J?Q|uhr-NDF*jO+pE_xp- z0te8!$kG$1YCs=64?L>tdrNtyrCTslcwF%xMRdh~JQ5W_a2*O;v>jwme=Z0hbRFYn zptB)y8{bbQd$gfH`FY-nw4qKRE<;*Qs7)H3J+c|GddkiCC|W6 zV3wKH{sr+8?8eqgOYj`Ev1{oI&A0o0C|`(fJiDUFGe}^&h=k!LfoyUKZ{NSLq7-vJ z#5%K?jQNp>l&5}GY7a3?f1r(YK){(8!fF;r_z*ThwVZ0l)o#N8uoeeyUPPEZ+GeAF=sZ#WextqbBz2%2zK^vp@BTi+9-Zdr&~ z)ycH2M=6J>+-el`WlN=~z8;Y!C`U$Q2Q`^NoDG|lR3iwgz{tq47Qx#>&f;jM73Ab- z`svaz+rbXf%Hz~0>)L22`6z!dL|fT_QYsD2zj5$%vft6ublgPwC-7!9$O062dpUo} zC-@neD#J>t{vEAg#q1NIF%~ysSE!sB;_b24q(J5yN78fnZ7oVhpULVvV^#Xjx!Dcr+P3>m?dC9$Nv#!-u|Z zEbbmxP5e(BMt;^r0zNDnwMrDEf<=1dDqlvtconz;BK8C$O-%pDt1jrpcA2;@K;&{z#(xHQ z%=*qaood2%`D{x1`+`iK-i41<*nBDE){b7Dp29SGl+!fSmhFXz$|b=q!H)i|ylULr zh7v}zJLBcvxKh8G)6@sVt&bFHTR*fjmzS@1$SP z%q+DvV_Ecn(%M9Dh&j2WVo3TTF5k>^YzB-*_3vv4N2kVAi`z3Qp#0_Xp~XKOG_ha0%lBazaR6jc>k z72L{_M8=XxRyrQf0p`STuTzGoT!HxSFR5NQC!+Cbf_(~^t|#H(#GUfhP*M zMUUy#Arq=Q57qIZ5>6B%cD(egEtJS0{R3=|KMOuG18w;2O)*{0;TKDlJfA6}Zv>K8 zG?G^(k{2PszF34Bv+aBM`<1P*Sk>LvsMKI!e?VlU19;z!X}1j;clb$9^_9&h3)o1$ ztD~i9-iF~h2?5^a8B5&5!E_XALD-INPcj)Q+{D5LgX-h0hRxW=YP!ALiDd#z|@t)a7-ByCoVQP? zw!LFp4tb1AkBo%~9VC#*&jTNclr|WGFgI$E;7E6nQl+KexbJbKeWYKXyi{*vW+d0>!J~v%|o7w3(!##Ka-T#bNtMy>dJ5R1^NoluQt-{bfHv z4s0z=ybCI`&S}kCgCVT6yFRrh)YG;L5s`+xWDOa5gR#1)yFU1#_p)bAsG!NRpxz`{GMo2`Ia+KhgMpU z`5OLn;Gq7YP%?`onm7Fs`4=kINVreG>UHpjOtkv1aWf54L z6m^+GrUg!J2-TxO?aBo>RR!IPwe@UoHU2(s^iYTNr|Esp4bXDJwGNy0Ye8z04&Pvq zxcp7llSKA39v|^9?i2rXI6Al#AAUe5%+U&c%>|+SeWBYm(hhG*=1<)=_TTt9@W0M& zrETPD4%#fdjB=2F4(RJ|Itulh-mZ)%MitqdriBBUO%M4`2 zZu)OX!gGD@f*P7IeKaS>NI-#Z?C-Wy>uP8 zL=R|#1Z;eHT@(r&Nr2beWaq(=D|F;r@S&_k+f*1YHt0?<6Nj7Z`#q!aPowbx@nm1L z5?d@1pV(;Msvx_B{Qf@rZva!jzER)%h+c2+BA|`~Nx(x(kv1rwVv!DObKb#u<@BxT zQL1=yi46Z1l> z)AzkZ7eQVru!pN8Y1kxbCV@9M`6fb6BVKzsf1`Yu9iOjmi;nVh>@%-q-#KGM_dW5Q zgOT`D{=@AzU>o8+4Y;V=?ew2ghjK|3z1&f0hhBk z*y$iGGtlzCJb@XA2h2(S-_it{47}#1(_xbo=GY*ge?`T2CIr04zr;>*V5VMX$vGnI z6<$FOr@K2J_4^`N2w?^&A9_MeSt@~-tKOY9&zqo(ZbS*^;ezcqKgo(JcZhAZJUvl+ z4NB8M!u09i-Jg)xa+s2{q>!;dhhoIp5nY~5_1Fu_V_7swakdP3Q@dD1M^XczI{rih zYZ9V5btN)xb4k8DM4z=tmiB~E;&R%hy;XOU4V(2qQRBL{kNTUlH|~@0nWf7`&td|vXR%4=YtKk^LN2HZyG%?xb$BymF~Y8Zz#g2X zBx#>JR#G6e)`avpq;*ekuct^#FSq-Vk{l_-+9o~#G9=l`xfK2_*MF$D z59?vUY?BDzpp&=+4=qS?t{uL_Mt`#6o>XiD`qz@hMv$Y9$BTgCrOiNp16!-7C!1H{ zTzbYlzCmg2Uh45An2E;A&~4j~gZJ&Hb|bR|3(7(We&-mi>&(AV7v-(cx4C|os>-{b z<%MI0gz#76W%+js}UhsZMoKf#+wPj9xgH6HQ3x5#$z$~t* z!P(~+U;s->5`@JQ8`XkHoUIg__+9&90WcQL&l(tJ?;{YUwbNDWc5t;}pL}`J5n64~ zo_jQ>U{qQ9C;XPc$_giaRA7gdd+lcwDcSn-=$BZ2&da4bu@ElpF$^*aFA&O1-%~NyjqS< z+_vnX6&bk<-p7!vC+1;=QS2`N3VeLX0NNBR@Oy?(C-h9cNTJ_Qqxbc69Oith#X`Tr zLjTeLcFF_axzOfDcL`7@IvV+)>rb{JflKAf8E@N}ij*ZPo`xvsh_^iK`yu4HsH4D| zf8(3}YVw~5Acr-kyO+hkrNh6qLU!7q^TkGMS>B?&Ym*Fr??8Gnd_i*=cd`*4#JgG# zyf(=1@sMx`aMrB%KJgJ%xmdKwbf<6PKapmyTnA4Wo>k%;c9ZmvfIa-i6kEs;P(qg6 zVwL*-L0qNGdzb>cAOq^adNbsW0hxO+O@^)?ND1h^nj9TJ~XxUWLEuU@z>0$PiBZc5Y!Kth;8LKqe< z7ddNtnWNdC{HbF9j=h)#Eb-*8rjq|GXc+}Qg5sY*@d1fsq|lTW zi&F%W%Rc#!-uc3ZVXv*Yr`w&%x!2-;bBIj{T`au4$z`xiBj#zFZ{1}vCN4k z8xk|H{=TVBMEp&dd@djkbrHJe?Ut!u^=>?a-(YD;l@TS02PaeK>(9!@LY9x+=yI=1 zdEr!OHpnrb&zDG~-pc;Y_BJ`K7l0UM2pIQb7+q*jZbwX3Z|Cq}7?)P|BS(Y0<7mv3 zK5EX=*y?2M@M~zb9yot&o4iZSifW&@R^;3#oP5pKL7yIHccOiV$ve&yFK=Zk|GBsW z^b7e@W9(e)&EF|1!R_jiSul1_DGIW3w5)4sm?Fw0-~QR99ezHW)mk~MT%)j!f>i6W zu^rjEhWLPzXCyTO|2a^WQd=Pmoy4jtj2xM{h)huLY*svW_{_ncIXxCXJsQsfif0*% zXBm&L1;y8n#n+C~?7y#7*UH}z z-Jw|idyD)kpQw4yxPXYa@y#(C>TAt+sBWje3mdZ8`>VHExIN9O(IvVw!yq*CbyQIN z7kp&9tL2rYgPrx6jEu2l`O`DW!4XcayPKS--CK}$?iq*1S2#{^xd=F%0F9TK#pr`<`fw@O=&?&Qs8n(g znS76fe6Nvw&xL$Xo_tU4c&KZDsoGA_ZZcbuxcISVvAB6^s(C6!)y2Z4yprOE@?az` zLL&O=_UYdK`0O%UTbJbcY}*qjoVqk2tE;VSSBL+@*3z@eA@6F@l9!V`KWDFzse{Q4 zd7QcvYG|2Qiu;>uEz1)!#`vtTG6|8=6}ScD&n1153+AWZ^pA|P4=5ADHy>GIZiXtR zxDxK4crQM%<2J8i?Oz-4zFO5Db-E`xf75NDybX3qjAchSXgg_A>R`6)ssDI|AD;l}Bhwvn8G)m;F+&1`j5th+SOVNR3M9-~GQnH>V}X1gU2NpI)e^`6lh2)~uMeN!9x1?VjywYvOnN0D41y204xI zeRBRu;Sc+g7XGu)iNf_7@b&EP4<>gKr_{*QPP}C~8$ft`wKU>T9=we6$BXoun(NlQ zIFrf0ge28juC}KlxYX(_GpF`tFNf@h#6;BTvjgLn1DHE%?DnsFmTKn#8{Dy*;;Nlm zPDNW?6i>I$Lccc;6Jb>UpL~lJj7z~}eo|9kapcZhU-sGWqP32llFKClIH!&n3KOzT zAiunI+lUR=42zKMx#y^EcO6&KLC;vlr$HA?TP)$GknrWp+G^z_YihpDWmbp5GIlMpMU zTt8m>8S(tNr<-?7g8uS&9W>ni{E>~Om6mT`w*vWI)7g`tu&xxm0@M*|YJi9fiGpX;8U*e3L^yxvWr{5u1S%;zgJ zZJ~Z?>Kl@&hlx^C4?ZK+=#E$8-?KxrGE^cuFwaYTxSms+xnn`Ct;TaMSOqg3VoTNe zoLM@5WO?kAI*Qzz{(YS1&kmHH0f;2bKVY2U?Z$?PbFjcA@b7B>1f1GpSakIL0{VrT zlp45*w3tNaWd+}lYp4UUbF`{%@0++?)<8v@`r?@{;d^q)qiR7?#Se?Y_>w&SuQsM*1DKVONT5#AFQPjs? z0F%;XC7kPFY6%H|l&eGaUlMnW=iJ`dzI9z6>#4mGXUjiyrR!taMPc7X0z6<+>HCWT zCCNjK&>e9TRvbpcIEATR$=>FWfH%WkDyV{UPcYBAOYD!%9QRhWd%KzucRRoW1*$^; z?7ve*9%Wwg`iGU8RigqcUfSz*K7Vmpfsf}26pk5Kn#26N7Z0(}J$ZquiGi?#XqE^* zq+7zrYYnwXQYYIgI@gdQDg?4QCCD0Idr~Ko_(A!XxC)zUQVG%uA4ijzjo@TL{Y(XS zp~7(})xQ8)P3FF^n644}WrUAqKjqvB5aqDa1LS6lt<-L% zHDFHCD9YqV{ZQaN4{xx@`+8ROV<0dm;7nrV{88my*Ph$VE~$;0L!T0y8WkOvBhFSV z)vCw{yV2U;w({Zl@k=eSn6?q^lIVWY7U%woq;(-iO?9G(K1JD{$-zGX zBtK5Ys{SnjLdb+pL;u5eBvm_@MofZ_YlI$(QH>ml*cR!#&(?gY4GY+}vGB1-WDN1t z#HQ6P#lexZc-ukgNO~F*h0K?M-$Kc5LR64JJNW%l;LQz%w_*9LWG4Bp(d-fLrM^l$ zOAOT0apNScLrlRl(r#r z5#^#5FU>c4Yk#T?L4HT@K3EA;`VsjI$3mz=APr945Hhn|PkKbg0>V59+|em0Q%CxC z`HJ{3P5-;n9tej^qvFRR@V`d(QX3d>KSMYrf{21vU$ScAM* zdlC#23j4@8!x&`4nwj9r;y?!cCm_W)nBkdsP7g^J`cK#y+4V(%bu9|FU)lvZ_TU3A z^bzul7dbPDJEVWxaleFqMVB8g6>F!&_a|8-$vLebDU=8{dp>Tla~4*O!>BVd5SF^J zM^r$PUq=gC?MyhpYVQ7GgkD}R#=~;Bc(b+ua3*}IkKec2hxbK|n{Q_@(UG^*e6I}1 z=(=zzQEk_mGc)wTp&VE+X(f1HH1};~b#AAGbwh);ILcd9r_T!MVBM!H!4%lp`U~H_ zxW}$1r#jQ~{&Lw;`2$D@Si@xKnuAO_C|YW~GmK7z7MEX9R!veJZu*o>>A#jv%2CMY K{Sf)@#`Hgn-y(JZ literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.pti_db_list.ddb b/YL_adder/db/YL_adder.pti_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..89aa9b430d177793e191e5a385085595d88cff2d GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.root_partition.map.reg_db.cdb b/YL_adder/db/YL_adder.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..88de50678dd8fd688ec3bcbb55174e8adf8e05d1 GIT binary patch literal 213 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdH@Xpfte7B!5T_mh0+y_zyAOKU(cqI z&}_Kjf{epPkNH22Oqp^;Ddi literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.routing.rdb b/YL_adder/db/YL_adder.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b0bbe12281194f92b1f088eb823208128ccdb9fe GIT binary patch literal 5891 zcmdT|eLU0a`=`F?td1NzdXlFTlN6#T#0u?rD#R#a$@9ZO9-7CkBBCUO5L!=1W0r>* z=An{@#VE`cHk3@6XU1&oyY2kW>vev=U%!)1ukRnf?e*Gyy*}5j>%Q*$y5HCJ{@jux zA|ja0qWs?w{?~{<+Is|t_yh(hXdYBQpsuN46z1dauAp^LOW}ZyhNhN=)&b3f3br1> zmwenj6s%p{{1h}5Y|RxMJT54laQ6x2Ti%%1$afdnWztTj z$o#r<DSJ|T^aQueoBbJk>u%C%yFadl>@7kS6N_!#Yls+%OGqw@BQSjlon_Cls69&dPOpgp^5Wez@$6P zD4gk-HFWn%cHHjA7roVhdUhG6NM)3%kBsAuxGhjnSAm(zY3YRC-KhV|q{za%Ru6&P z%npoi#MVd6vZuYXuy6_J%)N{b_`Lk*YDZ*c4ShkJ?3-*qXIcpL@FVR*IcE54r^Goz zD|>5!Xeja96#N+xsa$;HFar*z*I3s3-6da}*oFRhtSCaM2VI66&9KIpSLXa`gi&?! za{-Xi?*BwFnngq&mO;$S_BYgC%8Pagn&?MQ_WL6oIcwra^udD~8JH|BHi#7E<)){d#( zEx@Eq(;7Lzr5u9#%Mrs{=;NkabTebq35oXkv5tdyaj+O4oI#B**#2BW8AiG``r6YiZjkebABH< z3CR+R$x)BYDTE_o%&YyL4)_f*#%i2~<^dqurYSSTw9q5WuU!o#4fWSeh;y{Zf0Lqx z=OWE({~7AIt#)ys^88eihCxAGrhV}u1Jpx!Z_&92^?2*}N(5k`WT|V;%-g3a66s=8 zx37La8tCX)N-xN={(BRWMlvt>h>UtNa)I_Uaxm_6Zub){=k&NIrs*q|Cv7! zsuXC`V6Nh;^`XW->kYx;Lt{}(BRDtZa4u=3K+jH`bYA23l{h7(UjSyNM!>Y&Ul%o% zqIF>Ljm`#;`*siQwM!VkRgS%>%j%Z0Q$%Q|v0S9i5~(Nl%nmOlhbHaJI21&r+7@SM zWBnyE`SO|MiZX~^(=iL_DtG4I-q$$}m97H07~*(VG4H~CkoY+*mXx0dX{fo!jc zDNa45`6f+FeP>h>u52{pH>yA`O11AbrLDtI!`?luJWPnAujI~;G_o55$UzT$AVpY6 zR~Tq8@RBMC+|L1p=~VV^AzfmYF>*bA@8ah0Xq_uNIB){ZON&my#~A9@*0#$T<~4B4 zCZ{6}=g3^Kmu>D$ZY>e5Ggq4H-VI97{9Joe(vObo3dj1?`6?w>j7|H}Cpyb^?Mc+v zwV9nfS?MkCG=jLnqPH!C0sc`dpLILg-~Q`H;lp$a$8on+>!m4#B|%4L^{Zg$Wyqu zk5j=EZr>(;T0R^{+jKn#xizi)jRJe0xs{-<51nlGVUDaeB!S?58je~UVYvhwcfp@vZj{9?Im5j)Jk>XzD)=$)gB!Eaq3;Jw27r2YD& z+X^+Pv8UWWP>&c(RAJ>d2=>8rO=oO+4*>7Lxo~rltbdOc@7sfJ_FSdlH1tE;2Gqr@ z)`bpcZPc7NUbcN;{U?+=V*hgKn!MhQ^HO#VRvaW>VhmZ~?VJrdWnEyM^pjfxM>A@( z2N{_%%5L2r?G72mY~Fy@VOYFV^kR0kM~7NSKz8oT2{A41e5}<$Z!yw=`O7@p9YWf9 zdkuK`6I=QB;`-Sn-VNaXorEzH!|33cFkq?>AL%N$PLxD-3*<8~xXF6G#AqQt%%oa& zQ|gR`_^5Y;!}3h(h4@fPa!Vbjql5{DVF=h$y9b5%05+AjhD`~vQiScLIqXRdG+Uo_ z(;)HvnUNZ}w?HO4j87J&x8fgNv1raWxwt==re7hDKNp29LYtz~b(})OwUJb2`3Sr8 z-LC$$pFx9fN!)Bak-#n`Cdl?}4%i|e`JDFln-BFGQ>3Kist6z$0DpgPj5uWc(DMlX zr7t2mw6X!_gCJqq33A@c{Ww?@v#gxv!C-M7O;J4Y@0@t1xHhI1pdA88~STyf}JoVm4VrJP{Jz}M0IgXK>(D>HB@%!l3_Q>)Kr2DgCm0g0zGH$odZzzzz2FyeEMh)!!9Ih)6BwEeLu zXT@|uk(^=&KK&ij{blB_i_^!I209Ax5exneNjF*+oo1Ky;Nj(Yb-8i~t*FIM-2<*# zI%grlcZ(wq!a^devhy5rC)#FoU}S6Y2E>mBLkx{?#^Tq5`ZBPm8A_INvDIVK!K*Y87m~I1=w6-xDoao;^Ru&$+8or4 zUX8ZuX!^h@vEWy=bcsEC%;lKb#j3iN`Q&WyIXiKAmhmeINw5j`r(f3I?*EAIr6JI; zp|8NJ_Gj{N1ru#kBu&XG=NF6XSA902QPPMLGLg@xO#gFL;lIV3YgQGr>>t?P?-izJ zmyBAMvN&qzAAgc)Yn7|bYi{RUYDjU?ei8-KwsR}Ij2X@@Tzl*Ja@zm@KMgkr1{}`Q zV=V_?dccjCn+k};yh~(d=bZ*?Bo#a5`mBZ>?s`zNdDfML-J_vy?-zy0;ul<`AqiPc z``sXbbs~X?Rqw=l+J1ze<(G^`V*8&Rp@UJL7e)x6Oc+b}8(w-5@gl`7ASia%z;osQ zGz1dkh#?x=W%(6tzW7wLR%YqVlQ+YDfE>6gdGotK^+l>y6$waZuqrr+^aSomXD$*? z+f9nL2QSs&vPZ#1^?Crvp@#gU{jga+B?I@5f)nMfw)rIVq4?bAhgkmol*oo}j_GdJ z^d~Ys@kYz973M@L7hP*HwIwo3KoTY}b@~P&Zu`uBjCtxRX)4 zQTWFaeMZUVG=yMz;S-;IzK)r3seD0~uZI#pq? z-s9e!+97_^XJcB z*Q&4-JN@IpF`1F~Qt~6xL-JDVfUf7)UGNm!3=xZe#CiPV%VYUUKgCK#RO!Vp>508c zIHJd{YUb!iRO=a6n2KzDc0fghNR^dqYwr;z=t;%@KN@PT iVsomh#Ev@?TRWbM-nkb#ry|k1<1Q8@Cb*C0@%{~A1y;uZ literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.rtlv.hdb b/YL_adder/db/YL_adder.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..60b14d27ccd3d6cc401cd3715925fd4961c66329 GIT binary patch literal 14362 zcmZvDWl&sA&@S#8An4-m?(XghF2UUwm!P`@cXtaAAh<(tcY?bu?yfiQ_x-q4w{D%P z?)lNvr)TErI(7P)#)g7|szQbSC*A)&lK=EqOE-60XD4zFE>?C{4suDLt%C(QCl@C< zJ1-jtCmSa_2N$`PrJJX%xh1*!H*lIpeHO`ea$JttClSi zY{!HszbBWDQ21A$S*9?rj!qVdmM6tdYNW8HEZ`SS30Os@N;%_;%IMO31(i&4Na{WZ zbK4LTugc*Mz)2>a!Ra=5*y@f_an4pw+V&VcT&A*8Gy-d%ZV2iIBP)uoskpxprc0v4uWCQytCIzQy zU}fSMOt>bBYK>p3ve${cjF4TtAhFZ)K~5pCk#%BX=~(C!r>LeVj;K>s#wG3sNXpk? zUsU%9E?OT$l1rKc{*}b?fs)g4a3~fX<7*x%vuL$5DM~Ku$MV6M!tV@jmgxrZIT#hx z|961+7pD1(Q>Aw9@c!7yRXyKXdW(nMSh^nZRvVceV~XEz&gp0sViG4&7j=+AlHUK% z#eYsO`G5cMW4!1QgnD>14L3bmN-c-CTFtDNiS}zV7U_KBbeLM{6MpbgAoRj%%~~nW z)~&A^cd|%yI~Sxp@_0$7h#3G|5NC+%eRi(wy=P~@ZL6bE9_?YOk5L(IeTft*LYePr zlPedj`%oO-^Xl{&V68)<87Y?{L~@b_I5n8H5XUU14jGOoxYhqQAB(4MZyX(s{N`f& zO)G8rb%2*WPmkG*RAa)to|-R_uq!`fOD}fEUswFrXgZ?YHgzb!--#$r*N1MJZ6mK_ zs7qh{DPx)fEx4pEX$rSkEMa2yhI93Z9ubhTDrWw}g92HBPBA!1mY1y7$I6+?cGd?O zY&%NpovN;g!E|sU*>5h0NxyW3wJVS9pTMp6i$ho5q5Dqv&g^ts1}wI|39Cc?J}q$2Ia1*i=$ zfa~)TJw`B*HD@i=6v&s>(HQr6W6}#Fmk|^qjU008D(eeQPZz0a=%mNzW*a~Sr=~Z_ zdX&LUE}j93wJKDlxP-8qOTEFL=YYbjZcp*?1`h}3pTF{Fz2eTB8zKN|&(XWRaRUYo zn_}fqpF|@VrWR`t&2qea5`N=|cdoo{&sxZT#a3 zyCF)dU-~xspZRyaMRzA-Z>pD+R%XZN4sUnixodi4Z1-8S4GS)FMz*ZW7#jIF@>%m< zHqDrHrMS%J9vE8gGs;x)mD{IMwLdVRz}yyWNNt^ffG^tZOwYoF=?#~W#p_QFlT3U8 z{817U^0D`~g{j~4pHW%5f$jykbVnqM_`_DZk*Ajakl#6wHh;j<&5Bz;xTlC(dOR|@ zmRm1l&G+C0g3o|W)a5(3<`U)p`0v%Lqu5$FlLy1G(r9i;j<8xz&u|3&bcK1Ee zTcPhp9P8^R`yHNa0`ovBs&Xz_RS(95m=hH}SvrXc*MJB)z*%3%Bv(@l#vdIFHO{Y5 zI`F@ssY(m=vPTmnsPCBfAC+gGe6pbzj8R^TSQMEKP;d% zRY5znlQI36`R3bUbU@k4QR=^eLtVyIV~rd?ZV;L<7CLZ>A=?B`wVPD!0aBq7@Z`}a zwV*!U07N?&5t(_AX0+_=`jQ>FPK{;bsS zVz1*_N?YknBVv76FvX0lv5@*Tk24;?Z&+k%Vu!j&KP<(+4Ckd`fTh3{9Wvl>f&!53 z>)~DvMc@4V%a0}9PmX;e);romc# zWWi@)uzgQi(M|6ep>)!sj>wx7EQNV2AFRk5Btl$ZPX{hiu@Hg|C!xT7nv9Es?g`O% zfq=Y@ewdy^!l^>{_RvU$CBMQYM4u7D@#NrgpPCg)s8`@}rxOYKRUz%DgikU_f7R$C zf5^8Gofz}^wQY)Rtz!xOC7P_gUe}DqtQ>vj466MB>L3=xtW@aay^P7Ahvo|d55p}4fSKdX|@h(J`yvF);!OUd;hgOTggF(=m%g`p5B zQ{EMQ30&^$U|)gxH!iC3*c7#>-fUZD@oA)(as>2d0)75@!vo4cODPgK*2;|@3myzZ z)3Xd*m@GMLCj~e$w_m3_6!nJse4`*XkK#wKCn)x{;YJ3H;t&4k<6d-Q{tpye7S&u_ z>K4SD96FY`#xMed?&xy1w9>-8a9P65QMLQ-x}*l8dmD=t0kOnG%fZ=^@}DL{$daKni$?o=NKfST7*6Ai^k%oJb4z4Zhphr|`bo*kWz$ z_amYpEvAk!i>$E;Yk8pF_We$?v5ItM`cT)e!z;PwN68rP|Anf*vy7EuGL61!@0*&0 z#oCh^FZ^B_B6@L{|MnAdZ>G93P^J0}ROzqX|$42j~?@7nIY=6H}rCtgVCP&iZx3=5_+POJ+43)C9^TwU6ad)N+ zi%F?XxArGYN_J^5WO=(ksj+Q2in~1XpyA#p-(sy~P6vznq>_B!@Z2LVS?}jtz*q6( zISc>xS=dv+=}8XhWXK7B@Vs_%X`f$I)Bofk@#!bJpn&tBjIhr9iMCZ-iH%>jd0a*| ze76Rr4tj&aR0KFExz)J(Nsx7}8NhjEHjZ#3Qr?=ly{UNW!s{)#xorD0NPi|(XP=U- zMiQcETyQh-sdWm*`91@EhGAGfYe-4|K#t`%dd-ppd)|0vl}cB5;2%7S?z0qToy7ON z5d0cuM{u>QRZ~aiSr$aAMPvRpw3KWbvn!?*ac(kK=&}!y0H6GtaQzEduHZYw)dv*) z<;t(gaOY$x1z9 zT4gwKn0%*knC_G_dC52ahJGhMbmyEK2d!#gcq1euH6agG#pau3m1Y5qsT=zB6|7~73L6#guj9qCX+or3@-2?Txz=99VwGm>* zxjjZiO8^&c6zz>pnStGt#;w_J=l3Vfhw{-a0y$z(C4X|qzUR!d62)Xx9y?0ikI9Z8 zgDz%?WH@>3oBvm=a5oDqzC_rqRjiQ_m!86MPqUi=XKR@9paKwdSo+gwaj4y%Pu?^qHZCeSKmVlLoV3_iVaxZdt5tVA)?=YV9uKE-Sj$lgOPpCj5ulyD2SnYv|Rz+9m>e5?!o zw@gY4fwg-9?v@lj8R=nTPz&ajrgWRqU@>l}9s5RzJjbKvKdTY5|JfKpPO}#9-_Jd1 z1j_8()iU?r`jvV<%!&s|2#J!ZtYf2loZ!u-We;MC35`%D61F9es-=nr5U^ud35i(f z@%-4Gu?PihXtdMgb)(f0iq6o7!A*-4&J7bw4eG1W#WE|`C9pVXX)?nHf?`y*_*jb+ z=TgyF42UM2>^;-`D0(xg|D8=RGD0o7-poGC-ao%lc*lt|sb%jH#2I{soUj$@Y2&84 zXd4MJ<4Fi6MDkPLUf9PsP>+9gPGSgjF`I_WgeSVSxIQ-L^Opp}hPHg>8G?1i+kqdx zVFq-Q#A{LnAdj1e4Y9$eOG;VA_l+YQjDXo-(@n9ySky>O_+e+zVc&d$(qtOzi|;uM zy`{$`vgIQEqklhA1zw~i!x?GOph!@Lto?}a2o3-vXGI?^3k(&d%C^GK*d%Skh!>*Y zNV{ltBg>k&{T>?JMx&&X3xND#U+Z@cq0pe0jgZFM>d@edm-Mq(YhC(DC@+HjBePbw zQw4cgo&Fze#G|C~4`O=ILei36Q*mo92`Tq5hanqOsneJQl<&A?Bp{-SZ(S~GS4(%R z@df2M9hPaO)*sTU}R zNQ1gCp;=}2SYOx*pTc%Pf=}jGPwN)`#2s&<27;A&2S#@ZIO+5Wf((2m7f1$j1dZOM z8>ACVt)%HWq=#}GB24XyLwVzne9o=ps%-#sgTcy`D%>)@L>DWWU_R7&lg~A2Rk+@#!2X>;P@15cIas`&zDL2Ag7Ct#u z|5vJu)5I}f{Q$2YLGER#$PtE}g%|?o(~7|*dz^iLq+*5YV1_N#N!`|h@x&)jI%4bA zT>l$%{WJQFLJk3%f=Ily;p4|zE*sO)J>5Uct=U^wdmw&MbYwdgNZ{gBYnq%aR}9BY zv1p!8yc&rS{w%5Fsp@0_+l4*YQNGIC7~lZ8^(@~dYyKuAigw~KMCP#rt@d<}*@87B z^}?s@4K4yp9ioCCBv^CZ6R3ly-1zILcXmAxsi!<*WCD?VM8|e{)TpkM8z2gyY!Fvj z%hd;}YhjgH60|9q+$g(b8g3fE=z~au)F%o~=>xv^gpOk7l#XKb6cNP3J8#<~G7lzas9%n4bEubPSDwLwv z==tbP+C$9aMZeEGK4i6-FHlIYr9H|@i(Y$Pb!_Z&ux&Ho=v<~-6V^m#yu(;tJLs)k z>&sj#U%*E!j(Hl&Ovwh)QU1V;r`!K*th`J*_Jx)tN*JHcM`beEHvt3oZkuBWZ*}Xz}YXH?%eS}djI`rwk&C+^`!+B^2<=wWI9u_*ZS zfg0`v1m%5WJk{Ev#R%B2;2bk&ne91jv2*o7+06Ku*A(@~p4=_o`->pSU2;*}iH*;F z;u0!L`yIq`#{*FVUIhkMxRCc3>l*0EBMVHe7L|UAF+@xfwbFPz5{Dz` zZ@1Jq#Z?00w*Ao*rizz+J*^fa%bo4bjh->p>6z)d*(-s(+2Y0hLV{O2{Z*UY?*NSX0<};KaRBOnQ)?##@rp;dzf-)i6=I-mU`1R=f;PCG# zi+DZMUcD&ovrM~-ikO%fl}3lK!KB?P0HCp4-(dA|sV*`m=T+F1S6yCe9YanDP$Dxw zWRU83dPtI$UZKKTr2_OU_@Ha2wdr3OysxcBF6ex`?FkAo&q7W}>H^l#=ya@jycbyw zfGcIY#Am$kd&n%B&zjjX2sS${9#SXDzTV#mZzJz)QA;9c<27nA$%(X(Lwvt{Z=+oi z8Z1kVjq6JrDy2LIPq%TlB_?LOb^he_^1khiGw3yR=R^pn}Z&RS%%J$UVv`dBIj~m5f5~p-e15_gyUsKuG-Y#sj3Q8 z0XD^|k=y1RAKRQ9%Jbr7+z7A6H)M32y6u%SGZ(M5ITYHX^|g08+AY`ddIYXoyv+C4 zjjZ(7xyG}S2oz_x2}}if(C}%yPB(h|DsilH+?|b`Ox2g86KSyQs5H0LIhl*tz6vzpcx(=Z{zf_*3vm3E+%{-are>l2et(c zaGSr4XOL5B5tEQjHD@`Rgf}eu59p{x2D}~Ao9hXUp6-_awdYK^%25%@%bQ3x$;b=8 zE$0m!d$w$9uwKm#*s9-GKJgE@d`tA1hhS!6+TGohlue0>5&dAr2;+ zcDsFxUn`?t(n#v+A}(*AB5GLg(W^Hey$>u4Sw-8f)`q_HFdH>7W#KorEkD(^QpM26 zqX&zcp2t$!hRruHWd)Uo)=ZX#PUUuaS^_gSZSM5R(ysnK&n$j$l^ogQUwkXj_A$w} z&zc&v5(Sv$wON1YT#C}*)%IM;+*_FgIl6Z|UCHnkpH`lZ%>W0frEw-}%>%FgK+((s zU|j!LKlV$QsB2}btPk&pRXc;ZfO&8?^_{*EGpdG9CRbG@aTcMTKljO?MrlsXq}-ei zpH?Q<-zmDWZ-#Q4reJ=QE>tp$y*Z5&P${QLo*2Y(RZP1jHE9;21;3j_b4#YZl2xXY zz)j2I>mwXwIF;tAc$`>Eu9D+900ai4*+MESZ@QJ+GZvm62X2Pej@jo z#Uw~=9C4y$bHaWfn~sn;yEUb~;=su%2NPhHi7MiDP_w)rpPTt=nO)|@kZmkVt z;)>cJsD%-=W6CFHZ<7r3B25tM=$tS@%|5pK`tn{+*L5A?vo7PMAlG)Yb`oSDNkW63 zL-TvKqpq4?+LLyD;Qf6;UVqnhPWH!4muJ-^V9S>K(-lp19v9o}Y|9W}YwPYx0~wW=^>_U#*os)W>SB z@*LkB&Wak<_M;vmZ!QQhmZz=_Y3baQC$MjmUf3f7~hpi zzoW)aNV;{a6PItfq_RL$kf=r$lNgI9cWXNg*~hZ_!dyz6HGQDPN(uVL(s+=+alDUo zQ%zohGczG;*DM}wsA|r-hjnM&7alaDElEvS8tHa0IfSN%C98uEc2^x9J?Jp&6I7CiH$U31MEEb|k(BpAXjnWim89n@zM{xts z!pufJQc=i-;w8*yhH45Zwo*&u>q{#1p!u3W+?J@K2~izKr_wm75k`;d_z{YcZiHn} z9PJX_h+4Vo{3)1iDc>X|6AG+?K1r+oc3z}%%gM2)BtumNk3wb>VQywgk?7zH9W`C- zL{_;@P~9A?)yePWmr&3-qnPEAxW5nQr~spoS4q-}$-P|fP?(HDhG*!%RCkP&GivmO zJ4aCAV0WHlT6t;c$0t$gxMZ^^*mx|~i_~;&8QsB`{l(vF{fQK)o=%ZKB}et!6z?1b zDn|Yky=mfCBrolf;iInVD#?tYMD+*-BfI(eT&%&yRdif;<7`x50U`G87G=Z*|Cy@T z&IYE#yS3QPnb=W44w>tG#D)JxocAWCQ=35C%KEd&y%IZq3L7($(U0nf9EaA#0YV=ly9^nK;U9!!zkoFmEA1 z))oSyM}@WR!#5xV|7q4HB%P}%2ECTnfGd=GojsJ}fuHZ2(dtLE+)agOSt7lDsG~(Yb`0Av-rdkBZLKriY0rzab<#OGR3kC+-Dg2kA=s&Q z2w&0*WagL8U3-Li%;u+zgMWpqL~Uypr$xlY;?kG;6gf-#lA|FzB-z_JwzG zwaJEMCo48H-LnL<3(Z?h%Dirj*eAEdI?6 zS-p~QAKBnAc}`{GX_@Rz?koy)LXG-@Zvm6?SQF|PozDA{H|k1^X(;sxQj7P)5^IP2 zek(5|$k7d&CU3&2S*Qb{iL^TP07=b1VtoVgxL_e_cuHAK)2{B5(JTLsK|HP65E?|v z^5Jj%3RMDF((PJA0#DlP{4+a>b;v|u zwj_~=*i`>9)<{h-nZbw8d=5c{%;s5q4lz@7`Zl-}ljK~K9REwQJL|P%Q3mh-<+okf znO&}W@lcu6_VgipkIUvltjlfrJFH5>D}#hD4AIP+Z$hfR<71L2TC5!BYjtNB)Lno0 zXh%%;`&X8ega^h;~k8j#V+g-6Qruu{wk9r#HT)5xSFSdwu{D=uG@$^gU= z4cD)htpT{8a4kb?GZ{8PONO^E#{8OS0AjE%j=aK?KVBs4vjHi~B)-b5K~CcF598;6 z!-U@-@qF>-;prE{Pq~O$rS#zve2cSy!=C|lQlL|~{+em0oZ*u%l+Lq}9Wpe%RklCY z-}9wnd&qC?{eJ;Q?uyu9T!|;)`jwGRo?lAFi}_0N7aBitVsvSNIy68X3ZM=`tnOK| zg~+vEQtwsiYRSj1K|in=@~X|Qlv2b&Ih32{KJwtK6^Zg&xi3zEX6@*Pm!+2oMljjDpymm z5!TB~u|T-Qz6w^%P3_XJjWjF@^=;#}f_qQjw}MKN2MJSU--dy@z@vD}?~i_01A+-+ zuwk1Yx_oN}XQb5_ch&lfng5aOjWl*sG>4HfI$D=REe$2w%S-z9>%Zv&k@Z z8Hftciu^A0vk5Pt&oM&TgN);ikN0|Mhb9}ItvQSvgbht|6Wmd$YG~tS{Ir zwpw%@9nBhq`Fk+`ddI>F5xkC&ccY`9xmWo7@+x=qU<<+&SUrn zIE?Bsd?dJs2Dp|4)ain_h{T*y{pCV5f>EMF9?vr-f@K$7;sn z|6T2o>gF#=UP1>0`e>yS#$AaJs~aC(%*rdq@o{6oZbcSAK{`9wVt`IBLom%H{VpH~ zbu$E=ZVhMp8QwG&nzkPli{1~w2m4u(pX>eE?w(4@jqz=BY^A%J<3>r?1r8$%?nwi# z4aNDfQ&^|-;Gq68h|P&&f(OL+@ORq~D^3t15dHj~zuwnBqVzi`H#k^k{ zP@uY}47jEf+-v-r9IM&axk0(2i0!P7?K}hj)PV8y3*#vp8SNZ+1HH6lxgrR_O)`it^|K3$8Bf+5@}e3 z;{ytBNEQ=$cm94^BmApBfP_@!4(+b`2taUjPR8PQPgXMep2OO+nI=xM_^yoH|Ls;! z{1xM=)hw*_2EDo&Za>cXHo>8{n@tm3>WAefQkOQN3z#b{=I#J>DP zUO!DWTJ>V3)e)ah1TSJtqhi_@K<@7`Fmzk_yvRCqpSZn|fGx~I!b%<4+e}et!Zg+n z@*88nkb>742emJzzrolq+?gXgNU1#)-v;Kt0j{9&t|aiTDDYfGuzpN9%~O~xP&C8r z=sfxfCaeO70fKu@;`EADw|1wbc&;^;b1RyPd(EGBeCV&^F`jZTo;opnaIw1YT9=y$ zC>|>PA=0jR=aY9hdQfnY^P^`?SXjz|Ppeqid&opvk*Ny7`{9F0(Az)nt9ovTYk&00 zrHNC}t8s1l9rxBQ`FhX(10Ia4e#H81H{Dc#Jh`c;WR1_4Ib-44uL^IV zj(_(4GZl%(*MB15D|A6dlUm8O8=fmGmg2*0rS!s~G=j&lK7jM5)Z`q6)OVXE0K(vf zE0ti;9Szoy&LrEx?&B!@0Md{S?@zE!GSUuK>$K$aVv1}l|(mxZyMhfU?+F_uE3_jp-ZO;U$uaMK!sYw)=`w$K;W zcILwR+vhVByem6$?-EVMLno!eCT-~&H4}4%hDDv>D|?p6YvAN!Ie4)W>3yB&!^5}a zhj-SGM>fWtGsYd~@6)Oni*TkJ8siL~+I1K&;0h1tPsZOa82^!RKRepPz_3pSfNRl| zsTUyS;y<9LJf!>+V)`(yxXV(FNsyK@8s>Vz8C(782bA)1bN6<_FjRlEnH$Bzv?>4e@7#~1CTky0Ok1)ZX z6TXs;{+B?VA6UT{P#WBu3L>*~+YS(&BYJXN%22^QVZik_z%@4D+Ex4&B|wtSEfnLa z7~_eX+@IGaaPvpP-=Y#7n!Fi?fY0%gWM014?$GH|h^9{S15c7r8Ei1S7a+EBFk2U2OITAZz*kn!MYeWpPTJSYGWgZ+%Xy>c(%~<+% z>krQ9DEu#eW1D_294_xpk7{^VICxiD<%@)cNBv^oO^!j4(==S-tyj z#zV49h?%@={NhS#gEk1UJ`Q}kxhn+>Kk4$1`n~YHlRAm-)$pa#zKu`YVCAD>Wo1_1 z*KuU=k5YM&YA>rr%QT5tEZKUC?Tz+*O1gY}%IH-5en*Ps@tWd#q$1<`m$sDs(4V5% zV4k@ott=3qqd=wK&1CtR`QL$)vL@#h;ee5hpG;^bQc_(r4rCQyt~!2UfqH9TeqdD0 zSFF}m@T03X@X1#m)9O@M_qnrJ1gOQ~{wA@Z^R?n zDjI;w-Z6O~AIWP~QBqzaq$A zALRcP<$&+){Wr

O1OmaLB(G#2!P}+hP!qPU z-e+wEr0$x3(&Bg2It1xPKag=hVD?OdyyC7hH9GLbemEPtyyz=)f4q=#hqTRPaT`@Cs?fltX2+Is{~I~f|&~NA26C5z5cN%p8qa3rLr1mo*W(@AKFzmdNwYk z04d4=f~fyA-#72I5ghCoPC4D?zG!=!ivZ~e44VLnnp$O!ch_o?%9H^4db~A zGzXO5j|@8wm8s34Z6G!PK|-QJXCz&69p~--_^j5RXG;yX3yA6EjNKwGrc?FtoN>t9 zI{{sL0%Z!h_*#jO+0Z?KX3n@sYs@m01J~AC5YDeXd;uTTP0hexNsuHyhO)N|x(R%W z^{B^6IgC>YJ?^xGXGPpLH^XF<(gf`ysyiZ;e=MKE*oxhq<=N<{!c^5Te6 z$*;p278M7BSa0Ba-y7n+sc2kav;dC<^CX!Oq-W6S}}R2XuF@TaQx1=A)?@`>_?;_alMaa``U;n_o|=Kr3UAtJ*cY9 zlWAfDW|qc+=?pbABU5yne?jV9p|(-9HKzhV+WW_ke=QOpAKuW#I`$5DGPt?>mP$S; z5B0|C36cpRib{Fo>q1@^sqL6v50r~!)r$L;n&k4DpKy^WXVQ^Su+6#CZEQnmWn~nS zO+#RiIku=|docrTE`t@7U%&m3o)T!UU+sj~@1^DP^E$}lf;>$aW=&X25ttJPtzYAH z=(02z(w^D(-iGV3zdGdFt5ke@sUGSKQ3~bB65_2gTEFu4vvV9uVrhxa_4}0gxlh+b zfj<#@4ETkcJO7Y)#2`L?(c8$U`iqP9w)NdBRM-M-80(qZPdmaUOO&}2ll5@q5%k5B zaU-2YWpi;(d>V@dBdvcmB2ljf7{%MpLKdgJIX^^lEb~2-zjG}0L6us+jK4Xo>sb@i z57CAZiT;FeF?w-!^{7-MBSMzQZOrxPI;#Df59xFVN~QYN`5g~db8E8Vt!bsT_)dk# z5vBqh;9oEKCW@zOr*|2Xh`)2yr#jp8VDJZs`N2JRI@X*H)I?5WMU6m5TBCANDSyFd zRh_L*x5#79M12%MAld=yOdyBSQDc5XG`;_O6BHeWulwov+|Ei9wV^(iCH(zi8&Y0T z{8_lSEqB-NXsOr7fpd$^$fpL?*x?iRwGvF;HtCR_+a7sphzaO`&#bU^X4)m#(tQ4P zUvfYr5jl`_d}#zY2lr|=mrsGk)K;_TivB|ClC+Hdc(+iUIoI-&gotJIs7X`CIQ{0P zxy+@4G8@HCzucPP_xp4Q2JE0Y*K?STUatd`#RpSIn0Nz#?>pK;XJOvr9ER7Au8BMT zYV{0nP$IU{1f zg;y40SrL2rJK48VC@}@v=JtpO5u3SweL^o5U)z{A1}8b13;AWAc&^#p(8A&8!EaGy z(7MY#+AW!pgN+=pGQYs`n*(LVfXlNjlUCT%7U7WQrTJ)LADv6iEmE_>zBsWCzjp;z zAl5Ao!`Dp*9=`2(ZH7X$-kjjBjEUg)^#FW77x~N#4;j=1E1$6_YGYZ}-~#tgG}Bzc z{D-4ut6hKUfsEV@TJFCj^Eo*T|FDmG|F{n+Q(MS|&2B)?!A7JGYtn33K94CLdQ^8P zmIE`EnQBkGZ%1xSwxuRXh+m?an?o5ae|hS4A&93oZj;VXdeZ8uM8u?|eTbXlQs+mz zcSY*aoZam`0QO$N=h4v`g}C;r{x36tFB+1r+561C|oaGjp+ z>#w}~X7S2UW!MAY zfw19luzZP^4zRoK0=y7Uj;8P;F)ltKG6T!N*Y4KxoYd@q zg*`N~6KRf$7h|aS%+9)N&G9C#>oeBCJGTQZ~$nl3dZ#x|$7;P^YzwHcw$*1Yq} z*MHHWR5vP)XmtY5%X7k~;XcY!k6hQ$qHxM$-bD=l{l0WqPXznir#@t;di?e6>3U|? zjhHuYA$m2Mcyz(p^LRm@l;FRhtIll`W&WosQ+5`L`2w yu_J)*`%!8Agyj-g^rzK`ekY>C%EAMWlBrNl;2C(gf*6 zYA69hfD{sv-|v6#X6~71Zf@shZ+CC^=I&j(bg7p1^1m_oUswN!maaj;p8kFh#U(|= zM8qGehkE+BJd}`>cqk?#DlQ=^Ato;Q(9AU`%+uNRp|PX0_e1fAX1WipT%8_jx_E~C zTWLx7C*@zW;rkCMSN=l={{Iko8C4X~AgXPF5S_i+GnJ+8TA?ExK<{vgy%uLXBCbcnzNWS+wfUWo|#!dzs!( zEgSF}Nhmy=im3oEpX?JZR-m$JWtp(4;Pa>%Kt-iH=wz%=K6sl}R{cCVt1{@VuaEB9 zRNNK`lyT89Swr@Ld_nxCB!WV`y)1d(_Gq!w*fusE!yiTVQuT>66;08*D8io&yBN4N zqrE=3^uja}ToftnRs4&DjdJ}NmnM`F=WU`}x<*^@Uzf2Vhlg9p=bW_-O^U2XlYYd!ZUz|Y-6wrG` zGcqwa4Su$VB3)45QTo&+7?RjI6Jx&DbILh+mOdzn^oZW(;b}-t`XkOdq5$@j)2cd+ zHSrPBYUKfeWZaWzB{R~PU8^&{n>%}-jc3HQ{Q3O}B!f7HY%lVcDVN;{2k?Nm7u*hP zu{2=62X!(KfFN7WyoJv^p=|EdzYZP^D75<8Ygz3MbJSnxc*zn47gb-<$LjtI) z=Z$~g8fUDl*;v}^Sij*GUwy4DeKOI$HuwuA__g5pZNy9AKz&T|VH)Mh1MuMpc=0RI z_?;gpFcgBZ`^LPNk}HSf59n_v^`!TS01v!{p3UUs}?tP7N+x!?||37 z1!}nSVeE~d=Pa`-`yVte3xliBRc1YFea)q@Jo`80Ix{YD1J7qhj3D|;zg$&XuUrz* zMq@&GfP~I_-Om9oQSB;r4&lTR(TKv#bJ+6QCB-wj6F82*1+P$+& zEVJ#Ul#HQ6_-5WP`_-~iCfkJ)n(f|wF)rJ9!ul`L=f*#ypOuXRLOLI`2+}Vu0obV7 z?W}sT_iujpvcGI(ZPmkIMUU3}>1r=aXaCr(*mgN>JBYq+kbYaLEHl8z_l8xS@Y=Ul zJ|#!r8%8e;t~h%U-&Oi`taU7k>K@E4-j|XvIPRX3Ro&m-_gBakr4Apy-|zEeU#5t` zd-?K$y=lEwX@NiVpusTKSXKt3KP)s7y5x>cu)fzKLC+~n@cI#*$L_Bjx?xc12RjI? z)Ep_%>&=Gzd4E7SWv7PFpCFUk{3Gs!8Dp#5L_g)%q8<5FoRL)pMn1(#p-Br7c&A4? zrLSiiMf=P&cezRroOefp_)S`;7E|vw6OziKcPBM+Id7(yKlS;3_TXjj)f;Q|bU^{! zUFtV_<(=5sv%ne>De4+ru;)axoskaJdqzjW)!j9t5D~9kVlF2XmCz~b`a z{28fvC#J5v&P~RCTsB*CAV0}_2YX_;9CYEk*qGLTxyz61i_yDl6Y6K&h9{)9&1t2y z$?di2O3RVB=EU^`@3~)#>t$3AkdxW+G4A=5lK6Sa^7Ys4*x%W;P#>8}>5-D8Y(_JZ zkk1cl%P?{99p>eOKnaCU9Dd3%8>5avKp_h@HhuAtfw(IhT&j1}Z@uv}FEC-Rtv3Tz zZ*Gp4{L#24q7!T@;#st+$*@mS$+t#^|L)t1@`MQ4{2}BGXy?1ZbnSu&aLA}`4kx^k?$iuTA5SjqxJOg z)rB+nY39~b*O#Y7Z=q)EVHXE>3#P6*Sap7di3rlA5QyBRB7VAO$SSIdh~CRP-Hj#9 z?jn~mP3Mp0>kU5cZhd}JM2r2UiUmnOaUX?qn%PK~PcPm-uFXJCROAYH&RbD_-u9VZ z_V$V-x7JrQIT=;Wnv3uZx71fGI02+_`NMXi24+#`PYYNC&b+o*x+IEPFO_u2sPRpC z2KA?kTz0AndwcaZAYag zEXG$)M#gMUI$OJF*j%!e{~78-<#e?LfNWzVSiP4uq1!f}93Z%vC+1}QZx6h-6cZv!=L3lNj;EG?hjJRxor2~!wF0Hn_+>0^qI*- zblXqSnRfnaCbI@ja%wNwp}_N%=}x#tVZ}mnXBNG48LI#AW;3-nup(ozy~Pd{Z`)~O z9EE>1&8hI9mAQSo?Y(7ZjNIoE#xB4}_q5fltmub)R*n~J@0tq6+?Q@+Djoz1mYX^Y zKB0l`PDKfGwc4BpJ$)c>_x|v!U;oY9WLBDc`MiU}_*+el!`@(WDqI$xWDx5-pT-S6 zzv+4vDS3D;!Yr8vDjzON2ew_AW$pfxz8@7&WFDHRZUGzC6?t#?d=u5`SZff4QaGEq z7QA-ue~be0`o7UH5Lv9-Dqbd~9z8FeHB;_qD)Zryn)xAIG}LCI|7DEH4Eo5@=|gYG za93H1dXuavEc`2U4}_RYT`)V{FNRjOKkd1!mhc<-P5Z#@wO0&KHCMzrQ}z zt{B2VR$KOHjbzx>3No*2A~@5?belNq=(Y^kDf%atM1N7>q@VW6xJh)w|Mb_u`>BgB zRjqZ`wv{Ew-_zx>S(U05cQA*I-5u8Xi%##yiuM~Tl~^oaxVs%Y^<-mtdWc)MqZk=W zE$cEI9k=Rh2s8;_i0)N>Hn$9IPU^$7aQ7H$UU-vRQ%G?N`hcHWUY^wPGnLd1gweH~ z?Z7xjb}iL|DyB-bSJg*Tud4E>Yb$@K&RTwbU@f-wDyeRlA1FMZcA{n$8tVqGnI*|a z6|h~mQE3JKs2a-4mT`9VqxH>^3K?)^VUz(oXS80FAO?rjOCwZw&y7c)dHfYaPdJ}V zFfh#Z2-uNRavkz(_ZUhoP<*D=I<6B|f8yBLzx$}Yxof=2AQ#}ZM_T3itk^xD26q?q z(;0>88wv$#TnOym3{y<_y@0|E$mPAf_xP&Y%g-vU)+G$LaJ}A!FEVPKS*w^>a56)4Dk4OH2_>5dS0og zUstC$vz=11E?5!BWceN6+Lu?c9PoL7_m5oTcEGFhuT{>{v^mjz3yJ}LsQ1pfGa6n2 zzghY88}ny+*K~7YZckP&L<=K@8(hS4-^z@m)H9{Z=dHIY%gg${xfj(i^HXIBYUOz+ z%XYebC&ciQvP3*rZ|_Cj${lGV-2If({7;N4TfE2k!IuO@R<|4rb~*L|pi>GU06?23 zFQ|Ghqskr6S)uNR|B~yGtHY}J{+*|CQ)y#F`ew?(F1N=(mDtyeQIlU;T|?VW=Fcrl z*NFV+p!3VYFP66ei}wO~YnsI@r~KsfS&TSpwA1QVEFD=zH3kSSh?}aXLi3%y7XDxT zuul5#bwUjswSPW%DKM;UnTlC++WT-#G$jac;KQ<2C2~1OjI&eUz1zZ?u72_!T|H;1 zp7fLQAB9F?ebMosNyp=+ZRjqCSDUU~QsI5sBgbO4)(1V?W(GXBFBfebcTeY!<}(AE zZBCkk?g(17A8R*l2W%XML9E=G>o}_p{_wOar{1@Uup1X)^I!MVDX&D{<*8^N$Ww5S zk?;H#&t `ICax-9&lKVA+oqr9;hvey448%P@-~ylq8AL2XBclYy>aUL>%hYIobO zuIjIu?a(xjq=A8KX0UZ*;PvKc^G3m5Fzmz83eJ-J9(ci@6R5%*WJx<_`?gN&K8kv z81{xe&QSFU@n=F{2tEFk+b>z#4U}Sp+p2_@m06GP9mxN7URFQm$mD}~NC>d!*1Bx+ zv~|)1p9i@XEzUO{pE3>)b4i`|MX<01(+0OQ07a0r*$g6>NGs%n#r(!{oB3XQ>1X45 zblUpcbw3qt#S>D%nPtn*ti9HC3K*Kej}22QJ&duMdK_vm0zZE=Ed6e2T;@Ji)ElHI ze(=;2vvOBU>yXxU^Jl!?EKOTViUU45dO252L)p*#_3gySQeA^))b#?liF?wcsXSi! z1o~{g)R>FYoyu|4XY0}HjBCt*&q*K9U5&b$`1}cV&CUnht1mU9DCA*y4^NCxDoDrKix5ZC?sn~US1_1jUutPB5A|unt`jl^J|O0k~TX}*6ikJ zchJAQU$a9>g+KNNcTfibf44tN4M%)m*J!n;vw&4|d|_7P7QYd7pY~}lxtF_Rr4PZZ z*ymyNidQ?IZ#)-MDAS@my^$f!*INQf=tC))bF@q+H|&q+%H%qJ`oORA(T$Bz>ESQrsU9GvfhC$lDX{bx{A=q8n(w%q=VlLx%qJ|*@vwEBC;V!D&*}j> zy*uD;f%I$sksBR(hrW0XdXG3Y9}!eS8tu}CqZ3{9fhc(xTat^SL?sD7nO7^Ec@`@nY($OXF3 zL95Nps+QU-zDs9?Aot4H3eShqkOY_U1`e6E;x}$9*?ib6_pC=3m`Gx{9@`vJXmo-A z25qU-6cuEBf5|X!AGB#zg)u3b+;3 zR{@|?c^yU@N=ig(?KB&Bg9g64$p%%*FZv5MJnwC$8pr3-rVbs=5{NNh6nDPPd8-<& z>ih+ppc)Bpmr=G&5meozL6^I?^1!t%3KTQ#E2|8}v3G#Lf7;NOIeLAOm_3w%43Pdz%RMlILL3bQ=_}!&fHyxZ$kjX=j7d3 zj!O&Owi5G4$R0|=$?QUT-{S1U9^zR?t6pMzFxo|)U(^5!Bt}xiiImj&ulk~Q_h@ygHj>6fUJhEp`TNOh+*`<5 zK(uXbw80OPCm7eU`E;{>?VyuOFyy)Gm7b*0=1u==cAW?FwB7x;iCn9WX|1$)$ORKu zF>&30a!}jT%%JZiE)`ECtkEf+7x>ph$Q`plIA>V zz_BqhWAZnx1K76i5rPgTHt#G=>dDBh0CYPTk-zQJ2~&f>M>OsW zJmO1J`~F7JB+y?yMO0(VwRHk1kBpsj4m|V~g@f@^PL!szZ>y@OM5yvb#Nk;#4t!D_ ziaMJ;GJ<{n0ws|*$A9_MLmO6)zT`~diN&G;SX>LUIAg?Sd6g^~1V0VY z2A>ei1O5GbK5-JG29j1Cly}z!y8rYcdcXEKoNIKuH{-pF-0v4YMu#Uw_eLd)<^rqO zzP1ye533N)%96L#_6A2ck1Ad2IIrsXRdirf57#%|wJnUSIsl`qyIBMi+-Wy#AlU(6&_sMYIea6Oo*`d)8)#JzVTPnQf-b)}F50g6AN%nCj2rk2 zSgktOE`7{cSk44kahxz;PpMZrC)WRS4nLd?6mvm(nQ8T#bhbav3o%uVZT#PX@67Qu za+IaAXZ~4;$f1IeM(@EBZYjZ3m$pzhj#V?MnOV~fR@26(Wo24HCP}#zer`&)hf$Ac zf>GczvV%g@PuO~ka#I^SjBl9kpvfc6kMCVE9mQpL!&0f8DuTqKXLN5dX)9Ub|Pp5pdmaI%O= z-SEM8ZzV2l4$po^4y^mgDY9}X?Uw_MT>sE&r@6<_ud>+pu>9B{Ks##3Yhg-}-8B}! z&xg060^Rldd34G!3oN!p3{Sn++ zj8qMa#3%w$PnQBoFL62GKC?d>$zw+!1EQa}o(Jz{=J!NJDGlTvgxft{#R`_L43TG= z;rF&Yz}qpgJ8xti&9OiS$G{V*Va{>TyN}nj+r4zY@G`#Pe@iqoV#)oX&AH`$Gu7u+ zM{)LlffaN}q+fwl{I$LuCIUK)T-nt*FkNsBOl>RMvm{1#ua4g*n{-lmehRE=vRd?I znie~%(C)Ibk~$@mX@V`%CN_fOQmWT0$zNj)+a$DqKRx|EcKyn_gDAp^ofj&@%u%Mi zgKaFil@fz)N)3kboAz!n}PXl6~+bIt<>iggHIC&+og?6 zX3LuE&0ooLz*@PvI)4d#fCFC^?*N#v-Ozvhpg*sBu=4m+BAGn%fxXIm{lLCR-I8G( zR(A7`eqG!f#*BAWwB*!HTbv1b6lcZSy5f5Po(AY>30#D9QxCI(#24^Q#mqp+e9>ypZL(2&g?dtkATyixc@bFQGaR*3m+}V$k$nJH z9BVSeUyFDT?Oniz@zX_FI~-oOFVuTZqhfja*`Aj7q*EwJAGe_`&_^QgEAf1WHF#IvSmMRu6?>_-QT4y ztwxCU7TBBkHBB7_I`uB{9@b}emt%NrhaXp-CSjfGgzDja#oiGDh;^9*|Cs_^+rhxU zHj+_d<kK`ipy1#{0`f_(nc?lk#pMvNQn=R*wN3iXIc#e)v_b zFsax`m1QugFEvE5Q*sK~ku*2Qy9AHL!J6qL_{%#d<91JjgvfS9K?8xtNPOlx*LwF7 zCpHx=xHB5$u_{X&V;m(Bqm(KUlUzI_*cw^f)y;u8rcphxYU9ryc;^_Mzjg9!2P$x9 z3Y&DRh#xs;)HQoPZmz@bvnobM(rablm%}0kqhPs!7RI{g}h_m*e`KSvi-^EOpkJyQ%Y>>uK zSM`)4>h%NiC-jzf;sJL;P`rL*ju$)FJCLCS#ASjK)iI{r@Iu|6WgHKi*13gA*I4Ri z-&x`c8n^i^n$?;9F`#tz*(uYJ@mJ-+s>vYUYM@%ekxc@&-xjDKF@sGpjqYYawUoKO+0Vbr#%(vO`a88F4y*--oqvK;jdphb9Ha)rLUO}GTlSM_^EWY`0&R!c5Ed*Dt z@{~X<_sO0ZfDfl{MsJMTJu1Vg6PxpQ)$KSFCTy{3LfHmWkCG{KW=p&-+x}Q&3rrzqOL83N8WcYc`If8h&_1elT|Zm z3pleyfPv&8#E+y~mG6vZqz~8~L~Vnb9A4&XpwgG)i#M1z6o`x{X5rp(Jrcp!IO0OV zXz$8X3ibGk%R!{}vUm9*ODf$$j-u>~2_%5#;zTEt2Qlr>VSvEL_vOqoVq@W-9qEWN z-5So6$84B}%%##3p(zKeA2WwIT<iC)RJC@b6<0a8@S$81Ucfa~ zZ!)=YXUi6O#!YE@Uj%+=JyXM(R)i&I;BG76YWqt1%;MQq$6Gh`2_q`8vn(UKkq7%9 zDNH{kk0PLh6vH7-Jr)uh^@uGT6+ivwJU9td!|h-$wHR3E5T1~7y0{MV{{F3$nGI~! zuycL?<6rp@pW)zY8hR_P>(Y+?MUzM6L;I~*QIL7h;>E#XbY-a_~w zhd?vngxzn?;BzC-G`17~8Tb(+M3sUPP z>w>{wU;FpxiEA(E5-;$lSa@k1Vl4AE6wV98>gvKj>7m=Fqck+{U^-TL#{hQ%f!0(M zOoAv*HQi#LZX!`55#Gqn1^p{5681K%eeiP(lpT$fMT;f@Gw-E6g-`#G59G@5c@qlkdr;31QZ*jJe#zea{jtZ%Y{VD!qnQ&UUN)dQeIVj zTy(!hHQ3uq{?5tYc`)_#5n0X9Tlr5i;z7VR(JvjC^NmmxvEAA~7)4}^+)^NYfs3%J_FGagOy5-&jVz!?>^ zpKhMB{tbs=BrNeP@v`!Yb4jq@zEKy571Bx-9N3}KNLZ*Re(Y8Dz9;#-sz@5DC3wcV zoO->)XLdQrp@>+I(`)-4mn(I*9s|1CIoewu-9=`)2$UF`k@+T0@;px}?i)jlq8xqg zf7e3wqJW&kb7)-JU?Z}!Tk!8eNh+uSIdj(tBHrY_*Kof8O9ZmeNr}$x42lyu3>MR+ zL9QY?^ z&8tQL^toC=?vcB+-To0JnQ4Fl(Ti_|Mt_m8ikWenE{DIH33twD2_T3X>?~8_PA%5y z)}QUnpLZDJqn%=l04k@JswHF2&Nj2X_+PlL8cv}Ynu41V3kX%y63H|*+^pc{L~37$ zkLcb5XV8+G;6Op1RLi;+yN4dtfO9@ViGz@=eg5Kwwn`uxOIyKev?-RhW|df(+L}B1 zW#_fq34At*l;hBsf%DRhp5(MmiH7-`uEeV&_`7nh<8R%Xkk9d?+vQf+@m1yulzH6T z;4ZR!`1%9LWz}pBz5jS~5s3VBUvK_A3%ojnaxBaNCPCq;Zz^N*?DV>814*GQO7cr@ z+`K=}lX9vaK`QE(;$I`21vCVSpgyVDlp2HKh#T@sH2gU#D%^thxGyi2W9v^{_kI&^ z@Il0%FX<`}%Xfs%&cmcRPjiA@Y6J<{w;*$~>uD-UtwXuaW_O~>@3{A4&KFtJoQ7W~ zo3&=jd6eL(mRG>nExfijqkk&P&IOUVl4iuDwyw8DDEp4moz5@KHWzJNU_UbxoTJ7T zl&U@?7H}aeXgd#jvrZU8|Nb-~S2Qtg6tm0r5+klHxMgj0l)Wr}<8E(N6qvUdKMenZ~k zvtRQsuwAgVBj%x+^#op9SZUj=5Ugd;KKQlJGIoif<=}sW|hhP;o;gE8fo4wsZ8>(@We^sRXA#J0tP6m#(Bc-ptF&KemaRpKnRc z(Jv}eanf*o)W-J`#zI5-WkR9XE|RW9RZ++N%2!s(2r-ZrRuXvsnf|w9j%tu6U6{8| zqdRNc)$4D!kwZrTAFeDs_wbvt8+jhFA b+LpO~HMg<c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GVg00000000mK00000 z003zL00000008a;00000004Lal}{L@Suyc*T$T#^V909+poC3xA-L2aZ@|RWXLOUYkXqw;unZjXQpfWZ4y&ggFey)Q_bx#NZ;Q=)Ebux!!0td`4v^jmvHp zv6hV^2!5;pNJc2SZahoEuM1am{o$I^@BN;&{J1udWl5%H!aOrZk>>i2jEmR#;qGx! z7Dt2SEIUnca4~uo|I48wZq^q|i{Pk#Fz_|-$P-aX)&Yl@w;cbf(=p<|nqjtZXlCXl zKiq#=yz#Hinx`P8p+m+YIb)pi3EE{sdp{h$bpz0@8_AFO-Ed>jJvWk{3feQ#+(h?H z^dLbgmj3gV7c6{GVNCo&!!3+dj2Bkx7S_@QB687JctE=lCa8-(L0$9}6p2Nty+Y&{ zymn*3Yd03W_GE$D1Eh@vaP*zcrxlC|zB`-Gwvq+@EP3MIs1XM?4qmmbU6rNQ>!$T~ z`L+fc;of%Kl^qT)D>$}x+!bpA-QG&cJ)W|AJf-(|%8#0sotKuKmzJHEmYtWj&E2-S z+ctOG=I*%9XL3RvJIb9ji3Gos=Fq`u3LR{7ckL*(RXREPWdySOs4GGqW1?3jJwg({E+IUZrCe~4?4FHnSmK@Esm Y7#x6Pzk}s|1t5*8(i24`00030{|RYq8vpUDU_Qoc@9TQqr*j+}9A!M5N8bO)^p9u-edg~0 ze+pDqRa8<`2I>cRc)I~rR8@dV>L6tmkcyJBD$o-8EYQOh3cT;^>IGB=THXa(LtTKk z-8`NjDZeNEHysDZlED9gASbK4VrKNE9bHZDo{WO8Xq$QiTpiwy=XCB=l}a!b}H z(6A>2TgoR}uk}`p|C?mkrwOl7Fs_9<0H#7zz1q+to^_M93L-^AREV}HICVNY|@(n-e*BrA>HB-wEdkfG+ zD)_NYbRgax${#fCE;TXm&wUqQ0zCFeN2L*^$^yH_QF@R5F ze_8KCw<%!R;mtx3{93+nlur@wOe@V|#qWvrbki8*?pa!RDwFKUl-s34Hf}whS-A75 zt*&Vk0%KIQ&GBiQsQG4qFAZD)&p2%-}6r=}opfM#`e(erqUhq1Y=zr4s|%KG(px0&*&MH zo9U_^{nhF`wrRQK?;RT?UtjtsquYE@j2}$h5%@)#yS<*U{@^l{cbagb%K^ z>h9Tn)!((RvlqbXfsy_BxNQh?``5AZYwj<|KXc&PW2+o?j{BtvS~P8URn6OZ&xB4k z@zpJ)xv{OzXb$+#$y2(uuoysp=^@P5Q%_WX-X_wDS$#0fbSbSZi>OZ&{pPW- zAEvUM@j#5s-_b4yZV_agZ+659w!K)e{>CYN_-MAm6hW%uH9d(VXZzFTku`QED{RHh zad_Ip_XsYcbM;ILB5YJ48@-l3L}9Fp%vOC3#M{W5&x{vw@22RA*BN3Mk#r{x_E7Y_ zm6A9Ih#*R+e_KjL&yt3SAl@giROX7hRvFp*%7-}^6{*wSS%U!k)BtsYe9MHf_PQ+$ zGb160f@@C>Sp-3>x1dtz_?-g2xHx9KVk_)mWas*EP=jy0Qil!S{dqgbwLc)h4FagT zF;;M*?)oHdb8yUpj1Q|yil?It_LxG1QRmY2h<0?X-d1e4`6kNgXuv;M?9t(FU9>#>S#AhDphM#cg^Af6pPYt2X zc3k-?#ml3Hgj2geDJpbxS?`Qh_=&0aOZD>-59lw zEiyc0z9UP&0$Z6eu=@o%nxO){+UeSdDoYrNxuUhE?um%X`XbLK)`qp*Z7YvY)f9N@ zAlOoNH;$up$_!RuT(Q9_3|5dGyQL>FsN}e=laXg=-}Lk}Q(U7X7%2o~k`>p)3%tGvDDK@=E6)Z2SI}G@C^`*j+GMe1< zt~ym8qhOh~44Xh4GV};j-Qn}Azd-!k`6r?EO_#Q(ULsp0EMhMYZwqRpxPvR=0(KUH zUbc+6vWRCttIAi^W_ztCnkVfKQq*h-d%X-v{vyg#%k!IfQAT}3oL4dCC79&4*t*{b_7_G0V z=_ZA!*@%e-*o0M!fBjigv-kXILkVy%$hBB<+;z{43q9P?hTXBjm>X^KuhTiJ`!STX z=AKtN0g=q?~MnUs|w5aUu`0R>sv8>QEVHe|tcsa@Qny{;NCx)-qUEk8~i2(-d!F zuLB~Rm7B8S$c#aSN6)(`G380qHy;+uhEt#?6$Z_>$9p$B9lQXblJ*d2%dNK8Bx&@P z$=Sr$L`1v>I({2~qZt`(ih*|h&{5J=&L2eyJcBa2C^1>rm_3eje8p#6uZ9GU>U=R=J`3|t<2 z+8u}QpkKp6k(%+%rE<$fY?k%|44kr6>QAxa4ZXZ)x*d1A-~156C1ZlCEH`|95~6i~ z?_8K|=dDd@Me+tw?C+hL4auSe*1HOK18pNcLCd|@(Ny)SuoH;#Y^pxX^94J22&zxS zd~jo?K!$NhltfWz2~dWxpRmdaS3XbcM8>Oy_Jn8C*m6_DB+Mr_kiwd?7z@z}n8HY+ zc4=a!>v^rMND^93gPLe0!ozkj=u7MdbU7Lt46wXJaU^r|mNE)Ll*ia~Mgm{;7ju2R z39x=4&rf${t2Ucy;SGqG_&}q21hp1J_UzWIgWDwe`I!qB6wwV#qz5^E8jfn9>g5LkuE$qYv9^;_MJ>~3X} zGHg|@j>m7Wb+T}y?z@aB^1jjhghI9cARM%DVUe;PgIv+#ohaIzx1AHuqW>wOt$QP;~RVCNPczY313FPMlyeRqx_)$P1`0Xki?J)*Y+7ORG#Y z=DRmR{v5YjS;14#_m%bN&9nNsrt(fFtwC|G_=P{8w@}xc72;zAKrF&U#nt-H6_*tn z&d{bEe_32SxXE-%WuEeB4vV>KtDabm8(5E%tmsyDksTr*Gd2|_x6WI7oX*Lt ze}7K=P-Vonm+nRxdpT+(!q0{UzE>UJp}D62i(8RuDp>Bam~}uda5UUnl9? z;|XNq4Zp0$@+7dI#k!DROryU2Z-`>&?e%cQa-p&K)s@D71^-s*-_iJg?gO#J?m3%_ zgM-aRa7J62xeHkcpnWXu>O4Fj`S{%C5#dpf!;AmzmHIT$DY=N5ve9rFw7A-`tcS2V*gY+{16uBe6^Ev z_9X%w%NuyP->tN`xOP?9NooX)>t``teo*BkMkbC)%-7^m&xUus1>Vq{VH(Ft2Y3(l@Ek}GfRG?Tb&u)40AJ4 zOA+*VuXmA`*c+jvVJ^7hV(F8Ae;iV$rYqipx!3v?I;Xs>${(8Bxd#Tw zVCm3k!6lJGy|^ErpvZCurM~;2nE$vb&Dov$u~ zLJR%J@94r$CaC34hdsj3qh8G15f)>*tRDIa7OR$y!ib~})t!mBTVM8Pp?CMvX&3)H GpZ@_2dpD2( literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.sgdiff.hdb b/YL_adder/db/YL_adder.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..6bed035b29ec51725043a6e4afd2d4894cc35bcc GIT binary patch literal 13464 zcmZX5b8u!)&~EI!vESIXwb91TZftFATN~Tn*iJUw*iPQqw(Z>fzVDA)b#I-j>1R$= zcRkhJGt+Zs4jLF3SQR4pSL^ikh`W|7tR$i?7S<*tZ0u|#EL_a2 zY|Lydtn4JJrjD)_#-=1nhQ?MTtR$*3Bf?bB8o&LwYQ|OUYV@azXW8bdOAV8UkzfD3PK)>{*4LLo zq#Wx2rm{gj$upT2=qB_!dJ4M%8NCz>hN{8y0=Lgnn^=p#H@3VvT_C=T|G@1S(#3Oy-BIiWPn$0k2vlU)1Q5ZPNdp@wzLbQqJI<2;Pv%R`dsr?kZI@OiV=!%^TIw~=lip3$SqlwRz zjz+#YJWz*&{gmh0iW~b09K5#xqm%8aUbFjX(+uy0*E{r>{iqQi>tpjLo&L(A?`9E3 z@K3a(7ehTuZne=+IMk-mgG}l_Gde~b)v-@+Zd9nxBexRLC_=}QlNEMGQbS}%9d1V& z?PWaJa)0D-76&>R&s5DU?I$$GM&j!G#J5#;)@4&|Qfr^2@Vnk)`e-|hK`MIbrA*PQy?GH~b#b7}*om~PS9qe0 z=0!@YhqQT9GT-0i#{S;Jzln|gvkEIxUra(V@Ki1j5-qlqZcKzKboy$AE=h9dTGvz# z2ALKZ&9%PBU~A@E(c5bNF%3w8*24bv|AP&POU8c?Q{XK_u!?Z5a(21;hJUMgwfkF2 zPRm1dQ;oeA^om=%WJg;vhu7z*_WLtzOkK$nlbjaO$_mbnf8(Q&Tdod6jn><1WBm=4 z&bt~-VWADJT;k%QJ-nd4h#EZtT$nqxlfTvkQ~f)gsBle@D3vbfp9|RXZ0n2uiS(Gy zy2>^c%Bx~abhgAM-n6devER?qqO&w-%H>ywJZ?^e%^EExZ`?q^oq*T6Rk^Jhv*WBG zawm9%Yz$(E771VHAgaBw{X@KlbGWNfMe{E14ku<%cDBb3JtJw5c-OJy%6B3nx&JT~ z7tchI#}%fs)gK@aYfZGeDy6{33{F~N9cT{UwAX0FhX!$QEMQQ3OWGfgW@~c-dUyR7 zffSC)GBqMATkp0Lz(CDjQ}+eh3ME^Qk|v_4Mviou-VrFVvE z;@ZdnIfz}kFL24cd##4$>Wl}=;XySa^#+xcidj+yqUXX)iMH9H8&`guOUm-h2sCb+ zi`>wlpD3pQmsVV4fzo$o02*hA|EA5;E>yHM2yR>+6>ev}{jI@+Fcz^gYl6bi{&d56 zd{nz7;|=$WbaK8Nv-ScRMIeUNm`-nKO~#kFFRA2I!>M%9flJTogMFX?TeQ9BNYiKO zv0f!yZ=$=YK+W^-b0cw2omAx;#3~zBO(esBT9O!5Qn+}^I9$4I^JT|u(@>^s(X0bi zO4bO}ThfCeLu*k54hp17mYA*^9k4Z`forPO=#5h zdu@VG_@m@Y0;fWJaHFCG`bpy9?%grEg|0racUEWH_kGTl3#Hkj86kUXyPlWK{ak_F z;Qv(Rwr=6|;{FFBF>7qCHR`OuMhiZk2QlTD)rWf1&j37PVz-8Nqtsu@99TtdZi z9C&VeFwESys<}3wzm3AG-loD5?VTc-P5R<6PWof$mZb)^Mg9}Bz{}mmARz{Yh4_JN z1Bcd}_FYGbK@#q%r>*oylBk1!-&~!IMxt&PdW+c;`2r2}D3~Pad;U-5@|=ga3xd}z ztzMg{ipwiL?#x{MTSTk+)7DuoBVxVt^{cYkrfnDfQql-q2=Wn4?e;#$XQWekreYA= z{E$hS6GWFvwwFTbF_s<9ep-a%FvUv)hfBOfdW7Q`CG#v_yWci1k1mcy%0k1B&>1#k z9L>O8oc)uAbi1ez-2QEW;&B>r8#&FZ{L=CiqjsedDEu%Ioi!^Br*O_@^Vf$(ijIV^ ztuZsRWEr>RG`Cl6w==y;19%OzE^Y7(dEA7f2d;dKHYk{rKOXBQEs_2n1>*K-l{D)y z%vaT0#&itWO{h|IWzI#ZM@!J9HIeQNDF?n~l%Mg*BOSNmxl=+|(a{Gv=a8!z$ zgTc>Ht0*6gADpFdhn%+%a`ti%xYDttt3Er%QlNV%i@$dY#3d~Dzi{Y2ga&HQT@3h7 zx?L9ns&pX79OsNk!}jA?eXOW|5^DvWmqM-{4@CrlP*zymw(+`WSmK^|yoNLV6r4$weJ$n%?f;8YL4jupYHQWx(Nx0@q4ivh%n@8HKlrQE%4aYdU zmy}1I(NV0~KRoHo?VknnM&gzAojx#`t8aI@d8A^dHWbv-yI>PNxH`q4F4j4ac&K|q zKQNdTJ?x|mwczY=lvvG-RZvqouu4{U?;FRuND*jUtWbj8i-kJNK(L;K-!kHkrKl+I zLNVFj_w!;=-v}0Ak@6Bh)oR2@;b6kJfsNzCn>qYzIZqInpIt(zwJkG5csfq`-SM9n z9P2uyP>GFd97N){6ji|W&KUj}KOZuwucPX=_WK?DLHdo)=hh?b)U59q?KT6S9zyWz zGFTyRJGfd-l^9NDN6F55j9)!@u3yN2@f5=9PCHwbcqK!`{~5$v4$Sy8%79hir__qm9X)5s z*-}Jvr9vD>XtagSJwZF+LV_Kr0l*VAzcKGZMA1a#m4##6qsfDCsl~o2Q3}w}mvO6@ z9!0G`U_!K5SmPu`p3p^2m|ph$UNPN^1`x@c*@3rOY3Obl)v`XAPGOuDG_;BSSz^m8 z?+3?&Jr*w{uj&Dx)N*f>L)Jh@OfPkACe(kB>j_$VQ}x6jlMN~5|JmiwS~qJY^p7w- z3pYC}t^8nn`TLbX?q$ZNV3)>TO7I?J-=2`L6P(mI$sS#%5RdAX{+ z!pTX30fSaw!n{FJxV>SXk6*j0pw!Pz*dKD;3pSrWA)Pmu2h41>^WoL0d zTwfn*%I^1QVeisO=N1P~*9MHwJ(q^IUC@UoL1jgA&xuEkTAOjitDV(p zto6vweolJ;V0jjv=ga_FlH4Y@0|u7V=+rP|IZa&1iG{_Q?;H`^Tw2*_FD`?YLo14C zG@ij_TM82SX7=)NHo2#!!#mg4d)V z3=J9N+ZUPyPcH<&vQiDn!g#mGRj0Ox)Yvg-JyQYwRw*Eakt;}u5wH-Kz(rZPCk5)wv3t;5!~pJ z-|yjjhp{xcO!}*HZKhIQVUTAlB5qT(OmIH$+z(E(qrQ=}1w7o$v}vVzvc$gN&ps@p z@E>9UVk?xC?0;@MK~o;gy*+NUi#t5%&;qezliWW;+Qpy43Zk$DY==l`F5KBkNpQLf z+|d9CG~{+UYEC%W0UC5pDll8WfYuYRVcP)iAU5%4GU)_mpkIKqyiQbjm1Jwo4z7#R z8kuq6wpPd@SWZRIo_=N5-;h&L^27UEdIfL}{Ac$3dzp|Hj`p$2!COL(ZQv-y;aeN|a6NgO*0I@P z%S~xLMB)vfma%ql65~gAXz+5XaF3|z25mcJTz{5IXLiY|B@g-@TE@v*%!i@+9QaLS z)zi3utn$nd?hS%zT%P@?K=NZm5DZ#kFHwGPu$CRXDU0VDBzitAXm3l81jCVYpXd)< z9r(z^gFyyx?e)tSJm}IhNfu-+heJ?7OHqYKJ&iNuTufOtMy$oip&m^s#_1OhNsIS>uLhiF|zQkd~}<1JJYQU7_SlWIkRwXm!R#e?E9 zgh%=9Pi%H?!Pi&QsG4|6y2FgR8DWeyYZk)k<=1tn}P3)AbGU!JAS5*R-^&w_gx<7O?ua z*R$cjUzAR;7-cZ0_J&&Ew+T(Bi0+IiL7Pu!@ z_YoL(c9ddX@0s>yILX+o|#gM6>Lj zpWi3Zb4+Y|dx~~U`rl4<_UP~%1fRR2UBe)NNCD4C!W4|GvXqPtx~ATb&1i}ws57i1 z4om%W$uGM@mMH4)c|K0Nk`Te&-aA1hzz?;gy=O$SgcNs5bX$)Gix-AN0m%OOJ!QaQ zSQ*Wg2!j~-FGL8$Ib(XEJZynamOr?0vIR*_*@=#BYlV6z5&gEWWKYye{KBUXx^SI!Lxef|jccnpiIyj5wA^Phg@UNcn^N9r1 zf18kd4N0?0+~UmJ0%-O4kY;@q7u=V%qqy8=niM?e2_e(5YweeDy zCv*cGu&^Bly|R6#0ng4rDT`@>TqJa$0u%24im188bTTWB&ysRltiNk=WYrg7{)hC8 z5`B<;3vegEIvZ@Xr{3zmsofI&{*UplB@S3_#=L0oM^v82`7NHeU#~+W%3Y5)e=($T z!1V^wCJlX~S{1hYnRDr16mNu%)-$TVkQIVvPYCxY>UTx99s^2p@j6ieg4Z~rmcsA( zimCH1Pjj!SxfPGw#i*$ev9Q4<7fcAd&&lW-GWttuUdVn6_X%$;ivcwHiAy6w*)U|& zes&u{U8i->ZE|(>-@ny=H)Kp*<+a@u)lJ*HY-Lv)*AS&Jc9*jVVqoUbLC9>!HxA7; zO%;?poRi(krHX$BAW{k$3!YQbN4;0Awe8f+y^1QDttRBmhcYfUCaZH=8UHohTDc$M zBVxV+fiWALV#s4ZQ*z}K&($X)o*Jmf>N3Oq7BDZH}q!1Qqp0V5f({5`kF+Zet zvZA|P7sUN+&C$fKt1ohDGymD5p3VBwd}ZOpwjF4-Zgrom?r=rywYG+JJvoe1im&eV zhFfmaWp#fxK3`F@(TPkkslCjA?qHvw1bJ9}**F`IQYF=@hxaFEd9phFnUkIUYXA7T zb>o3C+lmfkqubDsZ9@oRPydj2JOp55kWRbW(vY*S3avJo$zHy_WlSVQ^P=MQ$$ix09^o4l4mGNvZ$yy2C!1sHC|WRp!zZc`JF<8IAU<7Ae(m&YeZ zCns@|&A$_Dq-?O+yvDro5!usMz0AFeS^x3#A9#83vpf+xW#2aZb4p&`z*91x1hPrm zPC6N;@Om!DHM@+vSZjjj*MAwuxO1*Lw$NwiUrc^~&76CM-9nsNs=Kv9oo97< zTJYJtyF|(XgK+JKDe>F%0-6S>vG|nUzk3y=ZSp~d1V#*4D>y`BmlbjKdRD$nsaR7= z$QgDz-X`FaSvGcy?D$(~NKFezKYOFgda~B!@(k@efa?hnafB}bsOE|=D47oEBs5S zbe>sV*yrJTxqGqt$IuKN^jmFDyV>jD&rIUZzgoIHok^&RO<{7mc26gwn=Fc}5LN`_ z!c6Q{7_U3K$cvrxzsL4X+dEv8E377CCx}i+$|5H%E@D*9Zx-|)dejdS4;xBqboF$- zk;*h_7f`Bl81d&Vcn6n;(#;_sNWB#^FZ|fwZ%3@=%!DSVOD4aPO2Y*K#SEgZT7(W*R2XGwVc(9x~q7HZq~fqb=zv?oUu)0Zc~QC z`0b!3&nl)QNwtiInYMml>`@cFp9_afMYhbR+Qqwm2hDrw_QDig&dQoM~m;;uzWtROH(U}e75Vd zOL$#m)9pOv8}mZ-3}0@C{kz7BQ1F9}mV!PpAhm6p>9NQ=DFxw_Cv;W__u&%iMYJ1* zAPVK>Wuvs~Y2|s3Q){ub;cV($XGi+DM4#+f9VWWb%s;=>0$oB?HvNd5HDg${ z01CNPK29s@%|K7CA&+2l!f~M?PU_36gSnxHL&`grKgu@tlhL7Wo4LcgzJFecss$-+ z*^&F>Q3>>Ks$}zWgoXBeSkC%x+3t}}>uaWZ`8L@LG1xEd2nf5r=MuiGgt)jlXh8Zd z84Pmi=qpT|1$91n=c)zlvs+a27;bhY9?M3rNcau?_|!^u?;=@eakXeoUbH%IIL$rUC0^!U6`EMEdWb$a^ius0iSGUSDrtF9 z&y=-z7pU?TsH|I+Hbu0@WdDWU%lEx=wPg8u@$BXKb)!@B zmFVI;Gg~;+>of9ns=+ zT(SHxaZ)m3H_v=|OKkH7czoG^Af6B!W9-uW2&(F$>RNs=J1=O8y+eEqzh!2RTFyOc z)lt~f$bc-KK#xvS^hdx>D`DH9debEPjc-xjo#oL1cPlU=QONNxkPOvQC5PakAW#kC zW1LK^O1hl6&4@PGgAV)VH&x@YRK$^?xNOx3MO98L%O;k%i@Zxi{0bu~`Jod-2+{1j zS>cskqZAxPPs;+Y)4qun1VLu$@3@TxghHn#h~4*JYVFsb$eDRjd(G0=;Qj4Y{5rr-NDY)#cj(ju@PD#2=UtAI{k^!Re+Ung$pK*_ru95 zp~ ztf9=7m9)yC5J3e;y+$rVo^#|}r!xtpra~UFi6luz;(4Ro&`v`qbsSIEoy*CQH>iw! zi#9}`0DUQgot48NC990)S4E~$xC|Y;TMfnu{=8v8+B9j(4elt7CcAA+E3aBu7O0jO zq-JoirV4ECxLqdplRZ|BLPU-xM`AL1n*?1xkY9Xu|LwXZ-*8p`Jbeoz=k~e1_3eM{ z^g6Y%=vU3|6j*q)6E6O6;gPfaqk?k8F&9Ca0wQ5qF}45Z@** zMXCbLXHhN<30*eUJr~X_k)a~TEaku;5#Za?hN*%CD`a_LKiAp+Ea7X>_*;Xm?q0qw zMWZ2b?sr}Q?;{kqq2)!1*@Fu1QO=%d7Xt`W`Rq&Ir;SsepYa)Ki+ zP9aeJQuahoj{AlmMgNdHJ4o3Q@g1=;#1gt~AV&jkov{8qU^YX(E3@N_RbHnb#2{T2 zJ|PK=#%@tLxWZ96YIl*^*5@-QEs#EmmzKGoYh`nx%+5%Dn$XlGfKW~2#jSwoK+;X#VXHTscHn-I#h{% zD;LbT6f2B>4naIxE8zKES3MSI$4ZAZ@<(L~n!E%GxwNJHchqbJi?3lY;9nW?Cq*>B zSOd{;TqRWS&cR~2Q7@AzWI$`zk)^T|lYDWf)NSwX*4K(_&I+_i&FuUMrfYy?D15>* zMM(F+qbA@%dy#O*4{UYZbBA`FmUH%(R3J)Gbv3}OCFT@N*@;nnWL+m}D{f1I+%>)t z2une#LHq+JHHRE+=;0hE9}x3$-&9hU4JG2 zDsXHtn6%EP#r5m?+Ze2t(DY3}3QD8*meM15YiWLtY&>?%&$a)13e_D@+kKF1rJj;A zZl3MLeyDKS={yvX@Twu2W0TbyJ374E?$;+BYvB>sn-+_U(|jqQJQ|m5Uqyj>OOW_= z%sePCTSxU;x>m8esa z*r7?ey}%)nDCsiHnilM98r#Iq%ZmsZw`$KtVkVLX1SYXzY@#DONt7H<2JkT0jR)|k z)PP9sAo@3tGo2H@jDJq1V2H1LHqv2S*z=ewr`tej;`|&=3;g z5tIW`UcdkT4hvI(0U6H83P*5rE7CZ8!)Q+7oBSWoSg;-LTRZEyxEo;7FFtyCV4{z<*5p6*Y86tuU)xf z{KCt5_#fY%5TRoi;JFO&oDT4SL+#4FSdC4oF+9tiED5krXzIZB6+&>;ObDI)~pfZf^ekTGtse1 zVFsoxDRtI%d5U;oqTEcT11GW)X>`EgKfIE3)zG}u`n3a;ig#Dl5`@*!>=p+)#6sW9 z32$r(Z@BsP>|BPuT!uFxdqZ4G{m#1!+ljfk_PQ3riC~~U1*@;Z6mAHI4h>@vV?}@JHxaV&87TyAR|k z%*$fR5bS~%_GEqanZ?gkZ%|HAVpf&d$G6aqh4R^CtCmG=aY?2fWV)S+HnQ9W(%gB{ zTo7sQB5Ctc95dKXE}{OMbZ4PhXQfzYzE~$hnEqu-=a&k-vVhf zWG^w>=06+TlX^jRR%wx>S1tn`i;_nMrb7Ek{yA8eaO#~XSEy3D^NRM#Fp7RvYAo<< zq`1wE60n{P`irE!pEB;Dn_1`Hy+7)g9h!$ghUT^wf+xi|Fp|3n0o+kvS#3#f#r2qvi_s7rF zHW~%Le z3(HA!(0Y?w@!P9U6$GUep0U1PumDG0F8-!kbB9ABThCD>^*?Ico`fXcE(42qr?8TfLk|hpAK~yF zjKHZv@ojAf10C5z^`X~WmP;|{+_YHr&~e{@yb%!rh}F5&E}DPDzdpZtf0OW5lJJ(2 zSc3~TYS4BbPIVbR_kZGlv&r5A5IU9sT5(XzRYv~dw<}7l*$p%{?EHgQth;7yne2_FpUg#orL_9qo!+~bDrj>#*|40S?-Y18kT)f0cO zdR4I|*lP|p2JNu>yD3ODd1C|cl_bOv!$o6{`P%{p^?b*uok(#}%E)AJT@SedVPHj; zF}OoehmfK+?JGAv1yi$)hnrSa6AJ?~e}xIQf3+(}RKk*h8Wloe>NSD#dO6Yd9Iaqs zmD>*eNM?yVM!y}UfV_RYsFIUXfPO=~dD5C`Qe%IUm4$c?K-A_gm9q$e6G_Z5(|F2m z+J3%uaKR}R*7Yb*0+-pdJ z2iY5`t`q$u^!0`tZ344@0=s|W*bs4xmIjRR2MqJw^XdAkbA<=FH!Ixa z0K*E-UhDUHMmzPXTUlXuYQ1@2cS>(&fMI<%b-*y=l-R>>K#|;9)T!*=RIqEW^QyM2 zny*(jpVFakH9)v=vS#4sZ~#x>2NvoEJ<@~S8S%L8k4|XJ_J1!rB^GD4J6>(2myij( zvA{{c=CnC157dB*;Xd)W+3cU$drEu-KB*xTcFRC%H^>Cmm6$BA|Kj%uk+5tdIX&h0 z3n-1UH^Y;b3z?W#Q!vi#KkddTkVHME{Jgka|0mjg)6NMk|HhZ3et}4pa?QQaE zZrJ`q=)GCH^X;+JuT18R9?Kz7Vz0~oDNJI|4)vJ=HSO&AF++baVXB0t@BJy?((mJO z!xt{g57)Pl>_P=i7zP6WRj=(+JanyUv{h1Kt%B@A745MiEVn!?w=4`+t$*rAe-Pac z4&6>F-H!S)Hr+arHfJx{*t@{sOukR;+6t)QE}6K2?#=xehSZh{TTB zy0$6-A$&gxlTRYza#`<-F*~%MEBdnw?+Mj0jvl3LWorx1#G~gn=dFt=Z}{#^{3jG= zB7rCweT&zs+J9{`WBn+pP)*A2FeqAW2SWI8mfQ*wvM)x~M{h*ba-sUCd)MCnURSM7 zmDy@%ZF1`BH97b$F4!QZ=0vxRUvYBmac7*%HnDA_n(in){rJZEtw_ehyn|jLDDez= z_N9Mk^kUh*KE(R$G%?@A8-XN=Lx629w=(gqjJMfHCH9Gd(D7e#zb>c3n8wXS_Z8pJ zCjlBarszLtnzR|Gz)!I9`-<{d$fEHiMX((lmj;O}U(d=eg@eSlG{xgM#nZT{lenql zIOeaF@g$DPTOVJhHvlGKaD;mKAhHiSo1VWp5d7p+F^4}!dEubTRYTSJ=B{R zRVb$~RebCn=l(uc;mXZ=k{Fk3v2e*XIQ0$EXa7T_0W|U9*yCQgs&D|?*6p`Q>UI?O zl}ae!+ZRFWk?jxTOc%?Xmq&QAA99DD-$LJXLIvR9xaXr|?v4AluG#|bSp~DknVswP zPvZ17rObEUPT^kcG`2l(>a7e79V`#;st2kfwQ*YFqxntb;IgVnJ_&y@MedR(8v7g+ z`s<4#`0dQ|Du1B-`Py1Y!dCbUS`}YaJ<+}mLO0ih6dq4K=A+HYSC356o?Zqh{ap2% zCjG=fbvmvs_L4W(R(F%bIPBefFBc*P3)H?Fe36%&2m=7N9?fs~pG7!$0rFImi#w7u z5sgnufAM1$xXZ&bE7hK_bIN8;mioV)n3sP|72=2kbHvcWK^R^2PCP=zPTs({C{{Nq zp*%9%pJ=&iXt^qAxnDpXZSjx(8vokN^8CX5253Q76V%=|I}ZZQgOn{UEo$yuhc*h| zwyy0huiM|OUbz8x_li{&0AwUvK3LVnF{#N7ow+MbzISUAXCs4OTgkt8*tkqLb{ZH% z8C{Uas5+nqYWSmUGEP>N2yv-W8hlFm1kyJkCt=j8YWOzPbrH>(7W&Vq)0Q}v6kV4p z8>{(pR%pmx9#Pb%h@tMcpjDrAn?AOMK##yF6Y?u8ghzDQoWPzfL?d{s?e{@GopTJ( z>ZGE+qOm*i^aA0@(^I|E(SiHA+W?WyMRk>&@%HkVX`+RW?b$vA{c%AyN2(pI@PhR1L}n7`LoV@lAgpHSdTi+7 zQbMyf3HFsf^h!pE9$QYZZjk&Qza;YOlBS1E(@Te|$NrwvX=6tuV}kAX&6-}x7;UuZ z5_?8Bq|ch?X~!|Xxu?&U>-RTs8j#-`$7;grwhQ1hv%72YU{fQs^8DAW?G`1rPs5H) zj6m5?QP%O|SK+9-f*sA==;$zo+CaJ>p6C}#{@T>77yCwl`WdduRA2p_*O!+5iG3{G zW(>S$va8wdQ?K%{2c@nUJ3TVmV0bF%^z+i*etl?D#zKbZNrsxIgXxpEv`?DlFBND0 zV}NY~q9^gMbViS{UFwGwixD5x+tvf}EJ%-C^O}K^lqquwk1X5P3Rq^Ibw2$Uwa8?b z<8OllxmrMGZhjk6?9B^k$hG(OtQgWEHkrE@=*~9G(Awz=tlix zLBC;%INU`jsf5zVWRA0mUUd_^z|z65TwiE)>1&%D;#VQy%e5Xby<1C-YlIqnk?oC-(kQFQ zuS1jAl-uYH?kFZ0b*c(1KoG7tge7fcB6VK=Ci-KnmQgirqx*zOM#{D$&*Y-5%?v*% zp_PZU;iHYMHRHau081(V63fPcJ$FMGE&!KYy)?%*9a1~n2pPecV2Yn#gqMqU?ut|} zSGhIu8rjxSo`+}X_cwy6wk?_Ms$aQJJIQPFS?7eC(+dCA3vQiHg z1x5bCLn2}w7v;Q$SHXLRXDs8Lj@z*_R}iwI>$($imI1B{4W`qdLN^dUlIH>?H5Q$` znF+Oda^<1l_A4%3`y%B7zWYjVv9Im&$i@JBRjyo|MKGxF2@sKSG`PM`=+mkJDbu$O z^P;ksdBnPLGQp7pG-lra#aPkgs4hD^c{__GRbWISIyCk>?TV{oA$C zVWrZ^J79Eatod(n_w&8pR!pO)%5Tc6xp;Boy z1qJ&#h!;zKH$Z-cR((uzz&z3X>~N^ZM`fF{MQb3FGaFYkMcS@x8Ysr{PbB4H9HIgL zSq}uuI!nedy~=?>_A!PdXUsxB(nxrAd%Wtyjmk{Iu$Ugl2jjf!|G2-3Q0-y+A?dCm z<17k6b`WF^A=@+5STv-t%Xf@sn>ZT4Rc(KzM*4<&M6Fucxol`V zKw73Eju)(r?1NbG`y)Fj)zd*LBi&hoKHkjZ6p=#jJ5xZO6FTKIdpOV02{pfP=&Ug) zFE2a87z-jfd>i7o@|RC=gnWttcxo%ZC&M7oMOSH7tT(Y|jg4ryX352qRawdpd_TqY z&2^_nNJ!kj*E;xDomG4LQXom8GwbG$7rfDKu13P5CB6&atId zbJ~omMkk}b{I1mRju`H8W2}D3Z;|y-`?)dA5 z85S2}-~`Jvf)UeO2FB;rbrEnJ2HiKHO7mOr!1d0)LpUohCuhFTiBMM{spyY-%;yzr z2bo~6ws`;4uWk-kxEIfdUC}vc7cv0c1%XV4#>d=6*Ysy48}I4&Kzm0%!ZlOLoPU8` z6qkdoJ6>_{y?xTNI+Qa*IjU2;VRvo@zrud7nb=A08|#L}UzapGLc7gnb?Njss)?ZA zb8_k%LKV?ELnDr$6-60cigf)gH|NebL2BK-BGX+&6=R?aBUG&3bViok%ZuDeVvr_Q zt@A)sFpSR!0c|bCp?QWm9A7swUSQsm1OD~~F(~Csu(@Opa<@M2@G-^x?!iQ0Z=|`Lwm=Ik2ZWI@rzFBoez@8r#|#&2c~&F| z%xf=xwDH2pU*%e+6D>~E@;I#EE;Uew4p(_C0JF^PymHgU)opOjtTLl= oiP}?)o}6Te!6~JAYtl(c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EhO00000005B?00000 z005B*00000006-h00000004La?45aZ8^s;RWk|V?wzP#nU!F=!p{4Pi1b7fukrX44 zB_qoYX-kD;D~VgjGPayRX)zDp>!T@cX`%gt{?~)HTpgnJX^^nDU<|t9h+XhB zoYaKgM2<9?a_t?M(y8-Ox-A$YZje+LCx%G#sAM@bqy%*Dk%MqT?m{q*#J|bv;$$)D zH#OrQHFdTyK&PmzaVkZuU>u9%WSKSIdSJTQI8N7N)Quc1Do6}9fm641kt}-@n0!xH zZm4(Ja9>xkx6n@ls54Pl5y6;=h*MJa+?oN#+YcDHuC4nX+Jpv^2*wOV##{IPF3;I} zr88jn$Y)C7BIIqNJN^c?Gb0}D(;uB4b&GB7V&g}nbgM=ydz79tlwYze-(RdPk^l;H zmt(uBHPf8`NWe*W)z;Rpo7w{0H&L$D}089GEF7|T}`~OR!6&s|G3Ath+ zH{2i07;w?4?ciR{ zlKBdmZV3C$IMJ5RX1LZt=TEDCn@l)dGN>8=azYbc%1g7z0(Ii0?sc|r9BEvK_{vit5t)IlfH%G>2SN9z1i z&2yj6tp4&WT^9^_aiI9#lQwm8UD`o;TIoD5zg_<#ax?#>$Pe}7vX9jI}Fk~mg(bmu0eP)&W6csV(p9pL@oh?E0bYo1H z7gV3FoaGmHpXtg?^}MO>7hV0TdG6z(Qv4EhZ0hEosh$_qXO&VeXy2`r`tf!iK$m<& zT=&g5jdH%6`&^(aryDD}a#r5t*=gxB-&R!oqU$fZ`UTAkT6dkDI$uvjxu7z2c2@Jo zKK^v=P$_v6(eApsPlUdpGAG+koxY%XLF=w7XW2sgo4l@LM^wl&mIbc&?^gZXuYbCB zuzYr|#xLexEstxQ`!fOl**5K$^J<6F$F&`-YpT0nbp1t_7xX%A8C_07k-;Z?NvJ7lQQDT55r9xCr^t{SHcN&ngp$AuRf+^iP&$m#r4*rx zVSIujV>}XQ115zr_%x_mlq+djH}=jSK&>)NmC1lM;!-FXrf5;xRv`j3wjx7G;M+y% zFp7)-<3*7%9tj(qQ{PuCr)#`E9%?IC2H&>sl|yQ&Cm-g#yedMQE4LYxy14s8JF@qe zB2%d@`-@L`JCw=s6W$E+<7K7!gLh#7VmzG8U$d1fvU1%IU^;!!g+j?e{K`PlqS&Db zRf+`gm~y+K2vrgk!xTbEPz+N>lu|^|qFAc>B@{ap8GM3b7+>U* zMYOWbp!j2QAk>VZw({e^r6T+j0i|+^3(cW!H|!$kJ>m6Zw^Y$GZV9F! zco!eB-B*28eNgQK)jm+|1OEDexN21oRS#4>Q1w97162=HJy7*P)dN)zRGbG|Yg%hU z)9B#^zMi;iXi0xfNIruy>ta|nI@#RYA)n}M#X|#%%cl`^q$f4SWdlv}iH%jx{C|Zl zUWa^AP#^9evL%PEl(LZ642Hy{=g+qz_V{e%(*xRc%ARVEpUDe3i$~`2$l0tZ21`U( zVjfFGLEum4wHWfr`3(-|+x?c}#iV)Ud?5Pv>EwkhV1--=f}R;BQf(dCTs+niw^zj; zU&O0h41}HpW;2mgds{k_wU@WYm-q!Q=9LZup(crRWYcyX_V@}POamD5#Qcs_G@D4L zB1yZ1(A?288lm_LbuK6$T^p?ZTLktX|7;wiXVi5JhA`>+eKo5^B1w!qa zzhs~=Sj_d1Uf0lzR7M?rB{s=g+MO#57YBxmu7Z$wF!>t|3Y$Ccan)EDjGiaBFK&lM4 zAfhL3Wr^EZVigF+XB&9rQ6BjR5Pfx?=Y_n;BQNpDRv=_bLp}bvhHXgI6R&|Fzcto- z3fV0X%}9EeNA~c@`#kay5WV;JdJerxdd+W|mmLQ)(n zWgN%>(}WTi&r2Bx1L5ZWL%qeoJTn~0Bh!J<8t=?hp+9fC76=LA@MTc+{#s`|lSrmp za_CnL<;3XONnmM0>^vuy%r%^TdedlDdF(8JTqWm%VJ3jHfw;G-R7daT>4^xhW*!i- zc2>Q2--rq^jkA>AA#I7Vw!~RtK1;NK;9Q$vrJTzW=Ye3FC&>!IZ3ZVK#Up7RY2%Ue zc_agb^KCmAeUHoXiaL2@0juW%mRQIV-{cMXmOzpno@?W81ED#jEt8IQM6=;+Jku&i zh4XkJFYY@$lH-w!c%%ymef$8cu}CT!k2!6X=Zk!D-9EVqO5+R~twzCTI{8AyJStdA--xnghpSE1a5 z{FEhr#u7gV!Cf4#^}+mt)pQ*Q=F)pTE96(akYDr2O{|`qc_FKK(HwHzIF2{^E_uLH{=(bgz(%cgB;Rv&wUzsM@u4vYhky zFJ9FqAlx{5K`^=%`HMc7mxMW*rhG*pF1Kv5a+`tZTYC#HWGfJ^+S_?CJA8=Q2}W=4 z8z88;TjQ}rhv!o5Z6CVc@zf=I-yTmw`jv$n|^T>K0xfcliV8DVzEPGDQb5(L5FX?_DTpxeH2lJp0W&>}|Lq5bj3`XA$ zkFdm}Eb$l!rgJ{dBTw+ilRWYik8I?Tr-A7Gb{w+x#B`Q8o+XArFrK}V6>=3XsE>Mmc@DQJDB16+=L$kM!TJi-!Ju*B6M z=yMvaiIz-+-i^zpQ%U)05P7L99j_Jg8fTS~9FZGXjlW@u)q?PT!(ojenmp(I^&l|V zz3(+2#gtD^QBv_pCLB-29Q7!jz6?&^4F6$?S6Je|AYifXZNyi3WHYO03rlQeiES+L z8cV#+65Cl~2TSZ^iCrx521~rj5^sTETK8>M$U7{tn|84U~gYx$=8tQ8lFJGBakEBR14$%GFJ|Xj{`*m zVSCO%c~xu$ju$sbEsn{Rswct;0_luKWs>vwMIfXpl}^Q-dGdS)e=Bw}k6bM@&F@Hh z*89g`Xq}TvXIdTmR-`dFuJlFy1Qgt;xxn9oY<^X>78Em5)&bG0x?2z@o@lE_S#&+G z<{loomq+gBkq3C>As%^{M;_sk$9UvP9(jsKHUcqMEa_|x8**Z0tz$d+t55D(UgdK< z@;s0HlSeiIp~rKPWHKDTAR2GWhNJ1$d5M&{CdIZRyvd)4hOvjMHJ-|b(;ZptqHDKR zx-9x{Uf~No@*F%j{Q(qx7P>7NiJHA87fHo(8FU?NUz3pYcq0nIT<4r@yJYN|l@saD$mRQdc_prphEb$;q*sA3j-Au!YJnu7@yib;}slwAN8oji;4?ESu ziNr*l(e$?)U%*QHA1IE#ZqP(2<8)gg zGEPs`W&Bjc=?9^o!OycJ&6tdrsMDBr(L5mSn`w*7v`RS6_!#Y`uXvpZAFZ4qxf5Y) zRp#W3aW6lMdCqn0i+Ra4Ps*b;m5l3-v?AB#+w=Yh00960cmbqUO>7la6h4E1Ed|=g zf1%U|Dz;E8x+xaK-Z$^Qc~jop8D?&Yu;8jGVl{bckfbDa~05xdef{FWRYB`(W{ji^ND|*u!Mt>CEa@D%qfX&AjEKDn(rEO7NZ!r;{&^_mm`Yds zp}M!%T`;`>;O0+H{_dc4eW`_lPn$>^UnN>7OphN#EtJ~tA$S&ln4_Ec?+RS$QY8Y! zsYYl5FSbBjtMDAIr^Y`zMXWVQgr#hox{Rc%OXd19At8U8SohW7=?VJVgG6{gahoy_ zCCv-rGn9Tj^Vc%mAhSXwo+?{`4#H*_!}ZT4GhB?B6$LiYvC*}{!?myu@i%UMaT+&R zi$qqG@pYjENr5g{fQ|y3+rEn`2fC5yKp0UP%zo(-m)Q;sApY>o)J6w68;}T?_<^}S z>U4$=Jq%SmbZ%QaAWm-1ZE12IVY?3?VM|>3&zpX32)>2 ztd><)wp7 z>fiFqD|3&ej+Ui8oS3V#GtC8+xowEjZqNwxaEcG?4N@2x#;36au{EX@z zWjn4NdwDT&)+4d$`7p61+l+*65`e!&Foye)YhPU;+q0S~ZeS28U&lT6eAoGLVy#57 z%CQr&(PA5n650bhaDB#k>j`o%YcTC&^V)JnF2GJyyD~>ELQdu(rITCTqMb^Psv#=V z$1^@ftjZBoxp@ic*jHY9r?Wc8P+BuzeVmD@k`+1N%A9LzA=XHW*usb#mxpE%kXK(M zVw_7_#?E6ffc*CCk7r5Vg+x(G5BG9@&Z^@Sz2bhHPTFty(#|sq-vdy^)w%rZCERj% z6FYG89wP^q!6&$mz;DBJ*HR>|Ba3tfxKqD*=O2nKRLasMS46_)JQ6$3y!SW#D~4D0 z5E+eGmYRe>+6Gzm#rIw6@jTwv(G=@!Wq&6tFH93A%PkMMM2gsLn!U1Uylrg3;ECxM z2~8EcPh;F`c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C)600000002}D00000 z005=|00000003$a00000004La>|E<_8^;l++w`Tr(mH7R?)F2|1hpj|Nn5r*w2>v* zFko3iB|8m_Ksb_1>gpqpxrbDyg7QDNK+(55vpaitOCE3MoD^_T5}H3e9iTE2vEfgLB1+F>ERtxHUih&T_=wo?GDs7#b8vJdW`3Mbfl*^@ zaWA!czj zj{V65A4MQbF<%Poy`ql|KS`oN;HPpZhS4CK$Z4u)7TN_<=w+gBaVYW+vsflcI2TFQ z|GgZfVk3=|G@!^yq68mA(_x^sL6VZ1kF-qUF$g#X4R0K0{bUdaGi66R<5)`U{D#r{ z0Zc7SjT8?^y+z9sGf1iR?ayXmF!1}K6!5Eh@C(dbLpQ|6MUb9>YJqJM=&{~_G5D#N zo5>GA-2jYgP9gxDWndxNC^pKf)l;W+o`{J*%|`wp&0^4He{RNslMX2A(FW-PbqjXj zXCO%&e1f_Uk~9wbSsKO3rVvM=^r6F8j=(}l#~Y;~=0j70jhKCUIRC2{S|x*zpHP65nHqn`kU~pp=Fwap(Tp4@CReS zYPi)DY^J*@#T(FC%`m?Die0T1Vl_pN61DJMxXdG&IIdB}_fS66wNbND_4>hoUmwM6 zy;aN>Ca(|tbBPg7neLBl+9VpvdwJ~fLk*(;UWcDyf9u1?r~Ys#<4uUKo97oJ^o?J` zclX}b(?rI}R+gLv(bnNq?#02m+)C1HIN!>maBKhZ{%*(fas+dMDA)yB7Is3Gg|hBO z=a8(%)maYR%w$XR`)8dcOEBO+kDfddPm@(JfMVG^+{0(t%nu+50ESYV<|+Q7zgmj< zc#@321P{NWk=}$$GnUuSozVz(qsc6ksTAH;`{px0%%r9K-vP^sO28omR?M)e$~q9i zXQ4k&OxnhEQKAz|8jZ)0M*1?nka8+Kl_=X{n8nz<$j+MpoqzraSL=AO4wsaa*V*Fb z+8fs1u=WPCd|Z3O+8avVpw|t!R{K6&9t25(YYVSp0doTMh6|WsB&Jac8&S26f&HlG zSiHQwUc9_6{#k7pRV&-i!!TT{+8?eB<8?8NSCB*768tUdN>D+|Xe0Oz5RS9K0CtC? zEEG4z0qk23{JCiNgtvXK*S^>3icY)J)-V_&mP#k$i9ew|E*6Q+PExnP63R(5yBW%J z8H)XB8l>~u2C(OB9jXGO2EZB-)oAnsRlZ4~5-WyK0u}FwG%(#`t)P8ttKHi|k@ErQ zc35FM@B=6W`^gLa=>YIP@B{YIAE{04QT)>;QG-*2WC^RiC7&J z__@>Byn9#tLO=a6{G3E7mh7`hA4;20B0h=zX`(B}nhA&Dd3QScuB1XNgWQj$|6&Gp z@06s#!X+6L4(fqF2|_A!;*9Lh2Vpdo;?W}w=d_4#0pFe+`B|8XlIpQ+QBn|AhcqB| zVk*?8N`#$&t|0*zJbJPU^TJWK#gs;2-(ip}bp|zekVe&{p%||rvEuXWg9rLX7iIAp z=j0g_=CQy$#lwRHYTD*#@~a~r-p!=ac3aQFe$>l%D5;O+;rvnaH^1071~_m9PFOS!3(OTkdkoBha*)WYqhK!EZgXlsB)(Rt7L|i zR?|WG`d9FLPuFh5pj7%1=c@E$K%f?1oOG4jm#^O~VNLQS*yjY)zWEA37?by)m<4Aw ziA=MZDm|UyaRi#8I1eIykmpn}IsgHU!yp};nJ{2YgmpujRn;-*4cDVXsY+xJIJJL3 zgfT~nfDa!Fz`FSf3f#C>vWqb~Y zdlCc!5H-lO2$1MiaJrPi5#K~kqIeE>A7??VPR?iw%l25l#&~)H$Dm_CKGz`v&E1cK z1mY?lis=KdnH&V80FI>W829MO(bJRD{k@0#dL$V47N0$bXW&X|!t1RK3;}HtBRXhH zhblPFgq#C)bIc0;;N}p#;{N#v=mpP#Hbi42X!PyxN8_nD0O^)B@Xgi}?pLe5oLh%q!FX&yc9H zXC9P%2)kk?A;iP6tvMX1&b^o#bZeEv!CWE0${{?34=NJUySi>+G}W9Gwsk3cb%S1Y z17%a?kh&1SbZ@Hb4rP1PctzI=X$sp;sL0zvP-CE-8;BbYh|da8Bf%{#4X%}*zyhJP zG{sX9ZE+A{V6|DSau+GZ>|cjAmu3NcdHD1M9dJi?QAfi?F8IqSOrB=`wgnVC?|xMO z+zHTG0brgx0lXCe=D8D~y#l~IUjncdrUTb~PwSzMhSr%YvXYT3w~pop>DEX>G$+VT z&2S9O4N^0l9iq8GYKF7J9u7to_*{o?;RbrD}Z9po4h{gkYSTi)DEdjj+ZHfseWj`b% zttBo&*#p3R!w3#{t7NiiOF+0vEo3sx_h9*wcDk3RSGZ$>50ed-0}y>^f9q;Q>6^*c z1pEAoVoN=C&Sqodx}~HOSg!c6LDf3(E+fx?bNL#fcbMooUnBG`6Fuj%(SPF543Oc& z<7$LVPU1i&u13gRmdwOuBV(zju#@fHovyNxOS7_b(V1vu$}7=`&P1a%-%2#1GttP? zSE3P}hvwbYbFm7|(0OPb%DJo144sKa7O)bnk%jaevtZ~v*}4wd4BbY<;%t@mk~ulZ zHr6Vwbjc%KbROC*$2bk0ht|DQZ*7LoL+d%L&Cq#hU5C#bIuGrZ1I^HxXpWKIB03MP z>xdwR&O_@tEX2@xXdOp9GISoA=dd?J=b?2RXok*1>pA??(0OQ`?Rw8LbQ|pl3-QR? z_Eb9cE^cHePpJS&1mD-3Kcy!H8Lh!BWzPXb6^{`M%bpZ=D;>=4X{tII^=e>*acfN zYzoCL?8?24+XU{m)Q8R3Om+$^F4>8#88(F$7dElk*guCs7cqOm_tD0$JepEIr`>}? ztS&FQ7`A5Eyy()cj4q7v(wd6T*+}|cWwB^sicYT#TQh8)pZ18&#%7F{G|K0+dvMrH zud?>GtQlf!hRyR-bHZk0Gsa8sd``Ouhi1%%g~`?on-_m?RmNY(c)Jj1C;;<08%ckg zC%s{7hE0LMq4nFuW@9_X3#&VmRQa5C4=%BI-gNs_yy-U2n+%&Rw`07pzU%NJaEa6I z!KE28NhVt(>}_6jH*7XGLo>VxjGC#;evTn;^DN%5+0whPc`JhL%4&*3Gq9d9du%>u zGwFHWwEZgHw9WG-!)D9P7%wjZqYjDF?!h&$Ja5{573@1aZ!&DQ+-}X7sv@7$?!lp% zJG|AHVQYrX%X{V}T^pM*US0$So2jm~+x3t{j`4qv(ABN&OW&Ny@wjZ+Yuof$$T^pd zjBiyHd!|L1)h<%KdFA41fZAuE5>Erv0RxqI8lVmtsKnC%RWeYCrvd7Sfl53LP{#~Z z;;~U{ZPve{jK||@giP7Ufn1rhYTNW#GUr^4WUj2JE_<W!1xu$4hOz%51Wd&6e7Q zT^Wy;u`72`F6_#c(=vAD%IR}@*YQoT{UY$;YtX6ohLwajfS#XA*jzyZ|0bcTd5K^( zf6MCKylF|gtR*hJ|5M3*zYfX}c~HA`P=?5Z+N*;yL>|@4YB5*EWBlOM{4?X8=gx+PM=X{OOdrb74&qjaS^7zuL zMwF~vbQ{gKzU9bCpiH)A*p+4cWf3Y9T5S>@T#+a8xEe`q{t2i*ItAFOP&gANUR=ZqIqyM9s zyk|-Wj!d5O*&gm_ey#leykN;;YkpBPx0RR7Z0aTGaP6II%gvSYlg+&OW z6rrPpjtGQEgXG0;Bf!prvxI+bLBjzMG*mePT!RD9M=3Z$N}3cLfSTv^T7qKqB>NlB z*p4Bv3gEiaz2||LWmQsGI?ZhER#0QjVP60Tw`W^Cu+DPO;M^E#uZmnInu;trrbBOO zGtj^Tv%8~{k+ZZa%Y__LnpsoPVxc^^*?HglOOZ)PDN=!ou)+SjkFW11ZWH2t>>#&C z{a!(u4E25dn4v|u5-*>R&pgm%xyIg7v0@(EoH}fDO~m_a{}_K8QAeAR6)E3bzkq1! zNgc{9mB{30CYdN7vrMAPJPPx# literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.sim.vwf b/YL_adder/db/YL_adder.sim.vwf new file mode 100644 index 0000000..a7ac176 --- /dev/null +++ b/YL_adder/db/YL_adder.sim.vwf @@ -0,0 +1,1103 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("isAdd") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("overflow") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("i") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("i[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +GROUP("INPUT_B") +{ + MEMBERS = "INPUT_B1", "INPUT_B2", "INPUT_B3", "INPUT_B4"; +} + +GROUP("INPUT_A") +{ + MEMBERS = "INPUT_A4", "INPUT_A3", "INPUT_A2", "INPUT_A1"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("INPUT_A1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_A2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_A3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_A4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_B1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 3; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_B2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 800.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("isAdd") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_A2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 210.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 610.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 350.0; + } +} + +TRANSITION_LIST("OUTPUT_C2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + } + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 40.0; + } + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_D2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 140.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 150.0; + } +} + +TRANSITION_LIST("OUTPUT_E2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + } + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("OUTPUT_F2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 110.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("OUTPUT_G2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 310.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 190.0; + } +} + +TRANSITION_LIST("overflow") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("i[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 810.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 150.0; + } +} + +TRANSITION_LIST("i[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 150.0; + } +} + +TRANSITION_LIST("i[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + } + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("i[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + } + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 50.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "isAdd"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 8; + TREE_LEVEL = 0; + CHILDREN = 9, 10, 11, 12; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "i"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 13; + TREE_LEVEL = 0; + CHILDREN = 14, 15, 16, 17; +} + +DISPLAY_LINE +{ + CHANNEL = "i[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "overflow"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 25; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 26; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 27; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 28; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 29; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 30; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 31; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 32; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_adder/db/YL_adder.simfam b/YL_adder/db/YL_adder.simfam new file mode 100644 index 0000000..37dc84f --- /dev/null +++ b/YL_adder/db/YL_adder.simfam @@ -0,0 +1,2 @@ +BOF +EOF diff --git a/YL_adder/db/YL_adder.sld_design_entry.sci b/YL_adder/db/YL_adder.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.sld_design_entry_dsc.sci b/YL_adder/db/YL_adder.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.smart_action.txt b/YL_adder/db/YL_adder.smart_action.txt new file mode 100644 index 0000000..11b531f --- /dev/null +++ b/YL_adder/db/YL_adder.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/YL_adder/db/YL_adder.sta.qmsg b/YL_adder/db/YL_adder.sta.qmsg new file mode 100644 index 0000000..26165c9 --- /dev/null +++ b/YL_adder/db/YL_adder.sta.qmsg @@ -0,0 +1,31 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588583144002 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144003 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:05:43 2020 " "Processing started: Mon May 04 17:05:43 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588583144003 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588583144003 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_adder -c YL_adder " "Command: quartus_sta YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588583144006 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588583144158 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588583144410 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588583144452 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588583144452 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_adder.sdc " "Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588583144578 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588583144580 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144585 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144585 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588583144591 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588583144633 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144634 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144647 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144657 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144661 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144667 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588583144668 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -6.519 clk " " -1.631 -6.519 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144672 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588583144672 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588583144701 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588583144704 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144749 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144752 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144756 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588583144761 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588583144768 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144774 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144774 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -5.380 clk " " -1.380 -5.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588583144774 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588583144774 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588583144806 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588583144866 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588583144867 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4557 " "Peak virtual memory: 4557 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588583144963 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:05:44 2020 " "Processing ended: Mon May 04 17:05:44 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588583144963 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588583144963 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588583144963 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588583144963 ""} diff --git a/YL_adder/db/YL_adder.sta.rdb b/YL_adder/db/YL_adder.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e5c552b2121fcdafd03ff97cbcf90d378cbc430c GIT binary patch literal 6425 zcmV+!8Rq5@000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*ESm00000006@m00000 z001ch00000000>o00000004La>|NP&d)CUOquvJ>ZWu8xz9B-aue%)vvIa=gn! zT6+(QTv>4`Di(GIBw@`AMgz=J)S8vI{1^F?@}7sp4@utg=G?Iz=J$0sfDafnrU#^! z)YhPCIP(FGUw{2weK#*JFE9TOpGKqcEqod)D~;d9*VAC=zc=-xSm0MMIuZ9q-r)Q* ze?n=B*UGQp&QAgI6MTM*&;Q~>p!6Y{zW;}P==p!+^KX}zf8AJV{4!pD=%084@i>fw zo?pZ#dgVty(`amm3A5m9&?pLr8s&@G*u zeg<(Q?mgPy7h`V{kC0GdtfnA$;-7>h z@Nq92xhEfF~cnOBnfh?c`do#;p)r+FA7kIJX7yYm|9r~kK zrNn!|2)PUuNk#EL9Z&oy8k~!0`t19DFBYqDD7k?khmmBw7moUYl7{9~i+Nx1Bp!mn z5hT2NIDHoNCc&5zi+d*%->1y)CUSoS)j~DC*wf<8-eifvrINQd9uI<^_iW$`e9IiX z3^SF`U9ox=#HSD~k_`h@*1IT!7mM>m`2oZYU=ni_0&qNqg(#y~<)T%pQ!KyU zyK$g$WlX8&Kfg*3MYFUtMVF%t6oJ@kxNcKS#Pl9LDIGjX|5c>n)LxvOo7#5;s zyvmB07ELmGJe^3J>-(`63?gS$y27{Mlz)t0MyC{q)8WvYoXgbu&+p*7H}PQ)M=_lF z6LRLGC`2Mq<8>R$*!=LrCXX4C* zj|LIhLI19RJV~qK)O+p=_&^+tNu86h2Q!AGh4&l+qr{Hb9ZBPXTdEv6Dl`D4PT^U( zyAY5=+z`)#e*7#xmI9&V6g*cNKZoz_LD>5^lDG69f$xcrz!V?#HWIJB$MW?-d8j{*>xB>4@YMj*Jr&CVmNzbs?T^Zy(Yt$;Gmbd%Z}k zMRA`Z|Jo;IcaSb?48Z>~UJ_4=jQw6-XmF|!K6PHk+iI!Gk~d}70+dfUum4&92wl|RdK_$U~mb21eB(*fGM9|ZmQw2a#i=5sq7pBc4X zk&EP7HlpT69C!}JaB9%ti|QVV7|h&1K$9|F+oqPgZaU0FO1vO1yxeF|6Xc&{1$tSq zu44VH-;!T%8J>#ltc{`%Dn9JkE%we7?HKA#5KnV6S9R3L2?u$7y|cY zJV~!wF~Wdr#~5jm0Tg{WYc%z3OEBh2Vl9LgBMFe<#4b6Y1y z*ySR*wu}*Iu!5;Vj9}T+i4p&h#R!H}bZst+`7xpbNGYi*M*O{s5!Ccnfq|M$r7a`s zoZUp%=ZlqRgDMnRqmaC0JX=Z>^;3Nu0)r^GZ6a zFFw+>()J(VJE(J>ZSi_<@bT+PC+hFt;!F1c zhr#G*Oo@-qWZ&7;4>UA8fA9mV%rC^6np?uepPVuhYojyj>7L|GCZANz^$Hs2t)$L?i4co*PsD+QBgD7-FmnzEjqHbW}5mOOz z$7HDN%CcF7>+Q-iU}IO7r7>r|?8+KDc=Rm5 zj^B2(xad5`pLjzSu6gIpi!URS1GU*oEe?lC{UPq@iTqe?m|lxRE%7zEwSwyYCu4t< z?9)=Oik-m2=2LM${Pep>K$d2LVFrhNIcm4YNbL-9i@ej*x0UcwN@_bE_*fjQw;O_A z+HyUSw-l4qiq%OD{Q))vV{?#Pbwz%}6DGz&c27mpf+!iLK`vCW=;B~e|2IH!36#9U z#PNNkX5ttYmPfqEtyR#vx%h}QnyqKZt)VE%E)&r!R1{i>qP4;X*h)%oo$#-KxQ)HE zavyb~&w@U5xXd;w$;46>Ydwk$P@vo&BGoe)rml*JsiL0Dt2yy55b)@TiQi#V6(5hINj8^VBst5eAb-j7F|^^DEkEs-e^;x z{VPQ30+1*RPni~!pyfdY3Jetq>bOvgwM`V;!<=lnq&#$-Y~3LhOSfzzWEQfzIYz8) z-a6sZIhM}xiZ}0aNADPamD>)>PWPT(8vA7)$(Q5SjDjD_B4}!>lYmaJ4xn^185y1PS^l%E5 zoLI*iTzzzfx<{)cA5VwRk`s1l1Dc|-={!~qG6-tu^{{DlaeoQ-pQ7&~6Euj4ec5wSG_~LMCUkblsHeqFFHlic0-~tQ zilTDupnD)lFFFN5?8wdyPEt|HNG9?KgE7vZNp6zh_o)-1(hw(4WEVow1hb2N4~~wB zVs(phleZ43%cJg*Y95TRn-}d@b%%f+$^K79AIffzqUm^wr?M3i(Y8@_+69)r{!|iF zzWXUt#G~spn@#yl$`h+*n@&?adiOJRkCNa67l(TxY$l4VZYPl3gDnxy_C|(_sy(uCdwo@nHrIJ>oGg zVz-iD?5;aqcT2uSM+RI6yAn}uD{GK?5I8Wn5Nlr|h~vnRm202_X4h=2K+rNP>%eeHVvC?c-E09H932aD_}knh~s*el}#N z<+d$tU8(xV^!(a#Xm4ua%N)86=u4S{jLg_}E|o=D@*0AKsO}N#RRLesC=`fQ`Y+>4 z-8-N&uTXR72QoH4-hcn;(LL?YPCHrBOi5-ua{aJ4y>;5M6#-wrAiOh_P4Z%W$Xn&b<;x%!#H zO-VaklYD{OVe)099j-~fuCtkh6lD)7S%FI_gXmtruMBBMXkcL(hj%GOf-iZT`lV(ZHHWDC>m+Kv#Atbq^*!kT~Q-- zy4p{Rv>kGpGis!6S3fM$cE}ZS-g5KONNGD{qny!`Y;tKZx&pb%C6l6W>CzPG3fP-) z$|vtXl~to5xNJI`TUzo|Xhv3)gIrSM-Z$c|Z)v$J+?2G#-8SR4wX!vCLfYZ(7;(E@ ztx$!Vl6JVeM%;EMCx?`@!`(CDZfNx!1O5i@Eac)nB8((O&y*o3r1F8Qc4kg*ffEHvkj&(M$QLyO`wdmg*k1R zKsU1kuSV&oiPTH*OJ`x0{^ zX2)rB4`F0QIV>V(2aOnJcZ{^dHAT!09FIi`M%v+;A|@DIXNJN^JKSB^1g;r70fU=4 zIi#c=?w%3%YW$iaCJMg6Y9vNh@M{w>+j)m!WCbuis)(2X;lgEP1txiKRm5Z{l$4S4 zL5&f!<8+!iv8LpFP-Db|ZEfUzAtC338Y3owvL+NGD?n``X4~0F*i&*%$qHZ^z0StU zK;WW*aW;r0@5wQ*g9G+kI}Qy;?XmlN`abK2Y4@Z%bi(B^rUeuZwS`^R*-D197NuDp zx*ai_2Z>_Sag(0NLL|f2jlEfX&2zV-4P{Z9<)Q7@kVi99ugqfsI?E&J2O9EdA^7V` zSLU$*&GInnLd#||Y3eUZvpnYMW2=?dhc(UeFzQ1Y(R!0LP|@(QC)w~#g(6rF*Cj<* z(l_eSB$=+Wxy}NdHJM5Np3#B`A8{@j7g~s9_(tnd{CC%xX1k6h$?z*J2Lp8Lx_~M> zOESYZd$9uCO2A{lc z!K}1;tTJ_zr(eO{ckxel_({$xVMS)N{#9LzWk$0_5Apva*s0M9rXnw$5xy47t5(72 zUl*wi7t8F{7YyZkH48>l+AmXMYBrUesNhpGWV$S*mL-xu(`pQ?w0(yEeW8lDP_}h# z@@l!(D(n*!kLnfrcTXRugMcrkv{%fwR&hz06knj%+=Tdy3FU$|TWC~QwO7itR#E>B zaVHntUy4%e=UA(d6kO|PSy!3$bF5WJ8iA;vkpO-b!7dc<)HgD zGuF;l=1>@8WWFt)dy(~*T)c!U_n3faElI8`oRW69x*u7Bo36}J*`%Z$uI@jU;Vu{I zkS6nDBr3l=y4WG4C|GB;oa~IWoi-nBTVr&dkDR_T^Gk+%a*xw)4jcAbqt2tI?U2h1 zMIvL^J(&wG(ssyYuBfH4(Pd+gkVZ;dAt!@7v(jigt(Nviol{ENA(y$MM(QT>JVn|L zxkAqQML-=VrR|W7a(12WCbtbnS0GckVS_kjLhdH>l!+)3x&rnl>c%+}&uncD6`GM1 z~P;P;-+hJ6mCjZ;F4Wy zIV_jzkYH!bV7XN1m07B@i6tu9)s%;%WQB)VMa*W>K2o?TX@_fCscE)z78pj(2Q@C$Arxi+jI0191NdAP zH{R&PKDC9HOLeeTN)1TqUI_*Nk|j+GEX|Q}W$CkCancVob`OLj<%_8vLj+qn&n~C zhiWq{u-dM$G>?t`7(Cj=(t2G)T>xvEn7i?1Jn2?z;$~(UPx_P^D)!5G{uwh+cmWs5 z1S7G9Dc~H*GOabGH?xc}s9@nCFXgAL#^vSOSq>noJ5A5cEgOtu;oNITrJS#1Jp zIZc;M6fn{bw`@+Ea9y0^qkFOi(h4^jF`9AQmeb<<-xz6!TefaM$-!-F85Kx7T(f+y zWDoaE^2JFnEf;deMf@_df?rC>`iy15P1a5*A+!>*0`!(Sq3qJR&hoq+=vvESdEO3W zkxC;yUq?$Pr7LVa3(%zwDUsOuIz_qyxymIqgWXr-yd5l;;!~T9tPl?ge$FBuY?tq_ z$jwMQT+@^^fRa-(NlA>f!!=Dw3JKQ}ZZigRV z134t59qv0u+-{!Vl&rv|%4Xgo9-P9E?EEGHGqM7h9#xzk(ZQC%rYeY1T1r-6Qp&0+ zX?9$MX4Im()u6^H>8o&RoRWspYadBroRS8ptD84UrYTt=Q!;>gQ_{6gkGP3ujJ9NU zgl}%E5<6f=2`D{&r$Rth5p#LojuL2kz)pd*lkc7i2s6!Sb|8?#O^@HP!!<|D<#{{x z5AmspnDv8OMZ{d5x09TAOv7Q$zGLV)7X zl4Sf>PAxA_=P*z27ffOyGLwJO8#LL^=XyHF)&^V9*TsTVEAmE{-Yq2NLgGy7H|@2S zhv+E&)5MJbrPm_5%5h6}08b{Ld0uOIh>ntfnwa5N%739lbP9>t;3{P|Tcs>?h>lGB z{{R30|NnRa;$vWA2w-GjxO(`)CLsm}22mgma&d|earTK1adh%=jrVu*a&-;?3NtWR z12GrF0wB3R>tr^NVgPADRqpKT0+L|h2VzDB0U#M=XqgNW;Ra%m+91~e{~(BgOh6$P z1`DA5b}@mPK#D;UswgK=yPzFd#K~+IK6CC0gAK>X1@9N{~>*@z_L=-2;Z3;kjn;&eu0rHUqiXKltM_*T% z4n|I}%GezbUp2EKoC`BO$kWdqu9O#SP|eAba-eyLpaO>~)B%jF3R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/YL_adder/db/YL_adder.vpr.ammdb b/YL_adder/db/YL_adder.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..454c45ba39a2c875004f07287a1008fa3e526171 GIT binary patch literal 337 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>M#RM0Rm-^sX(9up%?<8{0hb+L5^I9 z3pCuIl!$+ui$sF8=?&f-xy2A%!C$AtB(yn~O|AJWLL6Uc8omFY)NT W^!wNEh2B465lTy7N&f%^;h5AFo+6z&Y}9PR?{67CA_8tw+}7VZx29_|6|5$*}@8SVw{Kin(a O8{9kG2izyz7u+{U)DIQ_ literal 0 HcmV?d00001 diff --git a/YL_adder/db/prev_cmp_YL_adder.qmsg b/YL_adder/db/prev_cmp_YL_adder.qmsg new file mode 100644 index 0000000..064837c --- /dev/null +++ b/YL_adder/db/prev_cmp_YL_adder.qmsg @@ -0,0 +1,125 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588582908770 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588582908771 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:01:48 2020 " "Processing started: Mon May 04 17:01:48 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588582908771 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588582908771 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588582908771 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588582909655 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_adder.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_adder.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_adder " "Found entity 1: YL_adder" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909757 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588582909757 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment.tdf " "Can't analyze file -- file YL_7segment.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588582909770 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf 1 1 " "Found 1 design units, including 1 entities, in source file /users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 7segment " "Found entity 1: 7segment" { } { { "../Exp28_Decoder/YL_7segment_sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7segment_sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909796 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588582909796 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_7segment_sign.tdf " "Can't analyze file -- file YL_7segment_sign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588582909814 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "YL_sign_to_unsign.tdf " "Can't analyze file -- file YL_sign_to_unsign.tdf is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Quartus II" 0 -1 1588582909827 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "operator.tdf 1 1 " "Found 1 design units, including 1 entities, in source file operator.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 operator " "Found entity 1: operator" { } { { "operator.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/operator.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588582909836 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "overflow.tdf 1 1 " "Found 1 design units, including 1 entities, in source file overflow.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 overflow " "Found entity 1: overflow" { } { { "overflow.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/overflow.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909841 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588582909841 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_adder " "Elaborating entity \"YL_adder\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588582909906 ""} +{ "Warning" "WGDFX_PINS_OVERLAP_WARNING" "i\[3\] " "Pin \"i\[3\]\" overlaps another pin, block, or symbol" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 936 1112 64 "i\[3\]" "" } } } } } 0 275012 "Pin \"%1!s!\" overlaps another pin, block, or symbol" 0 0 "Quartus II" 0 -1 1588582909914 ""} +{ "Warning" "WSGN_SEARCH_FILE" "segment.tdf 1 1 " "Using design file segment.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 segment " "Found entity 1: segment" { } { { "segment.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/segment.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909953 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588582909953 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "segment segment:inst17 " "Elaborating entity \"segment\" for hierarchy \"segment:inst17\"" { } { { "YL_adder.bdf" "inst17" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 88 1024 1152 264 "inst17" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582909956 ""} +{ "Warning" "WSGN_SEARCH_FILE" "encoder.tdf 1 1 " "Using design file encoder.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 encoder " "Found entity 1: encoder" { } { { "encoder.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/encoder.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582909983 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588582909983 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "encoder encoder:inst20 " "Elaborating entity \"encoder\" for hierarchy \"encoder:inst20\"" { } { { "YL_adder.bdf" "inst20" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 800 928 224 "inst20" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582909988 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "74171 74171:inst1 " "Elaborating entity \"74171\" for hierarchy \"74171:inst1\"" { } { { "YL_adder.bdf" "inst1" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582910056 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "74171:inst1 " "Elaborated megafunction instantiation \"74171:inst1\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 96 632 736 256 "inst1" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588582910061 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7483 7483:inst " "Elaborating entity \"7483\" for hierarchy \"7483:inst\"" { } { { "YL_adder.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582910141 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "7483:inst " "Elaborated megafunction instantiation \"7483:inst\"" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 112 376 496 304 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588582910147 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "operator operator:inst21 " "Elaborating entity \"operator\" for hierarchy \"operator:inst21\"" { } { { "YL_adder.bdf" "inst21" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 312 184 320 456 "inst21" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582910154 ""} +{ "Warning" "WSGN_SEARCH_FILE" "sign.tdf 1 1 " "Using design file sign.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 sign " "Found entity 1: sign" { } { { "sign.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/sign.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588582910202 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1588582910202 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "sign sign:inst19 " "Elaborating entity \"sign\" for hierarchy \"sign:inst19\"" { } { { "YL_adder.bdf" "inst19" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 360 1032 1152 536 "inst19" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582910205 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "overflow overflow:inst23 " "Elaborating entity \"overflow\" for hierarchy \"overflow:inst23\"" { } { { "YL_adder.bdf" "inst23" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 400 728 848 512 "inst23" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588582910215 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_A2 GND " "Pin \"OUTPUT_A2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 344 1288 1464 360 "OUTPUT_A2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_A2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_B2 GND " "Pin \"OUTPUT_B2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 376 1288 1464 392 "OUTPUT_B2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_B2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_C2 GND " "Pin \"OUTPUT_C2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 408 1288 1464 424 "OUTPUT_C2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_C2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_D2 GND " "Pin \"OUTPUT_D2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 440 1288 1464 456 "OUTPUT_D2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_D2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_E2 GND " "Pin \"OUTPUT_E2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 472 1288 1464 488 "OUTPUT_E2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_E2"} { "Warning" "WMLS_MLS_STUCK_PIN" "OUTPUT_F2 GND " "Pin \"OUTPUT_F2\" is stuck at GND" { } { { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 504 1288 1464 520 "OUTPUT_F2" "" } } } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1588582910881 "|YL_adder|OUTPUT_F2"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1588582910881 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588582911280 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588582911280 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "54 " "Implemented 54 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "11 " "Implemented 11 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588582911394 ""} { "Info" "ICUT_CUT_TM_OPINS" "20 " "Implemented 20 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588582911394 ""} { "Info" "ICUT_CUT_TM_LCELLS" "23 " "Implemented 23 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588582911394 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588582911394 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 15 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 15 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4608 " "Peak virtual memory: 4608 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588582911456 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:01:51 2020 " "Processing ended: Mon May 04 17:01:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588582911456 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588582911456 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588582911456 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588582911456 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588582913153 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588582913154 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:01:52 2020 " "Processing started: Mon May 04 17:01:52 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588582913154 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1588582913154 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_fit --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1588582913155 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1588582913304 ""} +{ "Info" "0" "" "Project = YL_adder" { } { } 0 0 "Project = YL_adder" 0 0 "Fitter" 0 0 1588582913305 ""} +{ "Info" "0" "" "Revision = YL_adder" { } { } 0 0 "Revision = YL_adder" 0 0 "Fitter" 0 0 1588582913305 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1588582913430 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "YL_adder EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"YL_adder\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1588582913441 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588582913494 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588582913494 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1588582913597 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1588582913623 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588582914418 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588582914418 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588582914418 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1588582914418 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 92 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588582914421 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 93 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588582914421 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 94 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588582914421 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1588582914421 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "31 31 " "No exact pin location assignment(s) for 31 pins of 31 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_A " "Pin OUTPUT_A not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_A } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 72 1288 1464 88 "OUTPUT_A" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_A } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 15 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[3\] " "Pin i\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[3] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 11 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[2\] " "Pin i\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[2] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 12 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[1\] " "Pin i\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[1] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 13 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "i\[0\] " "Pin i\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { i[0] } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { -8 952 1128 8 "i" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { i[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 14 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_B " "Pin OUTPUT_B not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_B } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 104 1288 1464 120 "OUTPUT_B" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_B } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 27 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_C " "Pin OUTPUT_C not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_C } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 136 1288 1464 152 "OUTPUT_C" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_C } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 28 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_D " "Pin OUTPUT_D not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_D } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 168 1288 1464 184 "OUTPUT_D" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_D } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 29 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_E " "Pin OUTPUT_E not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_E } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 200 1288 1464 216 "OUTPUT_E" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_E } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 30 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_F " "Pin OUTPUT_F not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_F } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 232 1288 1464 248 "OUTPUT_F" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_F } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 31 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_G " "Pin OUTPUT_G not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_G } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 264 1288 1464 280 "OUTPUT_G" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_G } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 32 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_A2 " "Pin OUTPUT_A2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_A2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 344 1288 1464 360 "OUTPUT_A2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_A2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 33 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_B2 " "Pin OUTPUT_B2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_B2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 376 1288 1464 392 "OUTPUT_B2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_B2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 34 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_C2 " "Pin OUTPUT_C2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_C2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 408 1288 1464 424 "OUTPUT_C2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_C2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 35 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_D2 " "Pin OUTPUT_D2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_D2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 440 1288 1464 456 "OUTPUT_D2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_D2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 36 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_E2 " "Pin OUTPUT_E2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_E2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 472 1288 1464 488 "OUTPUT_E2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_E2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 37 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_F2 " "Pin OUTPUT_F2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_F2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 504 1288 1464 520 "OUTPUT_F2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_F2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 38 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "OUTPUT_G2 " "Pin OUTPUT_G2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { OUTPUT_G2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 536 1288 1464 552 "OUTPUT_G2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { OUTPUT_G2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 39 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "overflow " "Pin overflow not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { overflow } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 424 848 1024 440 "overflow" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { overflow } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 40 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "pin_name1 " "Pin pin_name1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { pin_name1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 792 968 64 "pin_name1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { pin_name1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 41 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A4 " "Pin INPUT_A4 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A4 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 208 24 192 224 "INPUT_A4" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 25 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B3 " "Pin INPUT_B3 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B3 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 368 16 184 384 "INPUT_B3" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 19 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "isAdd " "Pin isAdd not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { isAdd } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 432 400 568 448 "isAdd" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { isAdd } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 21 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A3 " "Pin INPUT_A3 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A3 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 192 24 192 208 "INPUT_A3" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A3 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 24 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B2 " "Pin INPUT_B2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 352 16 184 368 "INPUT_B2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 18 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B1 " "Pin INPUT_B1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 336 16 184 352 "INPUT_B1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 17 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A1 " "Pin INPUT_A1 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A1 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 160 16 192 176 "INPUT_A1" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A1 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_A2 " "Pin INPUT_A2 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_A2 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 176 24 192 192 "INPUT_A2" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_A2 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "INPUT_B4 " "Pin INPUT_B4 not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { INPUT_B4 } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 384 8 184 400 "INPUT_B4" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { INPUT_B4 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 20 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clk " "Pin clk not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 64 240 64 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 26 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "reset " "Pin reset not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 24 64 240 40 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 16 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588582914540 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1588582914540 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_adder.sdc " "Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1588582914693 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1588582914693 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1588582914695 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) " "Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588582914725 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 48 64 240 64 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 26 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588582914725 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) " "Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G1 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588582914726 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_adder.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf" { { 24 64 240 40 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 0 { 0 ""} 0 16 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588582914726 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1588582914799 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588582914799 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588582914800 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588582914802 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588582914805 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1588582914805 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1588582914805 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1588582914806 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1588582914806 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1588582914807 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1588582914807 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "29 unused 3.3V 9 20 0 " "Number of I/O pins in group: 29 (unused VREF, 3.3V VCCIO, 9 input, 20 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1588582914811 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1588582914811 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1588582914811 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 39 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 2 31 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 39 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 35 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 40 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588582914814 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1588582914814 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1588582914814 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588582914840 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1588582917172 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588582917253 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1588582917268 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1588582917497 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588582917497 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1588582917553 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X38_Y14 X50_Y27 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27" { } { { "loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27"} 38 14 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1588582918894 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1588582918894 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588582918979 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1588582918983 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Quartus II" 0 -1 1588582918983 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1588582918983 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.16 " "Total time spent on timing analysis during the Fitter is 0.16 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1588582918994 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588582918997 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "20 " "Found 20 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A 0 " "Pin \"OUTPUT_A\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[3\] 0 " "Pin \"i\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[2\] 0 " "Pin \"i\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[1\] 0 " "Pin \"i\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "i\[0\] 0 " "Pin \"i\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B 0 " "Pin \"OUTPUT_B\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C 0 " "Pin \"OUTPUT_C\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D 0 " "Pin \"OUTPUT_D\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E 0 " "Pin \"OUTPUT_E\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F 0 " "Pin \"OUTPUT_F\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G 0 " "Pin \"OUTPUT_G\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_A2 0 " "Pin \"OUTPUT_A2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_B2 0 " "Pin \"OUTPUT_B2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_C2 0 " "Pin \"OUTPUT_C2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_D2 0 " "Pin \"OUTPUT_D2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_E2 0 " "Pin \"OUTPUT_E2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_F2 0 " "Pin \"OUTPUT_F2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "OUTPUT_G2 0 " "Pin \"OUTPUT_G2\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "overflow 0 " "Pin \"overflow\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "pin_name1 0 " "Pin \"pin_name1\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588582919003 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1588582919003 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588582919141 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588582919160 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588582919261 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:01 " "Fitter post-fit operations ending: elapsed time is 00:00:01" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588582919534 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1588582919595 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg " "Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1588582919774 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4848 " "Peak virtual memory: 4848 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588582920140 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:02:00 2020 " "Processing ended: Mon May 04 17:02:00 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588582920140 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588582920140 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588582920140 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1588582920140 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1588582921640 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588582921641 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:02:01 2020 " "Processing started: Mon May 04 17:02:01 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588582921641 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1588582921641 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1588582921641 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1588582923039 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1588582923078 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4552 " "Peak virtual memory: 4552 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588582923901 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:02:03 2020 " "Processing ended: Mon May 04 17:02:03 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588582923901 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588582923901 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588582923901 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1588582923901 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1588582924593 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1588582925546 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588582925547 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:02:04 2020 " "Processing started: Mon May 04 17:02:04 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588582925547 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588582925547 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_adder -c YL_adder " "Command: quartus_sta YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588582925548 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588582925703 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588582925967 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588582926005 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588582926005 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_adder.sdc " "Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588582926186 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588582926186 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926187 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926187 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588582926190 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588582926224 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926225 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926242 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926250 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926254 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926261 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588582926262 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926279 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926279 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -6.519 clk " " -1.631 -6.519 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926279 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588582926279 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588582926300 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588582926302 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926335 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926338 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926341 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588582926345 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588582926345 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926349 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926349 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -5.380 clk " " -1.380 -5.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588582926349 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588582926349 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588582926387 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588582926424 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588582926424 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4557 " "Peak virtual memory: 4557 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588582926526 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:02:06 2020 " "Processing ended: Mon May 04 17:02:06 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588582926526 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588582926526 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588582926526 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588582926526 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588582927842 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588582927843 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 17:02:07 2020 " "Processing started: Mon May 04 17:02:07 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588582927843 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588582927843 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder " "Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588582927843 ""} +{ "Info" "IWSC_DONE_HDL_DUAL_SDO_GENERATION" "YL_adder.vo\", \"YL_adder_fast.vo YL_adder_v.sdo YL_adder_v_fast.sdo C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/ simulation " "Generated files \"YL_adder.vo\", \"YL_adder_fast.vo\", \"YL_adder_v.sdo\" and \"YL_adder_v_fast.sdo\" in directory \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204026 "Generated files \"%1!s!\", \"%2!s!\" and \"%3!s!\" in directory \"%4!s!\" for EDA %5!s! tool" 0 0 "Quartus II" 0 -1 1588582928649 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4529 " "Peak virtual memory: 4529 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588582928709 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 17:02:08 2020 " "Processing ended: Mon May 04 17:02:08 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588582928709 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588582928709 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588582928709 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588582928709 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 25 s " "Quartus II Full Compilation was successful. 0 errors, 25 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588582929410 ""} diff --git a/YL_adder/encoder.bsf b/YL_adder/encoder.bsf new file mode 100644 index 0000000..ec1b833 --- /dev/null +++ b/YL_adder/encoder.bsf @@ -0,0 +1,71 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 144 128) + (text "encoder" (rect 5 0 36 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "a" (rect 0 0 4 12)(font "Arial" )) + (text "a" (rect 21 27 25 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "b" (rect 0 0 4 12)(font "Arial" )) + (text "b" (rect 21 43 25 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "c" (rect 0 0 4 12)(font "Arial" )) + (text "c" (rect 21 59 25 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "d" (rect 0 0 4 12)(font "Arial" )) + (text "d" (rect 21 75 25 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 128 32) + (output) + (text "sign" (rect 0 0 15 12)(font "Arial" )) + (text "sign" (rect 92 27 107 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 1)) + ) + (port + (pt 128 48) + (output) + (text "o[3..0]" (rect 0 0 24 12)(font "Arial" )) + (text "o[3..0]" (rect 83 43 107 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 112 96)(line_width 1)) + ) +) diff --git a/YL_adder/encoder.tdf b/YL_adder/encoder.tdf new file mode 100644 index 0000000..81f80fc --- /dev/null +++ b/YL_adder/encoder.tdf @@ -0,0 +1,36 @@ +SUBDESIGN encoder +( + a, b, c, d : input; + sign, o[3..0] : output; +) +BEGIN + + IF d THEN + sign = VCC; + TABLE + !a, !b, !c => o[3..0]; + 0, 0, 0 => 1; + 1, 0, 0 => 2; + 0, 1, 0 => 3; + 1, 1, 0 => 4; + 0, 0, 1 => 5; + 1, 0, 1 => 6; + 0, 1, 1 => 7; + 1, 1, 1 => 8; + END TABLE; + ELSE + sign = GND; + TABLE + a, b, c => o[3..0]; + 0, 0, 0 => 0; + 1, 0, 0 => 1; + 0, 1, 0 => 2; + 1, 1, 0 => 3; + 0, 0, 1 => 4; + 1, 0, 1 => 5; + 0, 1, 1 => 6; + 1, 1, 1 => 7; + END TABLE; + END IF; + +END; \ No newline at end of file diff --git a/YL_adder/incremental_db/README b/YL_adder/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/YL_adder/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.db_info b/YL_adder/incremental_db/compiled_partitions/YL_adder.db_info new file mode 100644 index 0000000..ea56a7d --- /dev/null +++ b/YL_adder/incremental_db/compiled_partitions/YL_adder.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Mon May 04 14:59:00 2020 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.ammdb b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..f133a850bd01c1649e1e261fb8154e97f08f9b56 GIT binary patch literal 330 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR@-PEU0RkD2sX(9up%}cN{0hcj|NsB5 zXJ%t-6HrJ?NJvjeU~*DOU=dVkI4JHg+5NHc;*T>G{d|@hb3ge4X&YF}z+dNA89O8{dMe z^a*Ng0Y4swzh?+(T_h(ZzvImDfb%LBSWKE2r&mpoIZ+cZD>1?0h_D;WUpq&^-QK0$@DR59yfh*Ik9irYYmJo8&zweo_pnW?NYA0HpG@u@6b z4enmv#7eor*`^~;`yl2|`#E?#;oEy}J)~kg3KPHm!5%rj7egmfY16?v22a;Gn%Gl2 z-Ugoo3)|l#1hfTAoSmJG+arAbx}EZ3%Ll#1P0ReeF>8?!xT^tBp|Mf(x5t9JLHm5t zAvQc$%623PV*}0GYh%NM8&mUo9$)&JPEo;qcaB``;4vQv1KD73zrWwM^RJg3EBzmh zR2%TMnn)n;5{Nr1!oH>gX#DyI&<$#%mze>;9Bks%u!{?p#Nf$xPIR+3MIBw^uFYlq zTwv6Awai0CJmXE=jiFvWb(>v>-vY=kB2 z9}CCj*Z&{>|JU+=by^la1pR!VOYa?SXqcu2gd7=@5RbU~SL<%@W6gs0b@!J23ezt3 zmwQ*Ki}dv}0F4d4IT$aU{qrt1y?%~tVFR#YMo0i@tp++}x6(j}rA1>gB^}_C@Jz(rE5XSeDvaOdLXFC5Hh_^FwH4ygV1uYp6k+a zSVK1wNJv(f^O*f#Y-IvTtMAV&mXJWwzgoafX4ZYgF@adMb2XJpMU%F|h#x@a*z%~^ z)+M*WV(J}$Ly_6Fj(0TGPi-9N*!8~)IrY}|`SQ{3tG|~p-u)}evu)Hlu6}f67$$9k zzv1N^I#O4P{{^J6D9O7MYN*xprLNH5ys=l`Tg9w%pG->d*O@6D;w@~R7aj=xp=AjA zVV$9fJ?pP}($zX-!z$ial0c22CTLt_K!zzOn6X8{H`G!Rl>=rEW;~TYMM@^aZ}Np- zWq}N)(z?j!Qb%$gFjmmuT|<}?IzrPoEs?rA8%jX6lA8|%{cT5K%X!L#)Ihx7nI$`b zJn+wrmf!$?P9TPdbg}mBW&!B2Sngt&hcn}XUQo$m&30`ZH^EFsIG_LRBhh8mpv!D> z{jUa$itP)W=a!VmJ@J=-vm;>|5ZZg1Wt&ZFbXf zmDn$vw1-z=!`Va6rsongnVqNfc3J)6d$wmwjKeQXoF&fO*jAP4C4(`4>%nUG8num{ z>#RH~saZh)VauF^nLcAs0>C5(7lsrYF6+r(-yWGb=t(x!m3I!Y;8P}$;iIGLsgjUq zZaU3KwH~6RA-ZkPaJ`y8?H}{PD`dpUkd@PO!;|cLjK;0il9=>d@1dj1eV~hm@JxWD zKspZWsq^QnP=2oyLu-LU&*|sde5Jj}HEhbropjZ?)riJAgU0kmV_j8Dy`s8^Vbbv0 zPRX}?@dH1#v2KBqL8G&P2gt7ax-!+4a`HYcX8qxcI!n6rbRF{=eR7{w?>$0=RF3zM zy(QK|^d8rdBQdTL`ezG<%m#KSKIFas( zcb|mjaQ<$XNgA5K;_;J%ber(RM42te555e`lU*>QED za;i*Mh}>-rc_QL!@DxVOv7!w~RA!(*OpR!@oe{d!jSVph^M%DUg&mrL!or^_=}@NkW;f>de{82ZwS5ZAIYN$d8SO;=lSUMIm7zxRn}?-Os8X0 z?NmzM5i(e+BJF~`=e*~QmK{OM)L(afEss3EKCOtLo5j+8!#ma|f`}U!fp1kYMBCY~ z;hjV~t}lx8n(=GGYALQKiTy3Vks>Ha{DIia=N1=gv z$=-w;HIN$M!ZL3mynH;~$>(d+N?H*hFWxgp(3-g`?k9|7PV7B_VEt*V?=S4zHf%m| zIXG-Yf8)Ym@k%E~;LY%cx4If{KudB^?(;1huA0JTe>SHgSEa(6{b`te3a#z{fmOt! zqUL}$ZyYj|LOU1^U!`-LcFqU45j(V^}+~07W4T3~5qCiT*KST$FsrH}$Cm zWakyH>8_vq4{I{2qSJy{2kyw?$M+muV%t)|9r$9`zBnfc_0g=#-O|q2S5$r6F4+u! z*RLXEe#P%52!RM{aKE_5VlY!+=IvL%yt(&k)Gce}J0433e#}Pv?qVz05mq`qM~?u7 zrIj4@rlPtOk{)b8(^P1$B!crZs%LFsmp05d{nx4$eCt$2;;kso0fci83si)1s_=Cv zOYow^L&_t!9QW^9ifORtw6ni%b!)UT;Qcd3nn4b%pt7A<-kjSb&Da4}ztPBYlTk{z zJkj~nOvedHwLrVbEiIX&O~;$vjIRN%>^u@oc)fCvwVjcs z6tt6pY~+JFUp1k?*+T5mg1{SE!~?kBJJQ-p!J*Y^`NpAKR?^QAgl5#TWYf@0+=kyf zZ6o&tc?S=nLC1fksagCcF^ulf1(T(B(qXy3k|Yl~VdD!1K-V^lUGvR;R-5!kS0{jH z_(s-r6-oN^F*d3_s(YAEu7eI#V(@PbJ1}kuN8PY5-21fqCCRLpk~=g7CUv`eXmr-| zBZ;5J1&3bWFP5gJ7l|gUo?NP&b4r*1P{ndia__h6{1y7$Y^8tzoyyJO1dEzr-#PRz zE8LJd(;D7p7gdfec#DX12hkQNFDlD-8C!k3;`MlH$iVO)im>Ex+OIBfYG9_k#`4v> ziIJD86DqP2^Ip!3-= zTNjYSdzuIQr;8yr5oz%1zj_AX`TaiQ_x;x+hCX>bJ;$?n0`BGBY?vb}${RfixTu~e zIi@#74-IC?NzruXwVLmdR~%>~rD*0KiJw^th3n>r;UV}7Qu!O@q+AtM=j|)O%VO{- zN-wUO0<}ag-ihz1p8_1rE%xF3mHe8?=Q`RDtQh#`0%prf5hLvbTtufO)9Cy6`t^x% zK1fjEB$){rk=iV#hRnucnN=(p))?dAK7!yClByb_D?}hCAzk97yS$F`zTOCEg8OEF zm{8pX#}Kx>P2WF>+dO9HPDuUnUnNW!>w3KOd1G{!LXOOZMN5IjWTSBDXbZ7s(9A>9 z5a1&4$k@oWId?VY%0L0LA# zS%mHMD=K}J?0;UI+V!Z5^64|(HhirMwgyjSB9UqC@u4EY0YOU*fkfERf#YQCI{j^< zz;KFDk>=jYLPo3Mi>UcFsNn>d3K*VI4khlbE#&<&en7^*l-3{Ks_jkn{8Jia{#j1d z1CkK?mNj6fMObTPRa9Dkm0fG;4LE>(KUw>}5u3t)m{s;Ub=Xsijv0gL%R(rh+Ab#n zcjBG!k|RTZ2$JA+@3G81Dx@F(lb@uj&@%vXm4M%cuaO{6EHr)YZ}5@`y;SThYrtY{)?OCrXpVWWrac zubeZB1aRY^WamJPUTbe9{p~K9$sv|CbqSD&Xe;<5(|Yg}eNORpb%43#beuNjFn(pT zDL7Ppl3!Pa2}xsLDs>53Md3=PkB)yHj*eg_k{=8O*%_ZNoosaZY!QSC39gb6$-P*9 z?Ubr<0^QfMeyt;?qdD**Amdrd4-@c?mtb3fia9QcM-XtTT!u?|1(!IIQC78J(PMa0_!xn+?708BMxhivLKEd3=UTQO5x({_aup$;A8bE{j>2THRe= z5@kxs!i!K6F3<*zO7jz+YYr8%&MGy2)Gj}BFv&LhI{LEA7M(o7#r*~<2Cperq&K}$O1{Y z8%}w){L#$=YoZX|rfxH3Mea4YBPsGM`VbnQFTQ`2(gdH>aM^zF5rA+m!vvDL(yZdF z&dOM51%Fg%Ew$sF)LlssNEsc{1Unn%2s=gPDSS)NQR`aJ7~T0cGO{Bln|w~ZH6H=G zWj~o}<`}YT3h-Z$<3U`_VlyO8jQ=pt6EesY(xh9VO$`FgJ+5c_)|T6>CHHBXO4E~3 zEX)BFWu5DORo(YV!wBEnt!cfpVc(%)UVazHLp2Bl^-7Z$x$6SoMif41TZxe=c&PGS zQW%DNm|%^KuNNDDkT15j&Yw6`BH5Q#{38)*Q^qJQzuHt=W`?cwpGT*0SykLSoZ_$2 zwCtA9LPr5s9Ula7eu?(!Q-_ZuEdBDjV)vp!cK}gk?ETtbq{T23`FPvhj}lq`TGWDC alJ^dp3v_=u1^LVx`Mwg=JUscI`TQTEi3J1z literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.dfp b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.hdb b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..b2fb6b8a59279e50316b5dd3b627d0c569a0f785 GIT binary patch literal 12613 zcmZvCWl$Y3vo`MTt_6y_Q=quJJ1q`H4(<*IcPQ@e?s9NskyL+w#Mn3x@P;?wo(g z-x|Jl(mej%({t`KuXfV<_Pv@eup!vfobM&u<9y>S{JTJUzGy!C!MBSqA)($>Qd8AyEqm#(|9B=;bl{>J!@7vnjZXE~`uZ)xTL?r}7{(F!14b6$G zvQvJsKek!l*|3tEb3K zmWQQlJsb?vHNt%m$cX<}$B3bZss-r`j9C)kal1ajU&NKvtWeSjUWHYP9bmYt@Swz7 z!sg6*WYw9v1^0i8_#f#+kvPXFZ8a!8oswGQ%UrdM9U^~X>$oT#9;emo9aDZQPLk9o z4DUNIhj)69?qim7v7G#2q5fpqmTs$4mW~_zCDvg)BAhxTPj5vTKf>WOaY&hfY7mC3 zO1*W?II0AD2BzRVen~7!-Pt`#?~*M3U0Q~CRM?*J)II^FPqbvbP0o=u6jrqIs6?aT zVrjZJgv^#krJ*LBmct=qfT!tTz&I2Xpua!YeF|aa{WfZKhg2A7f(6+NXSz#j8LeH2vlyTBKq9TM5m& zIx8+MR?13e3@YFvDumiFTQsZHGCFj1sF>S_O-MeKm-_qYVwF%r^%VJ310W^W#`rza z64CmK3|^buBtrrGt`yWCP;>%d?<$qEW9O%l&k_t3$QR()G_$u1A2&udMh?1uFH91T zru+;SNLGhdL3$9VxvCvrOAQy*0wqAi@MxL7_C!b$Rj`eskBRuHO9<6?gP1%??%n!9 zWs>-F01}_w@H0w$_IyUU8a!TGg{xFCVMV4V~Zu?#@+;Bc#QWS2L10|V3(APV6EGy_uV7Er@;NME2 zi{a}1_D5*uyK-utOIjQ>@jU{_;f9l(%Q^bPUg$uNgu_mAuSqnSsz&>%ep^#vBm3w{ zRy$x@@1F2e>w_?9h)TI&@D?hntZl6M{J9x+=w8^c3*nl&(ABlT`yb)0*ok|gNc~`w ziJ-_ZY4V+x6t5uyaImkS66xKd$woz>9PM`LI@-!?iMs`EA`yYyS3W9uF@^+!&`SD+ zC@Jq*&V_f3XQ^B&A0sz25Wj2gqkvRDu>Pd}kJp&f4L^2AKy@n#SsSSXuqjx7gi>iPId6L9CCswlWa!7fU7#d;j?pIlPHXmctz2- zn16-_`mqL@n4tlzesKV8L*(e_y3uKF)oI`RoFGiGLmt)r^Xsssn~aEP3893R+!S!R z?bcWo!OMZ6Azh$b!I)(X5WIIu916@hj@tG^+H_`PPI@?Ju62An{6zfX?He7VxKjFlPCQc^4nc?YRw^52}*vsntRPPN8l+W?Lx zsEW+;@4;`4j+AZkoJQ%HS}s0&FdYNugm?sm#8)bZG=}s+)LH&^GBPExf*n>YqKetx zPsyvt)m6$L3!J0fZ4%F#NAZUDE5!P9bM8sRM1<;CWth>>~n~+#4)zU zs{P=;OI}B4+$VsiH7liT7BT2sD@$3uon<)SQek4vTx1Ydh1ny4n$#Fm8;u*2SnoH34=F^c%l0PWA>K?j!k zEd=)=cwHE^OG<~Wb-x<@T?&_B)7n*>v>WEOfu>@wtY3Mlz@*NiyG?6LNB; zQUn~M4|-(FH_yWEfk>$Nib5*gRUw7VgA&4*u*|#qJ;JfIC*t_qe{N2y9$ElzQf^+& zzzX6PgZIU*%bP~XAA6#Kefb@)F_(kC)$oR#f*y<7+a)|;Twgu%N(-mg+9Ypt4dqwg z2|XnEWY?xP7B|wXah5vlNm~hr=HJ$nEzrl|awd%_f}wD+=f4%Ilmg_Zx0SN@FbPe$ z z*|Ik{{O*7Mt6`ZC+u%Lujbjb;i?<|?;g{E9$qGznCH9E@CE4t=k!5=b3G?OC=dZPF z$`$D2;-aZrzAgUw`3*I_x!IZ7D0pY8;}2rCBf{gmb)5lg4d-ql(&r(vExk-0n2u$S z!-LPtvYAZos{H!38^R3O#&hzwen_dxj?*oa`x%>?e}NwC_Psy7oyn*d3Y@6g#2WeS zJsc}a;aBxKM(JZf8&MtwhVt$34bqCCv8p1f=A~1Ku!$=#02 zxo}w-%Y<1~4>(|Pi(jP2`4z-R5oXnr24TKnHuEcPrj0k z1;oaY9~Q7kmZcxwC~gkN+#OY-Cb=C1_ox@8;`#4{7cs`)IDD>SfP3++N5xM&4RHx& z`oQx6<$h3MyM;0`x>4B9plj9Xx%eANf2%-&s~cI-&-G<{Mq%#lZ5ehNC^K`|pXjCe zPPFWJG0I+apd36B6rG{H@7pbi^~iy{3MklEr%Ym>NV<`>JvU^#tO&9Lbl>L(fH?M4 zf(WoAHEK#)o*F-MZNXCH({P4NCwUg4qY(C);OY^d1@J=ufy~q^3cJw5A{_o4fu44F z=+X(WRBrfWQdo!jIZ+Th==ymws|Kk|Xpk|>j(3dH5W+V(fptl4ECz8g={Ra=Pq!x? zOnNecwUh5e-0lT_li6loI#-4h(5xa`1b1Mr00lZwJh^R(ka@7nxY>wg6v?vv9E=sT?bnWz`Zw(vXAQB3 z5O;hAy-z?Li3u9IqWi8sylQW(H>32&bliboZj|-!P#}<=zzwD}AkP6(ZTiH|Az13W zZd6VkJZl};C_!R?r{_uzQtr!wP&Cw8O_)48{a-6D6DEaSmc9Xz5 za`D_dwxG9CU7`j*9iuib45$lX-k&xW`uJb zcw7A6lHWBu9mk-qM*p=t_p_E7GLK2+vFHV7N7%1}JHR-6AZGA3_R^w^FlNp)rgE{s zcE*AiDQ^NTgVR!`ITHP{>>~voPy9{<%tf=WfL+M$=Ms9djJJiqR&?|EyC~Q#B!hyqjnx z=xfskO*WscIxLnen9s1epQpo2tubTz5}*gx-m@GO$??$#Jhd9m)mzROa6)mGobr(m zB~wJJI$V$NgwB%gjdQp=lyEl*65o)Dzb(i@b_`PB!+sNG3hROo#ZTv{n}uIu5wD^Q{gv_e)gjWcEgMxNm`9rMw#XZY`2}L65A`y(#c;|9a}P-N=O1+`vsf!#pkBR$jY2 zhDKQ<(|k5$P|@$_0*?}omAI=B=3W6c_xSG)J~DnyGND_pd*shkcKOOQncv!ze2D{c zd~zgpYI}1B$bT+g7%(6+iY{v4XaCbx;U{j>LTpZ2gi4Uhu1jMh|pjQ?iAcH_GH z#<53|94Dng`~XeD5toILOBtH+Dy=>|=;h>Ro6#QqAqK`VIS`t6a)SSALc--F*0mN> zk88&uAz&Up&6m=sHRYuWkvUw>S6p+B_PD3i9A82R&EBd`OMM*b(A%HjTvk+Ngs;+J8as~6pWS|c7T)AhV$Lqz27JMsTJ~U9t+u|guylTPWtD4Xc71VkWpdus%kvm9RN0~QR?1x&s-*`Uam|5|1;(Zzr4KvF z{`skAP;QOucly2ADUq6*dUOEaLg2^B{HhLJN?JM%4PUX@>hpsVo062czN?WuajW(` zO++SpH4PsL-yt0Jak!hMoePWkOysZq#G9*{l7f0)0d5>qvgv_c(aNk z)To9z7DKx|OIc3ut?H@??HU)WZO~#BG(Os5k%0+tR5h2-il`_{gZ1<{vB!U{EHfFJ zTxZA75`b8uKK%Y=Mv|z!$*sg#wxBfe~vx2i+j%PDR3O|4P%+tgi<3Z`<$*kJZ zH!1T6B9*EZ-q2wNN2dmPtn}h^^HrU-h{8n|4-1F7{Km@s(mz&hoUeT%iLZjwhynS$ z_bPpSb$Gop_`hewlhA`8%NWwCGeBb!QajEyPAzt%w<4-NPk_1iY;{7@X3+ve7w!3` z71R6qe01qKao5~~`&I8;ue#wkNf0S zuRsoO!6SNIgm*mvQ$ywLe2UkACR1b7RCE>B#9lXI+{l3D?11Lff)8Tu$!)#BAG&)( z&31;w2a&Tm13}$I-9zhkPvX6j>IVZzIh$lKOJ zm%Cfr>C20s;$rZvQ*u}enFM`cWm2b@y6Qac%a;tj?oX2j zG^-7K?icSy>aZ@h_nNWzo-8EZJJGh=u+#ie%!!Wzg)q(M`!#iL1fIvD6e@3pf*XbM z+bgdOBn7u<$9l_SqC&$({^jpQn3AR#ctyujP1U13>pST^KNcRV9h!JgX})R{M%EVS zA=2JGyXP~kEy9ND8yvIF4NYkt))!Z2RgX*7D>t?HTv&%5s2y5A9(+3j#1ELWZwX9k zHetOyKQR{96;5wRI`W?Qunh0k-vj9GR#umay-mlYyF(aOmh7|j_nY38{o0dax$*ei zvauQWyZUI%^D7>j^cA=w7A3EFcaRKkpL42hX#Y9>fCPGFdkX1$@63#Qx@Nz9QQ;I} zvj1_?5Q$zrq{=4Y96ecqITCA-o=ekq?)3fN=P}<)=lDI|7AIHT(Ifk-@Q;g5wWeq% zo^;*9zG0#HYJx}amoIWfx;?UMpT21JdSB3_KJ!`2d+AsCCr>)zF-Fmzk$qw==qcgj zB3m@!CFoX@BF?3oZmGBe%bQm4`kJd(ZQxtlEcA3re15S#*SX1-J>j({t9BKbx)<>u zhG-(bSeiS^W}8?^8-mYf5cH8%Zo(2&uFe=6VY)x9!MaCrX@B}>ooG5mgM!sQzeajW zoPrYKald*lT&h`pMe_ZHk%rg%-I#aeBw^r)S@8Xo@or?7{%+)1WT{*eCp#mm?%cZe z>tML>D2;l(Ea)E2bsD6}DqjUB&ka>?))h7(c4zqRbseW8A9`x(?W43}6ty8~_TQRc zW11sf(pmYIMM;@fs9`Y>&_Z>q_HQ2o#Gy*ae7gB43yHdQ2GKC(Pbd)0(EMwcbt%$D zvvQ*)X##-WipuUKVNgb+a{Sy8Dh1Z7H+6q_BfdKDR- zD>%zB?kcMS)Oy!i!_rLvDYmfmt1Cp$CLxij1JPyU(a(NCwNDRlaRC@$V{;`WX+-zL zCFYH52b8K%nl9;TX$aHH{#-S*i~ytbGSSZfrM4Nd3r+%j>YB#1F+2q={bIkHdO}#U z(BqDOk^KUxh_ZCI^rKP!l8!QZv!MzkG%jN(D+nnW=aOK^x&KYzT;m;AKCBUwq)Hn0 zz;&QqR(b1yx0L1HYPR znW!l_TX$g_@xS^661?0L>Id_{qR^5uK=FXfdF!!kIj23Z#$8molX|_kwe#Rm8M{LH z3=)CqDQ_?N~%)Ed|bCHTOAvx-826E{+3Gfs)6r zCDg{#N%t;Cu|)A%OJl0)SIimW&l>NOy!-GhTFGz=I!FroCPZ{^R?8B?t7=^hA@X|s z;n|uWB4Z@x$4=17b}+oxet!Z_%&og<_GupRe-YFXl{$y2CCw*NIfo_?5G_~>HW6i0 zqU*MzeJ&M9NH4rfa4A1)sQHi-I@LnAH+q=vqFRs>-o%}@C&Yk;@U3b*Mu#Jkjb&d< z8Ve!@2Nt;&mT6OVKNF1X3BMnx&`PTs{1rLYxVD#8kF#?#&2yHcRsk?b3|=hwtZH72 zly1hVT(*^D(oy#lw<0)<6*44S*?f#8i}~_)dI-qZ{t5f5w#*dr!vK$fR^I@xNw)XE zXx3C3so|TL>fR9fCemT{TEFOIyp}g>B!l6i3U1}Rg?1>QR2}DeH+45Yo>H7cy$~g$ z@GzJ*uQW2z=u4@TR9a<{g=GaT1>fXXI0j5J-DW&Ofl?!J@oJM&@YI^T4QOWrW>PDA z@uzg9l2fI0rBzvJw1pOLumI(tZP-uT`hvlknxB2J1cKAo{voIwUO79Ep#iA&C}KZQ z580iqgF>JQa4fWPjQvBP>lkXR57snbn0M+hKaL*zqodX|`qQ7W1tRJ6uLY*eHjY2H zdq)D@_3qiqF{phIZn@;gIuagwDUxE~8)X9|tEl6KgKx_0**kb{ij} zqDk(2dcP@n93isp ztzz$y8Z~QS$64nm!RC;8FhR8+J~b6V zH5fl|@v4Gcx~;9o&YJ+a)zvB?e>b6fJK_U#57;aHt{FpRUxsLkipLx)lCf8b3o3kG zuZYkh)?ZDAU%_wEZ*WqFH`w-lBfPq3C_W6t-XPH$n%r;b>csJ&ww5U0gdlowO<-U` zmt600+hCVa@9$ls9$F<=I!nmi&g@Kv=lq@~-jL9+ez^YxVkvdgE78H->L8zxb_|#O z1$Lptj?)JO^FiYo3{p~RL;w6D5PD*KuJh>>S)8eoPUDp7ckxK<95~2uyTGBSNSQHg zLAwepNHwer)T^{~+!iOYB&=xcy(PT$QhOgflbiLOn_NfhCXIJ~()P!mCO;8{7S@sJ z@T84kGKnSxIp>x-!Q2Mty7i5sm@9bSgn0&DMd+t1jZ9^KI~Z2l^@g8`?$ES5DjKhc zzQy%V`$n@f%h|_8Ij818i{L*sk|BDKzdH0q;Q~h`G8Pr9H z544^q#~3Qq95p;yl0KmJ--n6b6 zHQm!lO|YRZJqDXSBxd#w47`<<==nsIIj@Mra)6Nma%9fB@|SY)0TqzHqMT^Jd(o|0 zn#;TG`q@P?&vQ&qK6LPJ`S1bZNVLPTs;0x1Z2M05w;uR_5F}pJkdB`r8C0T^Fi^e= zkAIB|@t1gjS_<`x;H7BrX5xZ(D{v7LlQuzAXQ4y^T4EpRkiV3lgZ|Ud3-bt9TwRnnet2nhv{~4sY?383)f9 z!1k*=Lm_pobE;eEEOzG{@gm|22=0eRyH zi0(TV$?YSv?ML}3?as)CytK8)yACCf9|3nOW`RW-rLYHkRNc3$!ddb^U#Asu7pM&7FcpEUlE%I(^ z3ZB66x>hRbP)L;-o?|Q;{i6}F4@R=LqOoNuyIku6mi2a*if-$c=@h-WhL)@tgg3g# zDi#ib7MB+5AD54dI3X|bh-JowtLaJ+n9Xs@>%=a|k7_b#_48dlq4ji~_$>^GIA{q6}KN`>tIpXQOc1r`GJ%h$-D4Z#P&+{*MV#%%iy&p z1P;Os68V1D(8NxspxT+-+8L24`z;ukxyHW0-;g6D77K(4(sp3qe#12gTu_i%5vVg& zHTF_9YV<%htctZYEF$;@Aqzw>s>0hZ>m6X=s&b0JiXaPHAMMkfLV}tqeOox9gPf< zAn#6z{^kRWqG1M4L^Z}p6EIzE6xJPh9jd`md<7L>yc6gCY-IJLBEpG9r;yVO$6}fe zOZ(ZA0jdl$k-!!l44o9fcNxfL>u0L`dzX8LmF!%%(z-UYdZo5>rh2sP;Rpj7P>QXz z*NeOZ1A&}x85e$d)I6WLNUicAUSCx|WSvR03mmw$*F}rjDjKp*E80aR+Jy`>T)i3= zyMzgf;oY@tS?~xu?gRt;upLT1xsm6m!8Fwf(B0;_EQtb;tOxg?X<8YmGeja$xe{x6 z>a<)R_2-U5G5v5n)wSZQUiLAtcFe8mI*S*nJ=nrZ3zwf1l;&iUYgtu74xkmwRk6yb zdjT~-Vo(@{%Ja;P9_X)`9~}-ACmhyW{DJu%ky+F?$3;}oXldo;a`g6)x$cpK??Uk? zMTBnUWpTqSEI8g)I#8?D&Zk1=|Cf(0#5!gG5XMrNkw2sj^DS?6EbL= z>Q_^jafdPSt?xEuFcS~xmV|daQ0Ha0(3W`+#|%k(Qw5rs#58U$xsq1T|!!1bep-8D{K-mK`Mf{BsQ#RfS*a{;HYKggtD!1gTf!{;dMAFm`nCnYQh~77@Xy44Pu163NzZSUNYDJ-{c>wp4x)10or)oEcxWSzzIm)& zyw~r%EJ6#rYHQpaw=f_`Ka=I9Hbi$N%#oH{Ruhuhx+fAl0g4274%pNozmeD_serpZ zVV(y!oe~fh=QesY|J+`6U%Q~Zh7|^Bfyx{)DYU|}$0`)2D<(;MtQQwC8pNSzru?SDc&f8Ane6aaI_@*781J44 z#C9r>4_YU5&A78N{d5)U1S7)dzXb|-gu-*TD#HYPCGeG+_eH#!a8Iipa|N>z?}PP9 zHmi zPYMbjCW;SiIH`CKGOLqYMEqO7;iI4EH?hE{LZF+L*qe-)00jjJHhN4Lu#;lf0sY1r z{f3Y%Xwh5b+id~R?Q573@itOwUmN`<1Nf8)T!sxYs>i%vF#ofVPg_2hQ9w@E)?T?T z_RmDP2a(wU`5!exMgJKG{#54yp~-M#8m1vz9grrE6f9(^KC+ratK|%kD+rh9d;G!? zPOPaIv4-o9k+v)A_oTg1hF+X$rmP^=%~1awj`UpizrJ5I#7i|~o&3KJAI`f)Z8>W_ z^4COS7vwm-|IFMBgjpK=oo-k=5m6=n!UhUZ{Gi>hWN0Wbe{UIl?l(47-e)Z4qaxcF z>2NIRXe{YSEGcBKHml&J(s5@?yQ@W_VW##5cTUr+Q8p^|oA*ZWrq4Y|t`9PG>&Z|Z z=raNTLo8&SS=5J4)CUIcO3Y<^>LMd;i&wL0UrvlP&hiJHC`kgjC-_crT1bfJ4c}o; zT2~`HZ$R|{xam~$`B z|G-A;-S*B$dN%Z{VNO|HUDFA#y!cxB=b>tzKsHQ(=Wd8+QeK((>+3aJzV66W4gz;} z6!QIcRFMFuU^-(6)xA8$F3!Y42REPKJg2~F%1cS2_+7QKD9im3xbYqjE<_jE+<%Pr zk1hNy6}m$?@Tm^?6b^hU13t9@mytW4%v>C0t!o=g^Op^*&h*r+E{-iOESNZP(`7O* z!aSP;8V9eXl@y_^X^#&p0ObKMSAH68e51yGDQdQ38$6o=>~r|dM-|K5njHrFf(gp&N(PX3#rh#f$K`Oq z4;>n|ll>1Tlc|qnG|cw2unGsmLJ5isn9r5=uqa8aGJtN|_UUSXfj7vAbV-349_T1o z92yD|uCIY*dx~A-zA#`YGfgkxoB2QT2X2&Q-oW0YevHMQ*QiFli@p)FgZ7*;?%=i8 zZTPorGXqV$Q2J0kF>|7&>{!v7FvljV-430$T;&2dsu51I!gAO8h} z6-BW-IJzt(jq2a)Jr|g#NLv!7({w4hKPS&Lmz#|DHN(iUlzKm-WLTG^)DWI!LTclx zd=8ZH!?u>My${3}g1A>mts6s0?o_+9=`*ba-Y9tt9!0(1hxc=<$x}@iI4(GF(a#&` z6?oO<8+Pu& zhSEkl5O2PBlv{| z^*nXW7M!CROdrA=e@50HCTEl-->TDO!scIb9{kI)c`+h|+o9B466kC4Sn?>-DuAoK z38+s9!u%})Ps&v%E$xU%goRgp*fu~_=X8}Ct3r zy)lclG4M@@bKHASFMj9<&Z>v7DtShpb5KB-D0gLv>4P`Xpg{V2dwSEZitv>9FZ_F7 zq^@CYszN2oiZkA>lBCFzF|p@K(^W%-G5bo5 z{WAlX-jdovln*loji& zVcLW9u+(lZR}|I49W^D*L_X!8Bs^U03k5e)JC}sC_Y{(T^S;k1;6d-TKfn7fO7WD< z?H{S-qv^`x-4CAE*P_dvf_IR1dRdo??ZpGz>rtdUkq$ zR4XZ2VGM&I1t!z9x?W*jxLX=et6%_TZjzXJEo(h8jFB@N9_k! z&eBc^;AjFtb;R|E_CdpFJ<|F=c!8UkB_OUMTWY6bL?=AQk2wP;sL5mULhS$;8RYPg zgjd~TbvWp?S)vV5K35#qlJC^h*LRGP;K}&75&ClWrmZQphFz@gxNj8ReeN*?ARec{ zf%Y1{r3S1J} zc!n;_`YVO(QAEs73LIDGDglh*Jv^+NPilw~l@dlO+e^X8*EA1wF*3mBAveSkk(UK! zDhs}c((n|D@+$Az4{DAoI*Yo3CAADGD`xZ&n431jc&wPE2WIVWvT>}V%r@J zqeHPzh2vewP1`?-&U1ulFnBErbODwbwYU+z*7U8SAmTn0JFc^-;aio z3gv2klXOc6J7tf>93Vfn?pJgqA49X!gACx0iQlF3^?2M8=O7>5t+y2m8iDt6|1S8l zuI@F`rVGU0%9on{Ay>C=StR(;;Q~%MyXl`tt}#;6HDMal3{LqzWqfd2mT&rY;E~Ia z#Fk%MbZhOVRMxxHV*&y7hJ=1K=c(^LWQzrPBD&x(Pu<1F26sxJN37Ur(-ubVejK1+ zY4TuPnBHt;#lndT#X)*Qo{vOk7SQi9k6hgUYjf%L<`x z=I`hhOv#hj?>3(~NU-=vZ_SaiUGrFF4 zsvc9v2P$_|E)OAQZ3H;y4G)|*gm0EBj*f_a1780d G!TukmD5>-S literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.kpt b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..0d3ba5b786abe8a789aec06796358b5e45fe3d26 GIT binary patch literal 219 zcmV<103`pc4*>uG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Dd`00000002M@00000 z003M800000000;c00000004La>|5QF6jv3$^FavT3t6&|kYo`Pkf7O_U07nF_I`ns z6i`BZp|%o)4YeiNMWEu#*5I43dDBPU{0FQ`l@FFxKBUyBG2b6rS)(i@29N;D5?I^c z@7zA!_jWfsGlW$@x@x9xpFa1T-}$)b-k!b_hGA%Mtik8sXx}a$Vsei{0aw!i_)VOD z@@-?5;e2f9&j;c_5Y(ZO1EU9rj*8%F z$Jpq;>R_$1DXX)q8f-Ua5qflYRk|w{-&kes55v&WHc)*I91fg5g>z(h1RjN7gy9l3d@ZfKJ z4Nw+<3P;xPIdJ^w;qF6Wcppf$o<04$YA>OcpzEy?!5n;skM$fpNC>%3tiXxgIVd{w zoVfX?aH6B5((yCv>7zr(hK?(&mjTQ1TYvSr8!7LE@{Ls93Y?=yhK}w(J{kqMGB#SR zRokwGVNR}nzt+iKHpZH3m0HJIBpXc@I499?;@kf+qUXTcTHWn4^aKOy_gLiSS_c!@ zF}X{{+9c@hOafgU+#Tc&jRUnxj)ynq#(C3RxLATZ(zj<G1#8*M^{&^;~mc*Jz~G(*52w3ARM$1 zI1Uu79m<_!FV-qQhg3&OU-c#5(5~@sDB@OyJOOKaWg|IlbCWcAf3vja<2#-Y3?gxY1GIvHWsv0o%O%oKSb2jv zDHoJmP2_e}V`^7t((IBZJ2EvBy6s4MPv4I3n$Mw@n)-HpM|e2C`g`{F^mtdj-c_G> z)h}0b@y&kQcg8oj?^K~o-)Ls2$ZdyU(K`fdgF~>EB!u3yjNY`2-n5L~L`F26t$hrE z5)vU1#TxCfIC>%$>j{UYFC)de$6@KuundS#9F~C$%hoi@)(p$GG|M*2!sudFl)Fq= zXO(#Rdo2qK=e|r+a?Oky-q$f`UW*cW7@eIvRrjLPUNG5Y z4i>%^e5Yo*xIbq+^E6;k%_z#3++j{=#Wb%#uZW21eN;k)kv>2vHQ#^)`Z-?6nBSlm zVaU8FyqpQVNn5D&lD|k1GU0HsVvsB{Vln^94e>fO;t)D&eB8!*Fm7Y|iQA~y(&kvQ z3m5Y%z@ut^-Is95OemME^Vd;CZskYTcq}(8S~GpX6F_&kVirK84H8hS!7~A3Tv&r~ zGOO`P3;ADM%L4!bG2fUUGZ~=w@Z58PeYirMEzpKTg5gu8Go2Y~u!|8l0whx;h2Wt` zm>G^dW?`h}e??}_$Os`aP4dh!k|$AVF$4$~2hJ#&PnQogf|aT@uNX#Emp+SnCaE&d zsGc5B#-^m!Wp?p0ylWGEy2DQPu*s>jr_fgI-`Ke*O??U|XRG13jRb zRad=^iMos^#Q`+c&s9oN^%-mg%1Moq>RQa+w3=r;gatUs@KS5*ou#wuGKIAig6ef{ z1+6RWqsUJUb*6S6uJ>omXzjo=v`R!8?f_e)1Ai%~XP0|l zY}AlavyRldxxg^;0K|Z23h^Y9fdtI(0h;*?ys<1|;QGy#&`4X|YlvMx=Obs_v_PU# zVLU5BCIj#3Q84(O!lT$bK2<&CQVT}A;Tc7m^dB0^tSWE@DPq3IYu$1)eqc}axk<%M zo$f{`;r6L{yxC}S)HfQ#4)y&BKt4Sr-}k?cq|je^MVv$kP@f-p^pBWthPQdI=Ueebsp^F!*PBIlJ4jG3G?ovF zc;?7AGds-|Z?mzu8~yO4IUi17FX2~V-1YDx+N;e6Vkp~-Wq-nZ(MSx#vT5NPp5XQ9 zRgv{b8JmIlT2r9vcWd5;8jqqrSr{7{E|H#eimCg9bQIzxd<;BTD{D(nzMq%{GlhifNi*0 zs|!GkO?|=dQoLo;4eD=GRBYPIU@n*q<}pzJmf~Gu+Cd#pQCngkuQiv#Q+R`WReq^w zyCCm%4d`cJ@fujDk>sw}sqkUQ`xx@9XBL@BZg~hVpywPjF8*M<6iK-dOL;rW2P@?( zkn&C}rDPC8Xg)7kIC2Z-9NP0DDd*tdP_Vq=Nzt5GD-mcFDMs3lrJ_+X>m)1uNS8p< zNDFlNDj`PPnBeDN39mn~< z5sw4w|2D}?I|d(d#^C&v{e0g;-M&plSenhHGHjw}|KV9$M1N+kZ+{UuvmPwM{;Z`% z#2}mdMcCgCxqglo;qB~*D8hdAm5urR(a4;PM`poH##v;ftr~;^W{hAfrx&^P^nkShu^W+Z*M%r^CjOSzNZ+aMg-@#Kdb}vIpA;QSE z-JD{KZPQ=xds3i1xD;Euz>%VsvS%^E!a8aF$|DAru@~z)dGp!{$+jls7SPNTp-rjIJU-dq@}dZk0bXVoQtKbba1T{ zTm^FlSni9w&bHP%wyng!c(|~kGWax>SQLrVAv3l$vXs`9B8hBk#!kg?^ex36zTgu` zv^8L%xr8{r73*ZJTL+G}!NEMS2df%ZSKJyN*nZsfYE06PHG*-)zLtP@?7`U^tLYQi zh!gc&Wyr~ln+O+}(`Y3!xQ@FBZfpdMxECA#r6=JiqPkEXVi|xrI#@AC_dBxu2cNup3l8Mok%qmC@Xb9w%e6d`AnKzHsJZHDv}!XZb1tqekIt@YLx@dl-HK?Mp=a zG&XNegsbGKowaQud?aNVXQnC0M&>%Q%lI1vD+wz~qOpv5<#ABpGiMZ(ArWhxRQ8pT zB~O5tk#Qw#k&N^0&LUOWO?LAqF*Yxkob#pB{SGZUj!l@mx*r9 zw(3P{Nuot98ZByBlG4JJAT8ubS`SatyIkE_k3N**=IqN_@g90?kU69v)`ZA7jf)-W zcK%DODPt~XEc`eZqgbeSILjs8S?qY{@e~rSZL+Z~K_2Ug-2u4>ZTpureqTiO`6nz} zj(()`Xfq#Sm2PLSX{<__Pw+WrBl>DhDxbJc%Vq=DbVS06Cqm_mBSGU%?P!NUg@Z`u z36iDqG$ceInTU}TB!Vptl9eeWT<@%fzIUOQ5--DVD*akG6^>h>@{Kntuy7T)&qUml zs0!`C!=6{eYaTa#5&?ZZxb1wf2-!9zdp0fdl39(OPna`6TSAqv>zxTawXt0mzm?5= zTxjg=+j-7t{)i*EBv!oA;{tefYogM8Z(a>Q58sF^xQJX$Fcln3a~w=_JWN(9tANdv zM2oA(rDiJz?{a){y#EIP0RR7Z0b*xhVn|?MUHPk+C7XAgf*XIGE`U=vswB!H~zODvvu0BM{iI5`G;I>X!# zHi40yK>(|BqNIvaq0-(KAri!{m7=;(Te)J5T2dvJYu^&N(M6R~TeS94lpw@f zYHMq&t#+}O+7e6cOM>UU_g}nub7szW<}>sC&YUynm&4D(!cxq`dfI(YOXpNA&_2Gd zUY;NYI8+|00MhYyb$13S!WBXCDli2_n4-J_9At*}32=2ngG?NpFdzkxnLY@Kb_D4; zyZW6v10((&$HD^R{g1Guu>V){0RPn>)|FJlkJnp-+8Bm@%xFHh3xcLD#plt2fB5y; zs8XL1Ae_SCP{BzsEy1eB;3f2rE}7I@Cc>;8D!`Vc1JF5Vf!U;Q0HwYS&6pnB-o`?s z>8(TaomI{C`kbdH;SED}xWmB${LsNpTdEZ_xzm@Dp0tXgHpH*eO6Wa_hXw`n@=3+T z=62S6x$z0QeZ%jy1MO zC(aFY=5EB)#aynZFU4ubOq7UE$QJj0f8k{5s)^yh&F!DXXUpjwoCV2V@J>nab{5;} zUIbeGQobVF&P}h92>#1w4>-@O=YcnZUdUiR`(q*1=7@J~8+Dozh2a0)@P zvs>YiS0ibsuw5fF&^d18*(q#)wf`9uHLEOj3MoB{;{T8S|3dy(E~K4QLN}P4l+(aUTK(`Z7F_C3 z+D@J%QEKoToS1?IrnrZBsXbW=h+LV=c){CkP3qX!N?F`be!)kq?`BLK_-~!uQ58)i z^^#LD(Gr@X1D}2On6>I~7p2Ai1cS9T#{xgkiq=bSf~2B;ym}WRsF@pm$0te&)?ZM( zcD0}vnmq1vp9~yp%|!=(9iU!_z2W868JoCKnaUZ<`s5Nv?1G;92zO!HOD zXFO&?MVX$%OfMc~e&z-YRyt$s{4-(Gcz$$5(*2WjxC#>aF~JsdRS>=)IAkOW2G> zRH1?`*Ow`MSu-Lvw!)t)88aMW^UC<{vYlr7SetTad}*E4Rz6BcM16leER4W$^5ZLM zCX=xv69mb@N>0PeVv{~^#k?ZoMGQi9<5hc~hp9IWeOkil#VTvk8nn+>Ry6cg*-Wd% z*YA3a9N(B0zV5$esoJPKS$Orn2SebsCoxY?z1YFE@YPbcQpaFoFO%FT$E>cx5(SgX3@+XkmDd~mCV>4a@w{d&4vg7k+QCV*{<>8ec+YAPwopS?h zaq5mb-t*xop%AL{$Xrf(g#2CJ<;XjDUI%kQwMtn)oAi@%aG{5k(IXho#$du5v|b-J zkFJ8>XhJ&3SrqkGNh^nsbx&?1TL6zY`UDU2$`4)Ow!kH(mE4ss=dN@&Xyqzdk>m#E zU%TWqC`Idb`KyCK^7ySrq}*!^E?9kRfwwsCGM4xc~Oy6zT=v>M-oYhzcP5wGd=Pxn&&JzOEj`~0YR}J-wmq#2+(@R z^P(-K*6Wc?aj{oLccU_xFcNiao71RYviA5>CRPg|Q~Z{J%%+=|(#CBk>5)E z^aDGUeF!!+qS`$7I}&H@PFW98*|!6q+_Ja=#{1N7>Xn;UCY`)iNG0Abyo35D*2Qan*ebq>|&o8Y)?Aj4(*~%&tpJ=OLOZgRF#4W?Pn@=GgOA#A}bZYm8`lc>JOgS6*F_2qWSz7*JQy34s{qtWc=y$=N>*-*zkJ z+t+{P zFYYrlEvHN%uZs={}#cfl#K^^d^$r^^f*FOnhDF34cCP`5h9tTP`$L# zGnt6asaS%2jeLTZi_)P@C^_UYd-a zZ15NCoFyY&a;swZ2Z%!bjyT6VcePf(Vm83S0B=!xTt<*J16d^&ea*PqdE8Xq$6ZrY zmXqPIm-H=SNK)ca>QRpUyVncBy#9#Uag1FeLe#hTPNrqK8q(4PZXj!6bZwkX;4o1E zO9~ibO}z7MuU8QjY`$z$Ra)mg`x(VqSN*CHpKRSr6%E*g@rHCnIN)%#E4Aq-?5cJO zT@fhQ;A-h>{n%g@J-_-e%7p0g15(uzauEqiTnHxF#CV_G`ejVkouna;mNnkekeA`1 zJ5*X=SEoheJ~SWqWzoD*ghcD72?t8N=JhAp-?-n^cknu!tR*fkn9f7>P>sa5D;II3 zy-_dh;au>%5)k1sDulolq$Bpj!yaWZ<*rfFlXj$>5~jtkx9mM1E#AhoapLJKSrSaw z#>$PWT3vEXJB>fJf?uW$!I;a~!Na7u{EhGVUDS=`px#KI?1BNn0b$=ytT@b}+6Y04 zT6l<=ngIl2aM>v|Bx-4x#);pY=91je#kSjTleWfR0qB4u+EJj4R#yaVg7P(lfEdbs z9I-FoCK9#4Fvrpt*c#+f+nTxX!=ZzreQP>L?JWfEGU>H0qf#t>BXHDRyU__%*~)WN zYLwr4rNJz$t3x%KZA((3Jmi}>FoJdlU#jie!kNzRq!^!>f^ap`(50&f(3uW=+q}l~ z;m;0`rScnBROig<3J&yaMcDlM{JAOjQs<(kU>i3G$C%otKj@FHq?R-idqk5PNCpt` zD$`|uu{S%S$u_69CP910c8`=MTI z$b$M60&6aXdpG@&y8u{>Ypkt$G-91! znmz}>4u+m#R<=f!&DOEIo49S<_dUGepFViHJt`r;*1{%04R92nvuPH!4m^EcPELYY zpFQlw2xtq2jbF2_yzfEska-B;)_-l16g~`E^L(so<`OtCd4C}2(zD&Xh>WggP!L7v z!;I-S1MQET%?X@5IS5o{Z>@fb%FXeDv?kWY5YQJ~88}{nGrpTru|8TL?NLBY_ zhYv`@OWvmVgul^KB(I}UHCXUSElTQx7WiIv#?A7X0WZE5sO5L)X1q=}_L7BAY64{2 z@V(f;Tz27Ob&~X268rO8fbXo`vrs7PO|B---bq_On*i58%!FT{vgjAS3V-^ zThBru+fHQtKM${)$MfV}mAt-Z*MgI}HJzF84E5Q4Pzanw^w;VyexyUU7DxdF!FQiR zCb?>d^q&|$x^>a3ukB#V`MtHKA}FGDmqzs@<50QW4AZkf#d$b5=o(jkFMm*M$T4jf zm$G`5)~MR6jCV6W@NSX9fFh(+5!{-M`2`?eoM%do%U5xK)4G9W$Z~6LqB(&~fEI z&aFBQiG)`#1*xIP@5hW6I5{3WE8U-O4obg_iO~~2KSK-^%mtft=!p#+&n!!Iyb0|-E4{N3l7Jp8*u|LQ$IUeQBg#%ysJ{c21jR2?J**^I@ zw!TDt1SO4s_#M#L)7I|zl%?UQ=;$h5g1aw@vfZ^L`EL8tbJ>ruFr40BEr!vId*o4*>uG0001Zob8-jZ`(E$$KUrUggkGdsJjAHg>UIxxN(*!U5jB5D2j@S z$dao|+5r3Tqb_#B_!4DUY}b}wEFGT1L;d*^Dba+j_dlkga8Hty#?iYIcq*Osp87i)%+jAqOywSN)V=h0A|m$ut5_E7j}D2?AJb?f@=&(*g8i9%73gSgk|8gj> zi?IM_-Sm5dDv#c~EDQ{cYm(68SoX}euq0lX>(-o-0Q_l}9z!$6z&K_pO zz8Z`=&hYcV0_Evy&xC|1qxYn$Eu>z85=v4KXA>qan4%xEFi$1$qycTpiwjV%2p?=DtfTL$H|=q=4Tr& z$r*fcHeLdr5PX;BQ`^Ku(?#9zMM?LGL3GK(>`NksDyyn&T43S2CSQVRmfB3aUxnWI z)8%k9=(E(7br~hFnx)quX2`oGY@UC=0h_mS$9zd9HV{O*A`{b;%|MlXg<#W%*z_1+ z#@_K&PCq0$&E6U@F45~*y1W{H9P~E#0^j$&GjNj@l;r7z#$X(ge!^0MG|T-5kjEhy zj0QakLhyCuBA>MlaBX}Ok~+WlH{S~jJg|gSEiehul)%>%4|x*QB@tm?(M(eno07B* zh~gQ$U9)%#6!Dq%6eGToJLbqBiUAQqL<~eM`kth!kU&}Xkck7`_nUHbb3M4)&X5$d z2go3dzi)viF4Ml!#5HoqGshWj5RG(*&2&+h_{}Ewbz@K8ptKnjH;^ zvM&dks*6z7i`h){3{^8E4g0Dg?-M(7_1^w1j?c8G4DpTJ@#~r=8mg}&0##KMRndfO zJ`;6AWp8kPz{aI*OlOttiL(WsFYQ?q%AP0_%)Xvgx+qy82)7VcF2S79D9zx8C9yJ$ zO%ODDs3I&-pDnvJ>=tX{hjzDrZh_)pxwyQnjNmL!h|Pd_db;dgcIvvmo%hBD{VS=( zCCwKOGnAky$r#hCdT(RtjETBBYE1#V($G&xv?^wG8541Jf4_nQLoP9BOhGZ49wG$r zcp<3QIZ6&Bu^|a{(EkKOHP`PC4bt(_Fkh~yaA-O`%%cvJ2l zZi~{nhG4!1aF{O^`Zl3hl&vl*i^~l+;~VGl#&J8V_TO;cK27X6&t^Fryo=)vo+Lnd zn7Q{T%!&PlxpRi~f!wM|cYJfv?_7O#FUMETdU4A@u>MLLi9m(Fe ztI%szC}zo4g<_g)RVe1kR)u1sJZ=>pH-#Lr2s0YF#X+;hwVzCd|MH{sWAW17lFGU% z;`!dimm|2X&rRGHJ7iyWUfMhNatOD9qCtk|yRp`Uyy}R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+-45k>OnIN<eHx;$d8=%wD^wv<2w28 zw|A^JpMCcAuNukoAIs`)&T35yyAkksUQ^G_0tX7=HX)f7@ow`5K+avzEsLv)KRt6^t!`w*H3=1ooOSa)~NL zIPmBw7+zS|w06}5r^hVH$2mKHo8EP*XAl;)PI|zvY`y*tt%h2@x5S`2u-*Jhm=3_@K11?Z)Iq{YG9Q`HfnM_ja|2n=7xIvfJbDd~eZN zd=9^_#imc^b^54hd|dBPgUh+=KlVE9`MO*2o6|z9g?c|va=Z-P$5qhq@+>-k`ht3xtnX?{0H@_&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.hdb b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..38d742ff64a6fb7c9b5cf9d04e3997f18182cc90 GIT binary patch literal 12166 zcmZ{KV{{!rw{~p%q_K??H@0o3v28miwvEP4)5ZXYBUr#XeEX!qv^j$&rkmgN2QSolMNb#@?I^$N?l{<7Q{fEXY*8nc0!Clc~#*X9uNT*Yx3T1mEN8K5N`N}UrSIk#X@fFT-9>|r2}KE4te}pfJ_Q=MqjYT;?;$** z5ee&Q=#Oqjzj(+wml#4}NI@5qIbM7u1u>z6>(*UZdytCz6 z=odbF=y?{6m>at+1n6_v4y|ho`2j1a0GRjLEK(QKYpD@buuZ?@Y%Pv3bOXpiq%HnPwYB{i&Tr{jiFbl` z4n+G(g?7is4gK%gJ(eqpzYcma{c2Kw(P}wx&+BU`yiWwvo{pDONapA(AnX-&Lsq&hLPdeP~42uxf)>Ooiw{2R+bQIfTlE zf?f(dzs-x~vlXpKAkfrkwE1?2*8WbHv+7fZ7kl5CHHz0&$zm<#eQc+7V~tBM4C9c% zE7ua2IW_|oKxkiEGS;S-?uX$XM-4ih*Y>v~aI3@k{bL;}eKT=H&CwK%J~anX)hq{v z5iQGdr>Jk#vsabdSG|(q`%#AYAfOVOXQ?XnNx5HL2tVKLfc7C_lti2eYf<2!xXq@y_$eQuqFUJa;b6ee!RRF%j3xCJes#1!$$ z+8i-#>Dy4;s#AYUpaTk$>17Rv8a9@kqFuH~V-Nl8;W@9#B&wspVgY}TZ0fV@_$0fi zYMbZpY=2_-IGZCO@wLGh`USjYAz`d$v3|~csAKi8XjsMhqfsCA>}^X=z8rzif~q70 zP8=OnlE;lvjc{UrLQ1vqd1>B|Z*{bAW!T#v)Blm8_vBltA~1NX?P9{#T)_U>|AaVK ziDnFw^f`2UBwe3;7fzB~=xusJe{3+FNCa*Q&-ymI{<$~k>NWs$g3 zhzRa0A2mI{3-OB3%M+6R?kr^1F*2b$+F4K|B+oYVIFH^iaC)z**~fj#f_}1hb87}B zP=7E;sPYD|J}k!mz(uqAn-_0U^OxA0Q)1+NyX>4i2I{m?Ne|&l`dhP!rU4bN`EQBN zO=YeE^_I0uetbHA+?)Pc4xMdvOnoX|8de1DFdJrA#|FeLUF5DR73?mW&Rl2Vti@aZ zx^i~JDZbWAB(cjc^oMZI40Lt;@-{N+zo>c6%XT%x z0KQ+*CVh~4zjMEw+oc5s#NReFsJ=gBA8H49TCLo>YgxdLNom?y4BQEg?Q+=FFxECC zi+Z}*!gZ)rbPE~|c7%Nw^{OMhUj!FbXu*9a1f^FHe*YGKDJjAU`jxC0&+8gH3KVb<#Of%Ps@_(g!mK- z$(pILQ!;-{PU-_07gKDg%CbfhCXswloobBiC*)eP)?~7W-Ss%o6#;R67`)nmjpZY) zIlNAyW2FLJ7&`z`p5&zAXf~vq8Tl?CdaUU^Ta@U$5J14hr~IVg08QHhRnZL9gD;Wm z!N)j~UE9yB8*E<^EhyQrLCC(u@MJNSd41z&PP7fvv9fgVhho>30lo7ABMTe)q}J0l zu^oA1wn}gtb2w7zTJp9SYs|+$K@E#K0h48 zWIbmlO;1=%z-{4s2?%Xo%mi-42HJMf7sjPU=5*yEebM`>*TpVb3$xV+eY_d@J{iyV z(^B)%?~36o-1G6-F#E1b(|P8-4qUS4Zr$qdO|)`(l?jqTWr^+L5-lyhK8S}odr^;6 z*Fn($4>Fo!e#2-MRm04MTr)+**<)7)EgzICmPPsQVFQTuvI_D3wX&*~P4=UKb#Jj9 z2%kK?vmzn>HjQTgsc%s`&@(H5g#M0oTE%T-RUUtWas-$>G1l~-k<)@`o%{p24&~zg zztXDQI)+(2A0#pHpUKMtAzu(GHzr#e(@3xP$Si#cH;&!t)r0T5q_AAqgIGSHe2zhLLAL9)PDwm)KnI6`?00-vqffMox4R~xDcNbN~2YWLD ze!>1|{NM`d>T6ny+nq^HoxR|3Jp?J#E)xoYKvsFn+1N%W5xln2?q2C0ViXNF)HYtF z$*2jMG7m+R(oP5`q(4Mms4HYl>CDn{(;t zGK_@Y)#afjE|eOxCw%3&6y^3@q2r^&GSGB(Do9r-7GXe`GwlyvC7mcm`yAZ?jRQ(I z8zmCxSfYfUj!tb-UwDg5dll{?I(MVUU}2BgFgz7x1@vGh!ThmHnFhGIS$TTA=PGzT z^8`vSfk57z&*uN>plu9Dt{UV|f}?YnnWr>>Ey${YkEYvyECKVXZfX`=_WL^RqC446 zwN!YdE^r9&^aO5&3awmA-sHg@=-Ul9e^m2u`wVsi-z@Q51eRE7Z*Z&z)}99Nt6d*O zwgY&16UA^Mo)U)th$%!&iDsGw6Q`YjLo22s{{g+$w3ax>zJlmoK+S#s99lrK39Vs; zu;}z;Hcw+&FPrN^ZyR)T2oZnA`Pna+v=#No;K-<(p};O%&F1&3|lR z%BC=&5>WGa@*=|$oA|EY5ZGr(MJ8R=R%M$uIC4Yjj=5gW#^DqCYms8EANzUhpZ)9LZ<^(Crs@*a*> zJO9*;7~QeM@Q3zYs*x?iB*f!|VHPQDDClg2caswLxaEB^W>+9(Xa8`5_v_)anp8co z9?t7@ZNT^#8gZgLW|SYJJQhe%Zt1uU1e#vCP!xQ?ALa*C=6AAHGuznYg!hO5S*sKb&5UNnSF`ZwjYtT7V?HtxIQ3bx*+IEnc)=h+j{ z^m`n@N=$Gag(mrzJ49%-((Lo!=x8Db3jTCC1@(7WNfD^T(m_Kbu{?N`1zu>gUsGFk zX8emoKcaroV&~_ey+R~(M2&6c)85PCVo{fiY$@op1J5$RU9i=?lGR;H-{coqeqQcT z`)zf($#;8kdc-}ZE%m|h<2#z&4|;ZDc<%^rzk1#ZBf*~nR`&Ck);AdpYWZ^azlo7V z{}PivcmF5Rxw@`L_XF>1>_}Ccn4h$rOG$ulIoq6hBTe~-+h0>z5&9K|f(wnS1l$y| z5DsVac|>z|I<3^H(w9l|CW9;n_qH}pTz!814I2HNjdgx~J^pg5uNC7Cmbg%0wj|~; zY56O#>n>EOrrR8@8D^F94;b8>0HS|DF9#k}2q1#)uf}MF_C>s5l=?1DlF9^Ed1)6@ z(-MQMkkN%y#k#r?*QQP%*Ah3|^89P*5$FhwMGyGp#pGP6faY1gg>@lzO%|ng+=)wK z>yOg}dcr2&1>hXJg>|9F=&W)7-@k`Lpho}z!XO?9h7d5eLgU3T_ofax*yQ~zda+x5M!r6t`9rAg%1 zT6-yOVr!>>(xp(edb%{NqqKA$3umixCja=QVyZeQXPmfhs;+M8STv!Fqy1N= zHe9Si)$uIvEKB6k(T2s#le_dLw?cnhJ%3@OYFai)>5{L^z{L$M*`1pI&}Dv(Th+S2 zvB1X8ffYx8GJ&yj;iB!_Mxk^TdO4Md5)tBy%_`kZ~t_mwDBwL zm;9#nx}i7LjtQ;Et36_eE~etZQ;TmK<(W(BiBeRG_*)|x>T387#%rd}mQ^2#v$@i% z8*Y#iT;wJJZOJwH&9jedOBMVR2ClLX2Di;dJr=!x@1FA-?D_89es!+4jjI{o;u?1@ zatrZyt#ihHut?G18_VJw3u^ykjx3*;Y5q~Q7-V?atg{|lr|kD^uj`cEa2dEuARXY) zjw7Vk!1Azsw^n476YqPUV$e@Y{L@XdDu`+H!|*aUqN1k00sYC`kV$!ERl49IqmIhh zBff8OM*DbSU?sBGw;ou9`u)~7z^Ze7^@NAzi%Q17vksxgg2eI$`>KuP=5V2hX=tyq zI8C9TyYy%G%9UlIoQLuK(o@E}*06?2>6YzgmB*;11r^Q;#528vme__`BVGTl75(GX zqkjeOCp9OG`e@xxPs9usLfuc}XG;x6K{rb^+IYr2zRyegGqe+~Kf)4sTz~JD0{%V< ztTaJ$%#ttL2t9Gw&?x%0rcbBz&Hr9x_*l^S$I-R%a^-e-7ac%o6woE0f-^{(Z^ZDg z<9^>QoYSYk4SZd4Fy1FDS^=az-!i_`PY0IoyE2zgo}9!tyX%uOc=D~9l_wT9Oj;=$ z3mRu9T9(?|ysfXGF;-2kTrtW{r&GnwSoZo9{Li@D-RR3&OcZw~9?Q+YeJ6{ZS^g|# zWoKOYH@%MPjj*}QcqqU#dE;*s-)0@m&w<&2f3?=^kHkWJUo2YNFXftbJA^{fX)2yl zX5a%pc?!<~BESD_{yRNGPW-;z{qOKGeW_HRj`C5N)&j*xFgc06c8G@|#X}5`V#jeW zk(rMc^-gLe{Xyd)IO-LTdimV5D5Rq7$C_CuPCR|AbsC&d97gyU@bWyKA>QX(*z|Pv zJnsLtq)l@kc#`rm?0T)W+mho8R%(OJ>@U-q{fQu(8j)0(2VZNDf{yCT1O6`Uzv~=Hxm#gBE9l4Z+ z6;iE~JRx2G_x**2?f;M#=D~Lx^)x>n+NXUtjt%g9V2eW^~+AOsFJf$gWom!KOhy z5+p8+aOKEu{h+cHy96klrP%~7nFG&HUPe8eb@r4E2m*t_^_ZBlT}tW+z^64fT$D_3 zI2d)1;>`{tHi>e6xl$QTfPtJJzjX(I3mrgZlXaazg^~P{+=kdj6IST_4OIPS$QDIa z${bzYLKjG3h>{sA#-8FTA(e1g^n;LCDsnV7u5u_`zSw}+eoK==nVVa4W820h6%)|; zm2&HTF zr~@%zl!R}HyF~PcYm5yGGnpm2rpmqv5v>~ho~8kkfL zEY1uH@d868SbWI?><(%vilW%OE!5L0tp*u#9Yxf8{&Kw znwJzQD!4ESZDXVd(olR3iGrU@#B_5n(e#_vbW)ND-&N{GDYx4Y{g`6qsXK@U0f=Mi z9BQSs_ac(E$hSzKmj!+woT&?CEG^Wm9=w=h*c*3Q z_motOCzIuXgTXPErV_?-glD7w?lP8?WgOs|Iqef?o23CSKSL{d!ic>4+d5BAY6T>oMknVoxCkdJQQfKT$vmG3lszVtW1}iF<)EXiqP+$`P>u<-8F1h_Vw-ULM8d^&^M8b~@103?F0b!Gj zMP5Q~>nD*RctWAsKOvFmoc_Dce;}trDo^D3${pkX|Lf-*SkH#QjkC>(&WrGmXTc`7 ziNBhBEoDW!qB^I8Z*fyya~~rSsql9lXBJx23NIRm4*6MyB!!#YJRT!H*xi4ER@>9s zGf!yoKJP+WHoRCGX{wkFIuHI52;&Vy^O#^ae|sCP_m(}n;5Ct{|2`zJP?dJNcn-Oj zqv=;7VU-u5HcznGH~^as8_pTS(x0g!M~Q;gfdNg2*Kq+6j^q^|kJbe?piX@j7x{CN ze6$0WSQgU~-CVE$f3W}qfQJequSh-EXHaR@Vr|)I+GI*$S+2ty=HPUoc?HTh)<29t z^l5I5lEMEhC`fUl|6tacw4Ydj=YEF=#wX4Rt}P(Xz)7GYm<0rwWD`!qMlu#}UFWQG zxA){-U&arx)aKz5qx6xWIl;6+4Ym`pTz)CcDqxw>W}w8?m|iC?yQ)umSwWMDkhyvT z9zq8{O?lTS9P$GXgQET4r6gYKKu>;TtKw6!+R4oCiM_+GP7qHP`ym5+@AbX@k0u`~ zPR1+N4Ss1`QG}uG?{bj>(4d{k87R@YeEe`tI$Ri^u*iEz4wx4lP zQq>d~`NtMMPiLr-EcJ{MOVwC*7U0w1UDlH_m-6zx)8E>VgH;mOCV`c9?h7)#c15&1 zJN&a7!pnuE#Ak?ZHRcn5hHUA}`(+MLelr3x&^$9WG5Nxltn9d3%B-t9Qoh{C@7y|dRU1o;_FOA zLv)08BngMvr4=iZ4ZwutO_VKU5P`{S=U587DnlGK`mdxY3-F8um=bu14?I)_+)|MR z3Ja29lfw?UHb8~1c(>UFieFnVMruZDLyz?ufSpcISh;?}5v;8xET;J{yslXG|3o7Y z?JPA1&jAm00IpbMM8%Qvh5dr@S2}DVqwtIdp-+UqS^;id0Jn%Dydw9RzP@9VLdnA_ zw~qI=5N>tTe2acuC2P^%g`g)gj3@a`4*h+9-AI4!$k#fQHCl0{{@n>f^^tOqIx8LE zXbP<*WIhbW)Ol|#F90Dh(rka|@g?XJvR zCeM|;t%B`q#vE#29qsO<_`7{Eytk6Zopd&o^Z|MhbyjDNV5E}sEAM>%Sr?cnsS0&G z=^Fq@Aua{Ehy3;TVwhQ}xLN6Q!QS@NMfsN~_%XOVL1zV;9stG%hBrF~S3E=JqTb%T z9^)>go&$xUmR*FfNW_6y>?7LjZs}0ZiAnz#X1@3UA1x9~_KVBbJA#?Xa4R~>O3E6`EGg|u(n z))xf-QYKhhr+qi-z0kj6C;Y{LqoVQ9jmwm7_31b_XBqvZ?yzNT4G&n7n@V zXMGYWAm;k(tTDH!O9FC`5)tHA=hJNU9n%G$h`)Dwxp&X+_40QS8A0hz44i!bsFq~d z_k0y`=5Wv|(+DbRBdTrSsYH6w^f4F4kGA-akMTz<4vt%_r=ZeCl@`AJAcnVb$R{k^ zXl*pZZ#ViLX$Tg?k_HhLW^ZXF2%ogHq4mJ?ZfCd**qZI^`d%mk=;IUimAqj((@h+x zU)WrIV2yUT)T$x&tB{S8ZH! z)d$t0qhGUJdb0WkA^pao6{$xolp0KYiUU2>f;s>Q12|q2NlxITe-Jk{chK2jXyD-( z@X#u_b`15Q~Tpep6VMzGqWNyU@$B&S*?G4|CS-qby4S~52!78WA zq21|ST-h{_*y|*!87ZJuG74@e@>Z1wnImS3eF`#|$7}59`w0m+b8ry~Acfp1oqQ-* zri~bK^xCBqSULqfG)3XN_U|db^^Ho_?WhOrCU1o_tL0X;AJj&;}F{O&;GSU3dCcPVy>~ zb9IXew2rMjwrVA=YULPOqR-G))2Fl#olVOg8yhjLMP&>_@5tL6N$h!gbxd5zEQV20oyyt_S zC^2uwL}c)zz5q>xC|qCYFb2Orno%SRCr7`+18!xLXDZAo+QCUP6G^P#q|Z$WKG!e@ zc^^E+2kv_}u&^(K{9^%?ec^APo45i`k8$KH0>&@54_PyRw_SLkNnq{B&FZ75$m`z# z8Eo0kVs=~MT8kUOZEp>lI~_P+BJ@G#BO=+MV1csb?n7E?rc1OjKjtVWd@x32qW13o zp0*7t22?UR;8qLZT7&YOhtm0}mSVX_%90|RQ4*Mw7yRb2; zcDB*92`JC?D9?5xub%uQ zBZ0$+6#EjGP1pzn&DZ$R{vtH4`6$noD9;VRLndoVI`=XGqXIMpCubhBN2{IPQ5UAL zQBrVL6aEdL|Mq6+SWz(GU}SpQvW6++r|z-eCp`(-&%gKS+?g+VkI*~tNTcI*{5&+F z9=Gb*ONcp3&(Pje>%QEks-m|XyKWTw@13W&ZOLnlGpFlq!40B^GwTKgg*(!d!D-gaUAJ@2;D>0xi zoP%$k{e{t-?*8i`a514LLx?=iX1W@79C1I0i zog`nzPjSmTYQU`pz_kLUQ$EsPG1A{Ka+6ZLmtMRV79pS`YX@^D&U7lR7j@Ducy3`% zz%qyZPM`MiE3Y0$^))XUUivju2?;5BLV_8*LZT*){Cj}ZrZAYPk5xR7OgxZIJP-!K zYUHUyyw_dU%f>p*IA3>%zo>2Aq!69vwP)*Dt_v07Jhis(4ryP@b zAQZy2geS}7b&lRDmvP%+95rdWjUbIUNhbL}h`oxO$Vho_VmA}<>(MNtL;@*14Bd!B)V;3S;1(&`o)E^6^($pY*!Tk2GXSBf>aM!u!;17uK zfX>zB?PWDHhgO*cM z9km@M3dbdbDZkPKal@g~p58>cH(XnU@^=9;d!iGuetdJncF2_Z;|y109L(49lEP79 zihX&C{RxWw8VXbN`~BRtDdxI}<>RRe1V&$h9vt=kNqK&-`p zJ-lLrH!l%%NHpl5Wv=>H88^q-+0&JVbtIJtv$;>k7(9EZhc^!aVO#jrZ-^;lQW1w8 z$u!7gs4N_)X;RO!xYyj%)*UKlnuM*DqIn=|k?d?)%6@?ayiMTj=Gy$B!7n}g0}1hX z_4zRVMcxrmBq1#YA@3$lx&iyY{{3T2R3;_S(vXp8P$cSS2KOh!sxw8&-bA!>XLaxdq_kK6>E(}lShJqgOj^CrF;4jq+!>3!vww~MIPS_sX9ZX@8~t;JMacTF zENd9L$z&y3jrAQ+BVL>#iY(_-jzulc&?zw+r_SM?E>payW=!us>%5hnVM(2Ku+O+R zJv!2t+Nn-qN8TZ1>y*8mcqFSYHkx#-^+Gc@RCvR$xe_?6cYMT`&w$(U4ys)u@tstD zvaEBjzWI~5dDBIDb}r3;9)K##TvKjS=!Q8Upk=E6UuLvxXBobXj8_w~?^jgc& zMZ}gMcyB^G$o}-1_3KxN(F>bIv^pB&B@LnR|12LBLjkDMJFWjp528_&w4WH zkofr6$rs#GFQT>wwpdy9J|FkZ5G78Tz7d$^25tQ1`l&2S&%9mmR&V#uoNVIKa06>oi^ znd$Co1fWzsewhd2JhfnQb=&D`M&oP2NPen`V+S+Gqkwq(q>;_z0u z^!lF1+rLiXAqH+t!mCl6mi`;)p-|qI{@lpZLlbU)5=+Ki=|&4+09q7@_UBV}UV0O> zI=WZ?sr2f>?6s4RgK%JcWTXxX8iIhdPEMnSxHqrtjQ_rn1Izfq37a*DM}Uh_p}^#7 z3~lJ*9RGs|g>{xHWox0X45!jcP#@}z6q;2{OP1a{?!lku&w?bXRdZ8tpX=Kjns*cX zESLrH=V(AeOD&2XX-4S#?^-DoPHr4RmPX=xy+(sdUb(zf*%UwxzjE;ibxUTeq3{nf z+l{4FWIBm*M`HC5oRl?CU3}?1Q*ZFI{%}^#bhKRltmT< zYQ#wO{MOx%-vXcJ(&hKgwz?l0elgn*Iy+uDE+5ku)}8#3s&Q+ET1Gi&`4ISoX?u=u z0$Lsaq~s{wAd;S0Kaim2-aAC8f~IAFmc@yh5#{O$X=>^-@12lR?EY23#;4?qdov+@w;sb3O+D}>2h9kt2kqMn#eMR)<9ql0i7HnP{cT(duu&ZT&>KUqAomp#Qy93HF1YO zs*BoG8GVL|mKJB9#QnS*B1--eSY$?~I&4LF`S!g-OT@Kr%?8;bU>wnkAi^N1*;qcJ53%B2#Lz9z-3f0w#Obuv{0$TqE(O`Rtt;@fKF&^v>u`} zlhMbfRJE=mhXYC~fwV~CR9T_rZs*dO#RM=Y|C~{kBB7BC&6f(MpR&V!Pa&9^uGs5{ z^DZCFvI$Q9%eLE$33-(WSrZ}|lhQ^WMiDLtVjQ!h@3)}f-HgaNMAn|8gIuo#w~I8rG~?Wl-;fGNXfsK>r$(Q1P>i*N&91Z*T9z_} z6{(^kTnoe$F$CGJ>{zf3RHw8UDTJpU{JvEQJbbQsqCR(I*McIY5H(SYNU;LFtRkPm z5+(md!;No@QF>|95?o6lI1NH#RCd;$f|HkQV$#GaZK^&N@Kvc6TM=Q7hq*^FCGGc9 z^f+N*f}4-p^zaF(kAo5OXwe{6uHW#+jyf!kb&nCf5NF_V7_ADKowa6ZcV~d*1?zz? z{A|yfz#ORSK++Ns)SpB+qcjE>nSFZjy#mEik6Mu07+0}0L@4>i@HSV`#~L^2qiW5b zQ`3LBzzG2Pi&H#jgCf_Crmb_d&hXc)m94|;eFG*guZD>1*})XQG+URMe+ork+nh(Y zZ(Uc#3npmtbUe#-mVXgfLxN_v@N{=CuHm)zKmtgPt#VEDJL`{2ilWvf?^SI_ZbLCC z*cJH&%=)gN&7RNsFx~w4b4G$eJC>D?=Oo0^tT?*>EhxJc!Z9?@ad(do6w{JEYw(p} zGpw8Kh`s`wad-OwxvPGkGgl%q;NfoTy2xS<9`ttvsm~pHgtDa|*Nu(^4}lp|yfaa5 V{P%JnSUu|<1nkP3{qK_X{{Y@LdG!DQ literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.sig b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hdb b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..2ca8b6d18e7aacc29e4475da611814387e9e1d8c GIT binary patch literal 12784 zcmZvB1yCI@^CuK7?(R@rA5!EY1&X`7JCx$??(XjHE(MD7aChh7?he=Q``^vY+}vbl zcPEqG{F3Y@lU;NO2#9J#$bZ!FUlabv)l3~6Eq~jRv9U9=GP98hJ6qbAkO9~MWUO2) zYycJjD;qnRvZ;fMrLifQf}ycB85^0h6q&lI5!p`@OQ(ODW?KI-2LU0#@LvXjiuOPJ zitT^68|0by*DO<2?}O76vM}06#LO!T=uEtfM=KWGKMSh0m8A1#Ovqtc^EVmH#}`%= zm5{A9C}szt1Cp!+<_T}qJ!$C{7`Ov4cKtYt{wCCBfWmEz-ZC2s+Dw~`Tkpdh$IJH1 z9M8|kg~}6{&ExJ%n=N1dPsaAjhu%Z8bU^{@%9bw%Z>hIZuRVBb2xUl;LYn?p5uG^T93u z_^$2x4(DeFFWn2+xlq+ zy?yxzsF!u=%#RxjGd3sl8?5zfQ355=To&>f)CmNQ6u(wE=ERhK#aI^L+%cYB9SK*g zI^|cl{I*v4wTCMGGeNb|Lc*L08@argBC|r3ieZh82)`oMak~$l@hRMigg^GSSX=OoTo>io2uS5o8UIBK&>DiBB*X*y`T=7`AWLSAw;OjAz0ijD6nk{NKXA?&-(cNm z4STUCYnHT2WNuj9`QLPaI=?hcmYrNyraM-3ytyWsJL^g&CMzu~PGPNEGjAxbs(FxX z+Z{*ccvFPA+zB&fY|p-Za;zxj=Iht2FWwq1D_;Ka0o%)5kbC(MF+g&v&ykFc)=oc= zp|sRzIn`}Z8OgZQIrL9~@2{M$?V3&F4d+%&MNX|8?^n1x&-yaB_-aeaYY3}0E(nwZ zwJ)+fsEDP>Gh5o92sdj4TI%&r*wgk$qe()169k%D67?xLfzww){ZPoL9RH7CN%Hi| zR)pCVo-|xeK8L^2+e+DVEXafFuX*?pXrE--Rc<^HMLVE(?N@UOGHPP!Oebqi3kONb zR7vAljnAB!17WU;i=k|0_S&6I?SBB-rpoqYHwqq;P2S-XDA!K@vQf(IWmLBX6X+7U z|NjvDZ%pqbPbL?#ZYY1fMcjnzoQ&X2(29aUg%3W3$ve>?fM5AvrtZGU-LBD{?VP zv(a0oE#XRvhrLinjno(-PpOAY%$!Toxk9?K5pSeK_a?34d85VBu&3@q-!MMx5=yOn zvaeDD`-GEZ!e~!i2q|fS=1j%(Od?5+@yphgP8?0()3J~WEbAQPQ~49bAEXm#0#hM! zOII|eaI8R{#HwJ0WZ)u?`>~{!IYrz#SjKW)Yu_>C zpf`ogfh!X?9GnUfw*oQ_&cpx(uOsK27a1}>1x{QW8KEX}D!zw|ln!f=hFNlB*FRV! zuc zYw$_RHcs*%sNiGjKlcT6JZqsJdT$>k!_?sQK)~sjFs>NQ@7}M^JkGff-1hQt%*Z*{_v#`Ekw%i=g|i zf)Yt5J)@zTalR4=`QU5=*C$orD?HXWyL(ItoNv2jFsQ*pif0VwRH<;%`{4Gnx&RR!ku{{Y8rFh0R~wtadvhb>c*=QFIy}94?J$zt_z$fId7(%UM#YRQ z41xCGCS?LB&;3RHPotkZ@=rUQD#ebkTk_hyeAH|5BzWZ}^Q=ckG&Awm2^^UAp*V-p zaJ2OAp(&Dr`jf@0g1yIjk=2k6=xM)hM978ex6`$tSCpK;M36-rf+cZ-a4RxWOK%OR zuo{|Agp0^o)tdFv(m+UZPxN zO`EX_b9A`~9dV_>v>Ydl`8)Z2(Iaqr0GotoBRy{~TWvfw5I$>vTm+ip%oVKml%g9> z%~dIRoNthjaZ>~c-(&Suye5`Rp+_M&GtTsD)>bVYmhW5`=Atp;`Dw#I?a+SJpi|#O zWC2A}=zujp2hBssos7+$jjaJfSWm-t3r9>_T3nuC#e8YuZ|#fCoBA?r<_HGLj>sT4 z5nB?)rAKm;4QsKg-aQ?Q$5m8aPe*? z4`ezZia0)6wjg0rd}Hb*m!UIn}~?T~30vWRQl~_56W!aWHOhsoZSV*uleV%eo>!Lls+4~dU}P;rj3OR z5}@NeRiW%4raxa@0M&K#AZ2E*HRcugiC~S`YP*Vi{lvJ_Tn4R=s6`3 za3o9_`n@svIQ;sYUE9SbCoMO9Lkiq3#HJx*kQMCEg~N5U(1>R*yip{+6oob%SPo}= zBh@n^{~LuAIoIsgvR@uq(0-E9mB=o5e@aPpYD2GyxL@;>Cid2?-C2|VLtj!OcCoJn zGwSExD;-59b`a^eX%g$18C|V8PW92BLd40hKcD`lHdzr+;!Nl^}<6-G{Rf6MjAJ6st0>9jp-TsL1*^fyu zE0!CDAPNqg_uAd=v7#BkbtObRBJI20Qa~BlInI)jA}SAUi`m5!Udem1()|{!6}|gd zH+!>f_B+t3Po!5T#&)|r<@-@ZpKT)0oBiT(y02&09xvUH@?ww{^Ka@VJ)M24e}2uO zh8jE&9vS^~#)Bs9+8`ai8{C3M>x04%FGEx=CREf8G;({ zu!Bbm4T9=qp!JO^i_oxs71dR_ZLb&{#cbF?O&I|%L^QAe8tWuu#rF7r?Z`3KB&Qfs zqqMLQMaC6!4>TS=7ei_^I2(U*w^1pK_|uKhI;9MYy@CvdVBpC%O7Fn3yEZ`NI(;SX z_jqHla=E81`RSf}%*TARwBgd}59WffX| zUzTR?9kfe^u8K)*_tfy#gsmHFRa@DwDB%Ch`r*4R>+8B726O}ovu zg`CdEUG+{-qs5NDL%J^+u)AnFuoIjwC3O*3!)mW(Z->{k$?XQ5dRT+(A<~`O!z6%;l_x%uY&c%j0YS~ZVb}Jv{_ypcs38xAJMI; zOTRbR;#H?FIlrIYR3$?8kcl!*C7!6CXzqANd|)8iSDjq?*F|Ks5|C~U^ll{Rpv1$3 zyQ-x1_FhwYobhh5mqBCB^A2wCW_<&C>%<01!?d1WEe(PgtmQmLcTmQ@Q;6Tpo2Riz z6K*B`@s54L!3j(Rpk`!sDEYo|Pxkc|wO+G3nf+(T(!$UjN>4_G`w8)akJn3Q<$I3D zSd{vtGxZSDVPJ&@sg1EwBB2p7L!o_AL_MF^4w}sMn(B9|+tE-s=hQ!F0H2 zI1K*++Iu!6f$O;hHS6gkcmS)jLic{#+x&RVB_DqL{pj=c8SQ2+F7bel$T#o?W+a}@ zr&6JfSe$0{LMR4YE)Sj$K8*IX`-6YFx{)FG2#4=&PaUJYzzCKZ(3DVY41FYEP`DBz z&gl-z;}>O>!w$eE0fzr1C=0jBTM?bk!mjh}jkBxXiIJWnvp9pD@HV+S0MpSUdYv=Bv{2*iZ=q~JJ7zSvLOyAoaPrgf^Z#&8=zGNWAq8K!++qFOQr z5NE^{^2^L$f zrc(G}ZVfjMPpzDOc-D9~c@cPQcBSjJj4s}E&y(Om zw`HufPizWJI2#p~ad&&nN_||qm@f@6j(LJe?C}~BbED<}>-3Wd(unf)knzB644j(z z4bJg+EFO?NO^z7i(5U5aR^bSQ8e}lGFlR&H4!Rn=aaOrWfV?VFp#&vdFwU*m8B)?x z6;G$reoINrbxy61C8D}ISlBwhQ6tNWp~>6P-9HWmqx2vO23`b8ho1)5g-)`qIYoJZ*ECN7Zr|^EFA+ON_@KAYG4~&P01wGf}-Uy zt(=UE3Id_w^__{bwROFcjcrcWQP%46B=Osf=r?Rveoju;rj9mw9NzCOE%Gv|5p%x= zr99z=d^?OIPFn%LgnLp+Mv2xMmx~(xFDAm$H7)Ai+T~4^ zw6qLc%hNL(CTIiQyo0Q@UiLKAc3{OrmZ)koTi6;KdMTpp`CM|0l;<26tD!_n+}hFF zy3zed!2zY^h!&H{i(+hTQ>3Hvp~^6HiL10@abqKX;1+O0Dg7jiSgWO=N(1Hm_1Y2S4Ht4QsKnLge0}ceSK|IQ4QjYtz}s@m zm477LArW+HIMTx|)c%ULHyE4VCUE}{YK(E1y{KNh&Uhynv;llu$+?Z{kMk@##QPSl zjPqgXBioqmE$I>LG*4E+{+e)CUCKhoH8K~=ld{`UbPsxHcYk>(XZIJ+=hb8*DXny^ zYM7R;Pgs0^kh&$Rm0o9^EJCa+_Boet`m#tYNw|HfHcpl2r)^6nX zl{>>;clO20-IjN2>7tvXqp5rFBw>iXdWsaT71`3;64>~_e=7KS#Z4WRKw5_9wIG$G zO1S1;S4*#_;+Z0#pGpQx=@fZhnPHsneql{G3oc&i)_9$DBl+tMPnlfhxcL3)&(z%c zcvUx9icIUWx~XRnb9JrN8^u2*>BPe+!B+2o2}SP@kCrCj9GIBVyIY?mjQ_H*^iAM? zXpucIMrSbnH|ZAU@E-fb!{CrKfo{g1!3QR_O{3%4yY;2|P9m-mVC-^W+AW>}ucm!S z>v6bu^VBhi?t}c+x!kLlE^n5j9z=OslXl_Mv*yEuAdKP-HI z`#84KM!6hUYHHy+&qc?tNYS;JAh5S4~lN>u&P+4u?^H4qs$5dr7Cvbjp<{#l-SadYs!@2%78Tv~jwsm(40wxAyVT_{v`ZkUX2 z9$Q;_-~yuiTpZ6Xkp#)&P3H8qB=Pn)fbDzB}r{ZEXY~JtpO-hk(R&OyIZa4&0%{X3LKBw`eBkv>MV#*%eRqnku z)AHXMmIb!D9kW_qKL&o6^LQN$YYs{tK6>*7U!WX5Jv-U<5FvSI#owi0wsxoeUY7Tz z8B{6k@%dzxd((LKVf;ASsuLtJKRX289L`=28*!|%%yho$AMKI8ES=|iyng!(xxK}# zf65d4SoadQxoDWmU5X0G8b-~ox>Z^)i92i$^2`uR?(cpgDOAXLbyr;VI9fyN`JI1| z|L#lN82`TVj=RKw*fr3z`LQ39>v50xP8ypG)$4n|+PZ$n;`Ax`@cm`tKGoBQf9qZO z@~zVK-K%?U>2xbFyL zCR?v>?;;T(^!bM2#Fg6g>}SchPc>0f?eaa_@W(gH&8 zKn)yt+F$AqpRG)$MJy|4=n>uUWKpq1W!Tyc=~PmSsVHTr;NWj7O@92d?a=>oaobIe zN1&ylnT-wrx}h+VX7&9g86IQ|#4$oq>b#giZ~SRXCKyXfJt(ofldh*KIZs)lQDsOc zCOCArZLMJI^pYevFoH!Gl4sbA3Xgu`9bq~D4_ZQ6ppm)BEuRKtczUu4n9lZvQfMIU zLz|O=Ynct>1A$Qa@BG`k#3G}n&r4`Hyz-oQKGn#tZu9mTsBR;AvG}-@;ksJGX!Wq^mQg0?$o0~t z%OHv&j*_%>w7q&PXylst1nB&=#CdK7xl~Q zu@h&-cGKQj{1Uxhnkiqg2;Y~3Z_8@M%7&HjmbTG}*U|)2z7-iMq3nieY>&1KTQ8;12X;CYDFKZLBe=SWrcA80WM?c2;S;*LBI_>ZrMi9lroy+9`FC+rmp_cVM}R8(R|DX8D^{}5VV z03TaR4wUjq_)V-)Nd{~gmiuQ8Www8?Bv&rgFKby)(2})>I7$3~`sBt`o;K(sw_vq` zMn3tj|BGL)Up?20S*t$p-&7v7lK)^J6%1P4S2>j7#r?Pmz(DXfLJo3}M*fH!F5a2b z^6tPQv5p%1xO!2F(g_r=rliSzBy7xA%N58inEog<&v6L)DBA(L<~{8Rg@cRRq!TXf z#*-GwS=tuQfu3ipNppQ7tcfs|#MjMhM)?${ypj=`4`5wfG9OW~jW%TSkH*Dlq7h1ywFi37FKY!*k?Ero@HF2NnyzqK$>if7!Kjq@{l3BP;W8dVXMp_Rx*cP*S53 zVx+E}iRBu1V^noUVqwgvHn=VV{h|gN*hz_3egR zYt5}M2h8sN3jMZ#EX&FPT;^L} z*wQCCnm|p)oZ9~li`}Cw09fUmp5t5(EMVX9pu@ai`rF<&WwZ(dufL7t937SPJ?VzB zc*jBA3A<_9+W!DHyXHa>e_a>zG)GG#)yk-=hT7>jQ$$s5xN|%8T{~y~ROQzVxF$6G zcR#y8Dfc`euO0~=G0|}g1-TMYTs!h;oFP7dLPQL5huKwTuD5<(#$q_4)wi}fwSd+IDLch#=-+UVu4%n{`;fd|PHkQ|DRnbS z1&v$rsv+&LZszBJp~g|xd@uWIXv}5?PR+_FI<$EoiWO*jLysQm5FG>wk3YY0(6vq2 zw0}~kLfRvQlmpX9PY84c6NZo3ViPUfeX9fodFS_9GweCq!?W8(KVa*1)g1If&LonI zIXZ~okLk}5qK_{KnDIMK-lPnkR3$g3ANQoV?G1gt`$YvNF*H9yBnXUL!cg?zw-$q6 zo0gLpS|69jol3`@euSKT5$%TWmNIifCOc6YiTa_gpW9_V?C`IwG2HAY2+jJTzM-n| zcJZ^8wevEO{BbM}&SWGI09FTp$?VM6X8aO#|#FvJ#BDbRra z=uwKurI447#Qj0yQ4Og|ATI^QEse&_nU3HCz|H`ZW+WcWe{tx}^+(QZmO+FI!T}b{ zUBh{Mm@2+>IK|?eol4pl_@{9wB+C&ay3ruulWue}GK`pFS40SY?pj`y+LxZ9CV9|H zBjha|>DdwgW(fe^1As9B;6y(=!*iDVq~Wvows>-19kV-VvZq`O1o=@JA2@h<{5DBu zWK=3aes=_JD_utL>r!U!ag@dQ=$z1wOp4cwFD%5r>)~0`2{HdMYs3T<4cJ>PB77j@ z>7Tzpz{>N(CWuSZjsdW%_62UH{XsOGWpv~iZTm{_AtY4l^v+XDW8v0pF8WO&9TbU~ zo|YNc?-yr_f->djE(tp>&2A7g?&q!vJ3jVL8ujBLNMmGkk`Y_4$G5K?3G@7B_mqnx zSt~5q&&8AQG{^BJanEGr8UTjIcc38C3Bw?r&V`1UkQA89`7&Tdwu`#g0efQ%MJZBz zans>YP9vyvA*;!K+t5&IrJJDp%9{>K2Y??a58rYeOO*te|VJUJW zUwF~;oP2Ykp{ohEy*>5m=qanZU|DH%TI6z>M|nleZg}x;JcP=wkAg+^FTkfmjiSzr z7NW}57UoS(En&0}#Xd@)+Rsq9aE-PhsA$D=wUaNk&}?7vF18X~}}t7wSH&XuuDdsAPGw+ ztlx;@S&TDJ#H^KN*D*EGrcqil)CR$Jwl%vq$K{j%h`Wuy%CP?{GXb_o}$8@ zs>0e(;CszGO-HH$U^$^#?cvU)Ty=Z><78|}w3Y7jrD2Tgjap`j!7-?#>7Zz#Unh2iX8 z{n@!T65_5jp4#T;I2qUqbH=w}(if)|0`^0BVnXbQqS!eoJ*Qc=>42CL`gp*<-y!V>M+RUV6=$q5v1Z)S4-9)RsAg`mh82 zAA#Hj*5_xtJY6~&AHJsA;-m;$*f=Qa(BVzU_hYw&D zVNM~(^t;-{RyWp#+5lySQM2!s0Cr2}?DURar3fY?f}$Tg2-1xaX&hZNQJEF!*gJOQ|SE4kl;(tYnV$oMG@ZJ@eYsHwt#cqcsK zxev`*)R7`E z#Lvd1tY4gBYRRNy1wLQG97!~Rz7=fs3=S;N8`(i$$=f}fco#%0qaUU?i(XPD5}a7b zVrE*v>#2VS5*!7|#_jw7yw-9ceU~Nc|T|7es87{Lwb41L{1-W0DliBGn3t*J07x8TkS9te|h~&lra%w zaL+diTWs_#SYi0d%aORt(YQ5}k#hhT1^-6gujuuh+o_Z?1{a+sEbLqeKBr5{HmbN6aB5-K@-E_MOuea>s%?1Tar-(-rhbf?kZM=TiasYQ}fL5X<2{S5hlT zjbs!q;bE2j+n$XdfNnp~B~mOZ3f1LYkJ|0OWcN#I!9WiN`jWNi4PxljKMEVq0_6|V zbFQ@<+^yEQdB!r$3u38AN$7ceVBek%-4PTWnEE-u;^#MH$+F=~Cvt(kzfMDOfs2kB z76$iopjLE1gkpDzV%HG;IxOrdI_#-B%nb|P(1{c?9mq_PgN80Y8u2D)a?=#%CMF7G zpvZv|zFOgNqfZmyoa#^7rDEZ#r2~tH6x4>@ZS9G;#!PuXGxZtG5h9%bw)i!9_}a-l zj;r-Swxwbr^``~A^cSVwS5O=&C@vSGZ`koE!J^yghpVMUQjc+C3ox%nvi=;N<#}x5St)n*xWu>JfXb*v z_1RT(Ti$eJ0{|w$zhM_@do_4{TaPJ!CU(S@o|3pGPhYAv9q9*v(S_Q|Z7te@kOQGk zN4wDZt44pDnDr!+$6859FFY?{+zHn8-7=fly14jwtqrWdjlYdEes8c3jgrb~#s>R- znJ~3Cv9oE@&c?cumiWlkX_8Cwg~R&%0#?vhZ;i;X_hRrN>ap)Vw-%UtI;0VTcCK79 zIZe8kBnw)2xHKIRgeYJpUR_<&La07Rm#sofln;OLB7nD@A!Mc#SqOVbzJ6r~iTS`% z4F{Oml)(uK#|Q5=+Hx{h*JA#2=JJDK&!Nzc5b?44-0}uDA4sf#${u)lI2sRA^XsRC z@jX}}B4b;&c16*3DvEAKif#&uZhDGtatfC|<_lt5TT7-UE@!OO$Y0sk5!J-R)x;Bn zB{3yN=AK~L(H=ou+stO21dTtr%JGFMY;;~?jE2Zw9gQd|pI1?swtE70*1#QLz3b(d z&pP0khAwbZHXYzvkOq*~EEy*RDj&#Vjin(Vz9A!})R(bb6`78TYnn0-mAvCsjz1EX zWRn=D1NCFytcW~wHah*de$%0@TBGzY&Fi-J&L>EF$@C1&4W&1L5-o~9s5**VZW>>U zI-)0(YQ*&gX1P10dKdwXMtJ>#qCm63PCJ8mKEz@Bv9eO>3#F!sAD6}3bKB_IBCq|V z9$m5H`^D2xx8K9iy)W!Wrw>uh^)pERL`s}~_iiEiL&|xs(}mhxGC4( z$jXjOhZ-ZLDT@FtDHZUAT!Mo-KsX2wnOZ9&FI-U(<6ByukT6mk(86u|M<60S+jVc9 z=;viFk0T$`GzK+~`9;=k_T}3v&k|*~T-lR`LOW(}GLzlSha^O2nuez_c zz|>@C28l_O4yi;XW>KUk<$}#zJ=4aGC$6h)K>J0@c*P;U=GYmgxokk=LrPXf%2$=| znQ+G2t=uo!HZKyS@H>?9OJ4mg9!niMQnv!gft{|C*`47F%mS@AuPAVVkETd68*inqTIIJ+@swGuquNrU>@Wj-_lz zIdvLbSU<<>%b;NBOe9CR$zy|>62g%t1CH|Na9m`TsuBX{kViM+Q+Ys0;{bG@e*1?H zT-Sh`l}#$NK=li~*-G5ID9<}DZzqXAwU|Qxmo@*T0Mm{T#e=M&gmIk(cLbc&alORz zmwcG~U+TtFhiK)?fNngjgq5)BXhk0!yJkG6BQY9*;(b~K{mp4vv(6> zfJ_Ojp`xmXM-FawsZRj6-20km#iSv6(9TcUkiw*T8nd^X4NaM3QDsA2#S>8;_wA!9 zuEZhZU{BkkdBjkN^v|1G2>4gyK#7m6cn8(iZ+0q$Xxhk89!7&LuJuN4O~PX38-zW1>Ne@j&ItWBs}zuXT{DWe3|L7SK>&#UJTaUm`~D+By!a5g(=y5* zn*gukq-@~*R;wHZqiLHvQ`k6d{-k|0FQBvvlCK0g8EBadL<3)oF@h6 z^3eQ5(uGSPiut53FDyw2`$gO=W3MnMQA$sm|2c%NEtqXbi49*t7aw})lVtvS%eHtDl>)8P5-ea zzeFo)+4{7`2DhCt85aK3zgL>bus%Z$#D6|k9$4t^2dJ#3*-uxW6-;Ux43m|I>RJzh zz9j_CiYKtfSVR~_5fk&N8G>c7O)%@khdU$?ltXOL^i< zX*p{kce2H2+DCI&@4uh1NF_Gv$pnEUz1(SeZ~9cdKpom)$$v{FroCkv!1yHs4+Soe zl(U=p1?Xy^hVJTO`Bo^(_bJ2u7gw7seF-0dH)Ty0j&VT<11!y<)Dj=<6_%;Bcsei$$s zTB~2!=p(zBFgBKEfb9KCW~0ybTt~iv#q}QJdLkVatuFKs+4F@d1)h&N9_Z6(epuC}NTc962@Uq@g=O>;PeV?-#Q%7cy zl9S=<(r#HJcX&+EUHv|`c`KmYro+?$UaumbpjKe-VthB1gLA@Ed4?s)2~^Oj$~(AG z+#y*HPCCqztIG3?t-W=|o-?8+d{~%r?Frn4B850V9eckZq$SC`UtbrouZL*L#2fY2 WQ5tIg7|aoVfik^g%>SPk>wf_O^ezto literal 0 HcmV?d00001 diff --git a/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.kpt b/YL_adder/incremental_db/compiled_partitions/YL_adder.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..896003ad6f5cd46eed87df73d306c10efe903f50 GIT binary patch literal 398 zcmV;90dfAU4*>uG0001ZoYj_1Z-PJ&hVTB0$==Jlpy&ZOnDk)NLmQJeJ&{Gmc0+~j zvKmeN@#RA)R@y*65*w^ketF+<@s6?7`JUXm}_?z$~M0Fqo{wYY3)gLf@v$q-LL4FhjK#bA&rS zkAT7K1|Bhh>rm*dl6XA;C&H~S6A|mwPf>&3%B(|$3se}-k-&>Ul|`gWXi-ov0TE{X zlB(!gq#D&eElbSD^+cR%Mw#u#drb~|P#Ye(&vU6A8e$j;VQ`t#h$(wPPuj?=1> zBiZ;y5Al75<{Cl7A#PmKuLXKlpnT@}$Y=k#e4qFBU(UQR|AF#)?=dI9kgjaV&j0`b literal 0 HcmV?d00001 diff --git a/YL_adder/operator.bsf b/YL_adder/operator.bsf new file mode 100644 index 0000000..09a15ba --- /dev/null +++ b/YL_adder/operator.bsf @@ -0,0 +1,92 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 152 160) + (text "operator" (rect 5 0 38 12)(font "Arial" )) + (text "inst" (rect 8 128 20 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "b1" (rect 0 0 8 12)(font "Arial" )) + (text "b1" (rect 21 27 29 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "b2" (rect 0 0 9 12)(font "Arial" )) + (text "b2" (rect 21 43 30 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "b3" (rect 0 0 9 12)(font "Arial" )) + (text "b3" (rect 21 59 30 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "b4" (rect 0 0 10 12)(font "Arial" )) + (text "b4" (rect 21 75 31 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 0 96) + (input) + (text "isAdd" (rect 0 0 23 12)(font "Arial" )) + (text "isAdd" (rect 21 91 44 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 1)) + ) + (port + (pt 136 32) + (output) + (text "o1" (rect 0 0 8 12)(font "Arial" )) + (text "o1" (rect 107 27 115 39)(font "Arial" )) + (line (pt 136 32)(pt 120 32)(line_width 1)) + ) + (port + (pt 136 48) + (output) + (text "o2" (rect 0 0 9 12)(font "Arial" )) + (text "o2" (rect 106 43 115 55)(font "Arial" )) + (line (pt 136 48)(pt 120 48)(line_width 1)) + ) + (port + (pt 136 64) + (output) + (text "o3" (rect 0 0 9 12)(font "Arial" )) + (text "o3" (rect 106 59 115 71)(font "Arial" )) + (line (pt 136 64)(pt 120 64)(line_width 1)) + ) + (port + (pt 136 80) + (output) + (text "o4" (rect 0 0 10 12)(font "Arial" )) + (text "o4" (rect 105 75 115 87)(font "Arial" )) + (line (pt 136 80)(pt 120 80)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 120 128)(line_width 1)) + ) +) diff --git a/YL_adder/operator.tdf b/YL_adder/operator.tdf new file mode 100644 index 0000000..d31f7d6 --- /dev/null +++ b/YL_adder/operator.tdf @@ -0,0 +1,21 @@ +SUBDESIGN operator +( + b1, b2, b3, b4 : input; + isAdd : input; + o1, o2, o3, o4 : output; +) +BEGIN + + if isAdd THEN + o1 = b1; + o2 = b2; + o3 = b3; + o4 = b4; + ELSE + o1 = !b1; + o2 = !b2; + o3 = !b3; + o4 = !b4; + END IF; + +END; \ No newline at end of file diff --git a/YL_adder/operator.tdf.bak b/YL_adder/operator.tdf.bak new file mode 100644 index 0000000..e93e366 --- /dev/null +++ b/YL_adder/operator.tdf.bak @@ -0,0 +1,5 @@ +SUBDESIGN operator +( + a, b, c, d : input; + oa, ob, oc, +) \ No newline at end of file diff --git a/YL_adder/output_files/YL_adder.asm.rpt b/YL_adder/output_files/YL_adder.asm.rpt new file mode 100644 index 0000000..3f0c0ca --- /dev/null +++ b/YL_adder/output_files/YL_adder.asm.rpt @@ -0,0 +1,130 @@ +Assembler report for YL_adder +Mon May 04 17:05:42 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.sof + 6. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon May 04 17:05:42 2020 ; +; Revision Name ; YL_adder ; +; Top-level Entity Name ; YL_adder ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.sof ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.pof ; ++------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.sof ; ++----------------+---------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------------+ +; Device ; EP2C20F484C7 ; +; JTAG usercode ; 0x001B4ADE ; +; Checksum ; 0x001B4ADE ; ++----------------+---------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.pof ; ++--------------------+-----------------------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+-----------------------------------------------------------------------------------+ +; Device ; EPCS16 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x1DD8E9F4 ; +; Compression Ratio ; 3 ; ++--------------------+-----------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 17:05:40 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4552 megabytes + Info: Processing ended: Mon May 04 17:05:42 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_adder/output_files/YL_adder.done b/YL_adder/output_files/YL_adder.done new file mode 100644 index 0000000..4f027f8 --- /dev/null +++ b/YL_adder/output_files/YL_adder.done @@ -0,0 +1 @@ +Mon May 04 17:05:47 2020 diff --git a/YL_adder/output_files/YL_adder.eda.rpt b/YL_adder/output_files/YL_adder.eda.rpt new file mode 100644 index 0000000..80c0a47 --- /dev/null +++ b/YL_adder/output_files/YL_adder.eda.rpt @@ -0,0 +1,96 @@ +EDA Netlist Writer report for YL_adder +Mon May 04 17:05:47 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Mon May 04 17:05:47 2020 ; +; Revision Name ; YL_adder ; +; Top-level Entity Name ; YL_adder ; +; Family ; Cyclone II ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; Off ; +; Time scale ; 1 ps ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/YL_adder.vo ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/YL_adder_fast.vo ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/YL_adder_v.sdo ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/YL_adder_v_fast.sdo ; ++--------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit EDA Netlist Writer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 17:05:46 2020 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder +Info (204026): Generated files "YL_adder.vo", "YL_adder_fast.vo", "YL_adder_v.sdo" and "YL_adder_v_fast.sdo" in directory "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/simulation/modelsim/" for EDA simulation tool +Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4529 megabytes + Info: Processing ended: Mon May 04 17:05:47 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/YL_adder/output_files/YL_adder.fit.rpt b/YL_adder/output_files/YL_adder.fit.rpt new file mode 100644 index 0000000..02462fc --- /dev/null +++ b/YL_adder/output_files/YL_adder.fit.rpt @@ -0,0 +1,1325 @@ +Fitter report for YL_adder +Mon May 04 17:05:39 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. I/O Bank Usage + 14. All Package Pins + 15. Output Pin Default Load For Reported TCO + 16. Fitter Resource Utilization by Entity + 17. Delay Chain Summary + 18. Pad To Core Delay Chain Fanout + 19. Control Signals + 20. Global & Other Fast Signals + 21. Non-Global High Fan-Out Signals + 22. Other Routing Usage Summary + 23. LAB Logic Elements + 24. LAB-wide Signals + 25. LAB Signals Sourced + 26. LAB Signals Sourced Out + 27. LAB Distinct Inputs + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Mon May 04 17:05:38 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_adder ; +; Top-level Entity Name ; YL_adder ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 22 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 22 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; Total registers ; 4 ; +; Total pins ; 31 / 315 ( 10 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C20F484C7 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 63 ( 0.00 % ) ; +; -- Achieved ; 0 / 63 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 60 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 22 / 18,752 ( < 1 % ) ; +; -- Combinational with no register ; 18 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 4 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 12 ; +; -- 3 input functions ; 7 ; +; -- <=2 input functions ; 3 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 22 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 4 / 19,649 ( < 1 % ) ; +; -- Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; -- I/O registers ; 0 / 897 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 1,172 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 31 / 315 ( 10 % ) ; +; -- Clock pins ; 2 / 8 ( 25 % ) ; +; ; ; +; Global signals ; 2 ; +; M4Ks ; 0 / 52 ( 0 % ) ; +; Total block memory bits ; 0 / 239,616 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out ; 12 ; +; Highest non-global fan-out ; 12 ; +; Total fan-out ; 103 ; +; Average fan-out ; 1.66 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 22 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 18 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 4 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 12 ; 0 ; +; -- 3 input functions ; 7 ; 0 ; +; -- <=2 input functions ; 3 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 22 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 4 ; 0 ; +; -- Dedicated logic registers ; 4 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 1172 ( < 1 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 31 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; Clock control block ; 2 / 20 ( 10 % ) ; 0 / 20 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 103 ; 0 ; +; -- Registered Connections ; 40 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 11 ; 0 ; +; -- Output Ports ; 20 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; INPUT_A1 ; H14 ; 4 ; 42 ; 27 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_A2 ; L1 ; 2 ; 0 ; 13 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_A3 ; D16 ; 4 ; 42 ; 27 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_A4 ; D20 ; 5 ; 50 ; 25 ; 2 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_B1 ; G16 ; 4 ; 44 ; 27 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_B2 ; E15 ; 4 ; 42 ; 27 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_B3 ; D14 ; 4 ; 35 ; 27 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; INPUT_B4 ; L2 ; 2 ; 0 ; 13 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; clk ; M1 ; 1 ; 0 ; 13 ; 2 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; isAdd ; B18 ; 4 ; 46 ; 27 ; 2 ; 7 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset ; M2 ; 1 ; 0 ; 13 ; 3 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; OUTPUT_A ; A17 ; 4 ; 37 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_A2 ; P18 ; 6 ; 50 ; 9 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_B ; H13 ; 4 ; 37 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_B2 ; A19 ; 4 ; 46 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_C ; B17 ; 4 ; 37 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_C2 ; Y13 ; 7 ; 31 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_D ; F13 ; 4 ; 35 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_D2 ; AA17 ; 7 ; 37 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_E ; D15 ; 4 ; 39 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_E2 ; V9 ; 8 ; 9 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_F ; E14 ; 4 ; 35 ; 27 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_F2 ; R10 ; 8 ; 13 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_G ; F14 ; 4 ; 35 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_G2 ; F15 ; 4 ; 39 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; i[0] ; H15 ; 4 ; 44 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; i[1] ; C16 ; 4 ; 44 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; i[2] ; G15 ; 4 ; 39 ; 27 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; i[3] ; C14 ; 4 ; 39 ; 27 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; overflow ; A18 ; 4 ; 46 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; pin_name1 ; J14 ; 4 ; 42 ; 27 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; ++-----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 2 / 41 ( 5 % ) ; 3.3V ; -- ; +; 2 ; 4 / 33 ( 12 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 21 / 40 ( 53 % ) ; 3.3V ; -- ; +; 5 ; 1 / 39 ( 3 % ) ; 3.3V ; -- ; +; 6 ; 2 / 36 ( 6 % ) ; 3.3V ; -- ; +; 7 ; 2 / 40 ( 5 % ) ; 3.3V ; -- ; +; 8 ; 2 / 43 ( 5 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 325 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A4 ; 324 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A5 ; 322 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A6 ; 320 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A7 ; 306 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A13 ; 281 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A17 ; 265 ; 4 ; OUTPUT_A ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A18 ; 251 ; 4 ; overflow ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A19 ; 249 ; 4 ; OUTPUT_B2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; A20 ; 247 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A21 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 82 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA4 ; 85 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA5 ; 89 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA6 ; 97 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA7 ; 103 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA8 ; 111 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA9 ; 114 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA10 ; 120 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA11 ; 122 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA12 ; 128 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA13 ; 130 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA14 ; 136 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA15 ; 138 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA16 ; 140 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA17 ; 144 ; 7 ; OUTPUT_D2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; AA18 ; 153 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA19 ; 162 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA20 ; 164 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA22 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 83 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB4 ; 84 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB5 ; 88 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB6 ; 96 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB7 ; 102 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB8 ; 110 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB9 ; 113 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB10 ; 119 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB11 ; 121 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB12 ; 127 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB13 ; 129 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB14 ; 135 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB15 ; 137 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB16 ; 139 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB17 ; 143 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB18 ; 152 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB19 ; 161 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB20 ; 163 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 326 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B4 ; 323 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B5 ; 321 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B14 ; 278 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; OUTPUT_C ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B18 ; 250 ; 4 ; isAdd ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B22 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C3 ; 1 ; 2 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C4 ; 0 ; 2 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C14 ; 260 ; 4 ; i[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; C15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C16 ; 254 ; 4 ; i[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; C17 ; 245 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C18 ; 244 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C19 ; 238 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C20 ; 239 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C21 ; 236 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C22 ; 237 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D1 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D2 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D5 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D6 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D7 ; 311 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D14 ; 267 ; 4 ; INPUT_B3 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; D15 ; 259 ; 4 ; OUTPUT_E ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; D16 ; 255 ; 4 ; INPUT_A3 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; D17 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D19 ; 240 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D20 ; 241 ; 5 ; INPUT_A4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D21 ; 229 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D22 ; 230 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E1 ; 20 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E2 ; 21 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E5 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E6 ; ; ; VCCA_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E7 ; 316 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E14 ; 266 ; 4 ; OUTPUT_F ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; E15 ; 256 ; 4 ; INPUT_B2 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E18 ; 243 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E19 ; 242 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E20 ; 234 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E21 ; 227 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E22 ; 228 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F1 ; 22 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F2 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F3 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F4 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; F5 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F12 ; 276 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F13 ; 269 ; 4 ; OUTPUT_D ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; F14 ; 268 ; 4 ; OUTPUT_G ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; F15 ; 262 ; 4 ; OUTPUT_G2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F18 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; F19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F20 ; 235 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F21 ; 223 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F22 ; 224 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G1 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G2 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G3 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G5 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G7 ; 317 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G8 ; 313 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G11 ; 291 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G12 ; 277 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G14 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G15 ; 261 ; 4 ; i[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; G16 ; 252 ; 4 ; INPUT_B1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; G17 ; 231 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G18 ; 232 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G19 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G20 ; 233 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; G21 ; 221 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G22 ; 222 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H1 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H2 ; 25 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H3 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; H4 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H5 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H6 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H12 ; 274 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H13 ; 263 ; 4 ; OUTPUT_B ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; H14 ; 257 ; 4 ; INPUT_A1 ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; H15 ; 253 ; 4 ; i[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J1 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J2 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J3 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J4 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J7 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J9 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; 258 ; 4 ; pin_name1 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; K2 ; 32 ; 2 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 36 ; 2 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; K5 ; 31 ; 2 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; K6 ; 33 ; 2 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; K7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K20 ; 215 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; K21 ; 209 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K22 ; 210 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L1 ; 38 ; 2 ; INPUT_A2 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; L2 ; 39 ; 2 ; INPUT_B4 ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; L3 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L4 ; 40 ; 2 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; L5 ; 34 ; 2 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L6 ; 35 ; 2 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; L7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L8 ; 26 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L21 ; 205 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L22 ; 206 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M1 ; 41 ; 1 ; clk ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M2 ; 42 ; 1 ; reset ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M3 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M5 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M6 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M17 ; 198 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 202 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M19 ; 201 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M20 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M21 ; 203 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 204 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; N1 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N2 ; 46 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N3 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N4 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N6 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; 194 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N17 ; 197 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; N18 ; 196 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; 195 ; 6 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; N21 ; 199 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N22 ; 200 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P1 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P2 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P3 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; P4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 55 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P6 ; 56 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P8 ; 95 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P9 ; 94 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P15 ; 193 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P16 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P17 ; 186 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P18 ; 187 ; 6 ; OUTPUT_A2 ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; P19 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P20 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R1 ; 57 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R2 ; 58 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R5 ; 63 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R6 ; 64 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R7 ; 54 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R8 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R9 ; 109 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R10 ; 108 ; 8 ; OUTPUT_F2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; R11 ; 116 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R12 ; 134 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R13 ; 145 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R14 ; 150 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R15 ; 151 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R16 ; 155 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R17 ; 177 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R18 ; 184 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R19 ; 185 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R20 ; 192 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; R21 ; 190 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R22 ; 191 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T1 ; 59 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T2 ; 60 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T3 ; 69 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T5 ; 67 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T6 ; 68 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T7 ; 91 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T8 ; 90 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; 115 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T12 ; 131 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T15 ; 147 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T16 ; 156 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T17 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; T18 ; 171 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T19 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 188 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T22 ; 189 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U1 ; 61 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U2 ; 62 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U3 ; 70 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U4 ; 80 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U8 ; 92 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U9 ; 106 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U10 ; 107 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U11 ; 123 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U12 ; 124 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U13 ; 132 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U14 ; 146 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U15 ; 157 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U16 ; ; ; VCCA_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U18 ; 170 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U19 ; 172 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U20 ; 176 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U21 ; 182 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U22 ; 183 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V1 ; 65 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V2 ; 66 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V4 ; 81 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V8 ; 98 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V9 ; 101 ; 8 ; OUTPUT_E2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; V10 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V11 ; 118 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V12 ; 126 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; V13 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V14 ; 142 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V15 ; 158 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V16 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V18 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; 166 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V20 ; 173 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V21 ; 180 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V22 ; 181 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W1 ; 71 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W2 ; 72 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W3 ; 75 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W4 ; 76 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W5 ; 79 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W6 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W7 ; 99 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W8 ; 100 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W9 ; 105 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W11 ; 117 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W12 ; 125 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; 141 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W15 ; 149 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W16 ; 160 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W17 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; 167 ; 6 ; ~LVDS91p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; W21 ; 174 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W22 ; 175 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y1 ; 73 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y2 ; 74 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y3 ; 77 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y4 ; 78 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y5 ; 86 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y6 ; 87 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y7 ; 93 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y9 ; 104 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y10 ; 112 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y13 ; 133 ; 7 ; OUTPUT_C2 ; output ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; Y14 ; 148 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 154 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y17 ; 159 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y18 ; 165 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y19 ; 168 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y20 ; 169 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y21 ; 178 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y22 ; 179 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------+--------------+ +; |YL_adder ; 22 (0) ; 4 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 ; 0 ; 18 (0) ; 0 (0) ; 4 (0) ; |YL_adder ; work ; +; |74171:inst1| ; 4 (4) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 4 (4) ; |YL_adder|74171:inst1 ; work ; +; |7483:inst| ; 9 (9) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 4 (4) ; |YL_adder|7483:inst ; work ; +; |encoder:inst20| ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 0 (0) ; 0 (0) ; |YL_adder|encoder:inst20 ; work ; +; |operator:inst21| ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 0 (0) ; |YL_adder|operator:inst21 ; work ; +; |overflow:inst23| ; 1 (1) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 0 (0) ; |YL_adder|overflow:inst23 ; work ; +; |segment:inst17| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; |YL_adder|segment:inst17 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++-----------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++-----------+----------+---------------+---------------+-----------------------+-----+ +; OUTPUT_A ; Output ; -- ; -- ; -- ; -- ; +; i[3] ; Output ; -- ; -- ; -- ; -- ; +; i[2] ; Output ; -- ; -- ; -- ; -- ; +; i[1] ; Output ; -- ; -- ; -- ; -- ; +; i[0] ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_B ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_C ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_D ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_E ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_F ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_G ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_A2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_B2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_C2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_D2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_E2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_F2 ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_G2 ; Output ; -- ; -- ; -- ; -- ; +; overflow ; Output ; -- ; -- ; -- ; -- ; +; pin_name1 ; Output ; -- ; -- ; -- ; -- ; +; INPUT_A4 ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; +; INPUT_B3 ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; isAdd ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_A3 ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_B2 ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_B1 ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_A1 ; Input ; (6) 2514 ps ; (6) 2514 ps ; -- ; -- ; +; INPUT_A2 ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; INPUT_B4 ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; clk ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; reset ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; ++-----------+----------+---------------+---------------+-----------------------+-----+ + + ++-----------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------+-------------------+---------+ +; INPUT_A4 ; ; ; +; - overflow:inst23|v~1 ; 0 ; 6 ; +; - 7483:inst|45 ; 0 ; 6 ; +; INPUT_B3 ; ; ; +; - 7483:inst|21~0 ; 0 ; 6 ; +; - 7483:inst|25 ; 0 ; 6 ; +; - 7483:inst|44~0 ; 0 ; 6 ; +; isAdd ; ; ; +; - 7483:inst|21~0 ; 1 ; 6 ; +; - operator:inst21|o2~2 ; 1 ; 6 ; +; - 7483:inst|18~0 ; 1 ; 6 ; +; - 7483:inst|25 ; 1 ; 6 ; +; - operator:inst21|o4~2 ; 1 ; 6 ; +; - 7483:inst|44~0 ; 1 ; 6 ; +; - 7483:inst|43 ; 1 ; 6 ; +; INPUT_A3 ; ; ; +; - 7483:inst|21~0 ; 0 ; 6 ; +; - 7483:inst|25 ; 0 ; 6 ; +; - 7483:inst|44~0 ; 0 ; 6 ; +; INPUT_B2 ; ; ; +; - operator:inst21|o2~2 ; 0 ; 6 ; +; - 7483:inst|43 ; 0 ; 6 ; +; INPUT_B1 ; ; ; +; - 7483:inst|18~0 ; 1 ; 6 ; +; - 7483:inst|42 ; 1 ; 6 ; +; INPUT_A1 ; ; ; +; - 7483:inst|18~0 ; 0 ; 6 ; +; - 7483:inst|42 ; 0 ; 6 ; +; INPUT_A2 ; ; ; +; INPUT_B4 ; ; ; +; clk ; ; ; +; reset ; ; ; ++-----------------------------+-------------------+---------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 4 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 4 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 4 ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 4 ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+----------------------+------------------+---------------------------+ + + ++----------------------------------+ +; Non-Global High Fan-Out Signals ; ++------------------------+---------+ +; Name ; Fan-Out ; ++------------------------+---------+ +; 74171:inst1|1 ; 12 ; +; 74171:inst1|8 ; 10 ; +; 74171:inst1|16 ; 9 ; +; 74171:inst1|15 ; 9 ; +; isAdd ; 7 ; +; INPUT_A2 ; 3 ; +; INPUT_A3 ; 3 ; +; INPUT_B3 ; 3 ; +; 7483:inst|18~0 ; 3 ; +; INPUT_A1 ; 2 ; +; INPUT_B1 ; 2 ; +; INPUT_B2 ; 2 ; +; INPUT_A4 ; 2 ; +; operator:inst21|o4~2 ; 2 ; +; 7483:inst|1~0 ; 2 ; +; operator:inst21|o2~2 ; 2 ; +; 7483:inst|21~0 ; 2 ; +; INPUT_B4 ; 1 ; +; 7483:inst|43 ; 1 ; +; 7483:inst|42 ; 1 ; +; 7483:inst|45 ; 1 ; +; 7483:inst|44 ; 1 ; +; 7483:inst|44~0 ; 1 ; +; overflow:inst23|v~1 ; 1 ; +; 7483:inst|25 ; 1 ; +; segment:inst17|g~0 ; 1 ; +; segment:inst17|f~0 ; 1 ; +; segment:inst17|e~0 ; 1 ; +; segment:inst17|d~0 ; 1 ; +; segment:inst17|c~1 ; 1 ; +; segment:inst17|b~3 ; 1 ; +; encoder:inst20|o[1]~17 ; 1 ; +; encoder:inst20|o[2]~16 ; 1 ; +; encoder:inst20|o[3]~15 ; 1 ; +; segment:inst17|a~12 ; 1 ; ++------------------------+---------+ + + ++-----------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+-----------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+-----------------------+ +; Block interconnects ; 29 / 54,004 ( < 1 % ) ; +; C16 interconnects ; 2 / 2,100 ( < 1 % ) ; +; C4 interconnects ; 21 / 36,000 ( < 1 % ) ; +; Direct links ; 1 / 54,004 ( < 1 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Local interconnects ; 7 / 18,752 ( < 1 % ) ; +; R24 interconnects ; 4 / 1,900 ( < 1 % ) ; +; R4 interconnects ; 20 / 46,920 ( < 1 % ) ; ++-----------------------------+-----------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 11.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; +; 11 ; 0 ; +; 12 ; 1 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 1.00) ; Number of LABs (Total = 2) ; ++------------------------------------+-----------------------------+ +; 1 Async. clear ; 1 ; +; 1 Clock ; 1 ; ++------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 13.00) ; Number of LABs (Total = 2) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 7.50) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP2C20F484C7 for design "YL_adder" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP2C15AF484C7 is compatible + Info (176445): Device EP2C35F484C7 is compatible + Info (176445): Device EP2C50F484C7 is compatible +Info (169124): Fitter converted 3 user pins into dedicated programming pins + Info (169125): Pin ~ASDO~ is reserved at location C4 + Info (169125): Pin ~nCSO~ is reserved at location C3 + Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20 +Critical Warning (169085): No exact pin location assignment(s) for 31 pins of 31 total pins + Info (169086): Pin OUTPUT_A not assigned to an exact location on the device + Info (169086): Pin i[3] not assigned to an exact location on the device + Info (169086): Pin i[2] not assigned to an exact location on the device + Info (169086): Pin i[1] not assigned to an exact location on the device + Info (169086): Pin i[0] not assigned to an exact location on the device + Info (169086): Pin OUTPUT_B not assigned to an exact location on the device + Info (169086): Pin OUTPUT_C not assigned to an exact location on the device + Info (169086): Pin OUTPUT_D not assigned to an exact location on the device + Info (169086): Pin OUTPUT_E not assigned to an exact location on the device + Info (169086): Pin OUTPUT_F not assigned to an exact location on the device + Info (169086): Pin OUTPUT_G not assigned to an exact location on the device + Info (169086): Pin OUTPUT_A2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_B2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_C2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_D2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_E2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_F2 not assigned to an exact location on the device + Info (169086): Pin OUTPUT_G2 not assigned to an exact location on the device + Info (169086): Pin overflow not assigned to an exact location on the device + Info (169086): Pin pin_name1 not assigned to an exact location on the device + Info (169086): Pin INPUT_A4 not assigned to an exact location on the device + Info (169086): Pin INPUT_B3 not assigned to an exact location on the device + Info (169086): Pin isAdd not assigned to an exact location on the device + Info (169086): Pin INPUT_A3 not assigned to an exact location on the device + Info (169086): Pin INPUT_B2 not assigned to an exact location on the device + Info (169086): Pin INPUT_B1 not assigned to an exact location on the device + Info (169086): Pin INPUT_A1 not assigned to an exact location on the device + Info (169086): Pin INPUT_A2 not assigned to an exact location on the device + Info (169086): Pin INPUT_B4 not assigned to an exact location on the device + Info (169086): Pin clk not assigned to an exact location on the device + Info (169086): Pin reset not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176353): Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 +Info (176353): Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 29 (unused VREF, 3.3V VCCIO, 9 input, 20 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X38_Y14 to location X50_Y27 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (11888): Total time spent on timing analysis during the Fitter is 0.17 seconds. +Info (306004): Started post-fitting delay annotation +Warning (306006): Found 20 output pins without output pin load capacitance assignment + Info (306007): Pin "OUTPUT_A" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "i[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "i[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "i[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "i[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_B" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_C" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_D" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_E" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_F" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_G" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_A2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_B2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_C2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_D2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_E2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_F2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_G2" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "overflow" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "pin_name1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info (306005): Delay annotation completed successfully +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 +Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info (144001): Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4848 megabytes + Info: Processing ended: Mon May 04 17:05:39 2020 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:06 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/output_files/YL_adder.fit.smsg. + + diff --git a/YL_adder/output_files/YL_adder.fit.smsg b/YL_adder/output_files/YL_adder.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/YL_adder/output_files/YL_adder.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/YL_adder/output_files/YL_adder.fit.summary b/YL_adder/output_files/YL_adder.fit.summary new file mode 100644 index 0000000..c221849 --- /dev/null +++ b/YL_adder/output_files/YL_adder.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon May 04 17:05:38 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_adder +Top-level Entity Name : YL_adder +Family : Cyclone II +Device : EP2C20F484C7 +Timing Models : Final +Total logic elements : 22 / 18,752 ( < 1 % ) + Total combinational functions : 22 / 18,752 ( < 1 % ) + Dedicated logic registers : 4 / 18,752 ( < 1 % ) +Total registers : 4 +Total pins : 31 / 315 ( 10 % ) +Total virtual pins : 0 +Total memory bits : 0 / 239,616 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 52 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/YL_adder/output_files/YL_adder.flow.rpt b/YL_adder/output_files/YL_adder.flow.rpt new file mode 100644 index 0000000..37c259d --- /dev/null +++ b/YL_adder/output_files/YL_adder.flow.rpt @@ -0,0 +1,128 @@ +Flow report for YL_adder +Mon May 04 17:05:47 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Mon May 04 17:05:47 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_adder ; +; Top-level Entity Name ; YL_adder ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 22 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 22 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; Total registers ; 4 ; +; Total pins ; 31 / 315 ( 10 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 05/04/2020 17:05:28 ; +; Main task ; Compilation ; +; Revision Name ; YL_adder ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 345052807169.158858312821972 ; -- ; -- ; -- ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:03 ; 1.0 ; 4597 MB ; 00:00:02 ; +; Fitter ; 00:00:06 ; 1.0 ; 4848 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 4552 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4557 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4518 MB ; 00:00:01 ; +; Total ; 00:00:13 ; -- ; -- ; 00:00:12 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder +quartus_fit --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder +quartus_asm --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder +quartus_sta YL_adder -c YL_adder +quartus_eda --read_settings_files=off --write_settings_files=off YL_adder -c YL_adder + + + diff --git a/YL_adder/output_files/YL_adder.jdi b/YL_adder/output_files/YL_adder.jdi new file mode 100644 index 0000000..5361a4c --- /dev/null +++ b/YL_adder/output_files/YL_adder.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/YL_adder/output_files/YL_adder.map.rpt b/YL_adder/output_files/YL_adder.map.rpt new file mode 100644 index 0000000..f5ebd10 --- /dev/null +++ b/YL_adder/output_files/YL_adder.map.rpt @@ -0,0 +1,299 @@ +Analysis & Synthesis report for YL_adder +Mon May 04 17:05:30 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Elapsed Time Per Partition + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon May 04 17:05:30 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_adder ; +; Top-level Entity Name ; YL_adder ; +; Family ; Cyclone II ; +; Total logic elements ; 22 ; +; Total combinational functions ; 22 ; +; Dedicated logic registers ; 4 ; +; Total registers ; 4 ; +; Total pins ; 31 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_adder ; YL_adder ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ +; YL_adder.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf ; ; +; operator.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/operator.tdf ; ; +; overflow.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/overflow.tdf ; ; +; segment.tdf ; yes ; Auto-Found AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/segment.tdf ; ; +; encoder.tdf ; yes ; Auto-Found AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/encoder.tdf ; ; +; 74171.bdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/others/maxplus2/74171.bdf ; ; +; 7483.bdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/others/maxplus2/7483.bdf ; ; +; sign.tdf ; yes ; Auto-Found AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/sign.tdf ; ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------+---------+ + + ++-------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------+ +; Estimated Total logic elements ; 22 ; +; ; ; +; Total combinational functions ; 22 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 12 ; +; -- 3 input functions ; 7 ; +; -- <=2 input functions ; 3 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 22 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 4 ; +; -- Dedicated logic registers ; 4 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 31 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; 74171:inst1|1 ; +; Maximum fan-out ; 12 ; +; Total fan-out ; 101 ; +; Average fan-out ; 1.77 ; ++---------------------------------------------+---------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------+--------------+ +; |YL_adder ; 22 (0) ; 4 (0) ; 0 ; 0 ; 0 ; 0 ; 31 ; 0 ; |YL_adder ; work ; +; |74171:inst1| ; 0 (0) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|74171:inst1 ; work ; +; |7483:inst| ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|7483:inst ; work ; +; |encoder:inst20| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|encoder:inst20 ; work ; +; |operator:inst21| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|operator:inst21 ; work ; +; |overflow:inst23| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|overflow:inst23 ; work ; +; |segment:inst17| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_adder|segment:inst17 ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 4 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 4 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 17:05:27 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_adder -c YL_adder +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_adder.bdf + Info (12023): Found entity 1: YL_adder +Warning (12019): Can't analyze file -- file YL_7segment.tdf is missing +Info (12021): Found 1 design units, including 1 entities, in source file /users/ushio/onedrive/study/uol/elec211/exp28_decoder/yl_7segment_sign.tdf + Info (12023): Found entity 1: 7segment +Warning (12019): Can't analyze file -- file YL_7segment_sign.tdf is missing +Warning (12019): Can't analyze file -- file YL_sign_to_unsign.tdf is missing +Info (12021): Found 1 design units, including 1 entities, in source file operator.tdf + Info (12023): Found entity 1: operator +Info (12021): Found 1 design units, including 1 entities, in source file overflow.tdf + Info (12023): Found entity 1: overflow +Info (12127): Elaborating entity "YL_adder" for the top level hierarchy +Warning (275012): Pin "i[3]" overlaps another pin, block, or symbol +Warning (12125): Using design file segment.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: segment +Info (12128): Elaborating entity "segment" for hierarchy "segment:inst17" +Warning (12125): Using design file encoder.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: encoder +Info (12128): Elaborating entity "encoder" for hierarchy "encoder:inst20" +Info (12128): Elaborating entity "74171" for hierarchy "74171:inst1" +Info (12130): Elaborated megafunction instantiation "74171:inst1" +Info (12128): Elaborating entity "7483" for hierarchy "7483:inst" +Info (12130): Elaborated megafunction instantiation "7483:inst" +Info (12128): Elaborating entity "operator" for hierarchy "operator:inst21" +Warning (12125): Using design file sign.tdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: sign +Info (12128): Elaborating entity "sign" for hierarchy "sign:inst19" +Info (12128): Elaborating entity "overflow" for hierarchy "overflow:inst23" +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "OUTPUT_A2" is stuck at GND + Warning (13410): Pin "OUTPUT_B2" is stuck at GND + Warning (13410): Pin "OUTPUT_C2" is stuck at GND + Warning (13410): Pin "OUTPUT_D2" is stuck at GND + Warning (13410): Pin "OUTPUT_E2" is stuck at GND + Warning (13410): Pin "OUTPUT_F2" is stuck at GND +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 53 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 11 input pins + Info (21059): Implemented 20 output pins + Info (21061): Implemented 22 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 15 warnings + Info: Peak virtual memory: 4608 megabytes + Info: Processing ended: Mon May 04 17:05:30 2020 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_adder/output_files/YL_adder.map.summary b/YL_adder/output_files/YL_adder.map.summary new file mode 100644 index 0000000..86f5697 --- /dev/null +++ b/YL_adder/output_files/YL_adder.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon May 04 17:05:30 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_adder +Top-level Entity Name : YL_adder +Family : Cyclone II +Total logic elements : 22 + Total combinational functions : 22 + Dedicated logic registers : 4 +Total registers : 4 +Total pins : 31 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/YL_adder/output_files/YL_adder.pin b/YL_adder/output_files/YL_adder.pin new file mode 100644 index 0000000..aea9d3c --- /dev/null +++ b/YL_adder/output_files/YL_adder.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_adder" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +OUTPUT_A : A17 : output : 3.3-V LVTTL : : 4 : N +overflow : A18 : output : 3.3-V LVTTL : : 4 : N +OUTPUT_B2 : A19 : output : 3.3-V LVTTL : : 4 : N +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +GND* : AA11 : : : : 8 : +GND* : AA12 : : : : 7 : +GND* : AA13 : : : : 7 : +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +OUTPUT_D2 : AA17 : output : 3.3-V LVTTL : : 7 : N +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 7 : +GND* : AB13 : : : : 7 : +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +GND* : B14 : : : : 4 : +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +OUTPUT_C : B17 : output : 3.3-V LVTTL : : 4 : N +isAdd : B18 : input : 3.3-V LVTTL : : 4 : N +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 2 : +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +i[3] : C14 : output : 3.3-V LVTTL : : 4 : N +GND : C15 : gnd : : : : +i[1] : C16 : output : 3.3-V LVTTL : : 4 : N +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +GND* : D1 : : : : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 2 : +GND* : D4 : : : : 2 : +GND* : D5 : : : : 2 : +GND* : D6 : : : : 2 : +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +INPUT_B3 : D14 : input : 3.3-V LVTTL : : 4 : N +OUTPUT_E : D15 : output : 3.3-V LVTTL : : 4 : N +INPUT_A3 : D16 : input : 3.3-V LVTTL : : 4 : N +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +INPUT_A4 : D20 : input : 3.3-V LVTTL : : 5 : N +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +OUTPUT_F : E14 : output : 3.3-V LVTTL : : 4 : N +INPUT_B2 : E15 : input : 3.3-V LVTTL : : 4 : N +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +GND* : F12 : : : : 4 : +OUTPUT_D : F13 : output : 3.3-V LVTTL : : 4 : N +OUTPUT_G : F14 : output : 3.3-V LVTTL : : 4 : N +OUTPUT_G2 : F15 : output : 3.3-V LVTTL : : 4 : N +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +GND* : G3 : : : : 2 : +GND : G4 : gnd : : : : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +i[2] : G15 : output : 3.3-V LVTTL : : 4 : N +INPUT_B1 : G16 : input : 3.3-V LVTTL : : 4 : N +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +GND* : H12 : : : : 4 : +OUTPUT_B : H13 : output : 3.3-V LVTTL : : 4 : N +INPUT_A1 : H14 : input : 3.3-V LVTTL : : 4 : N +i[0] : H15 : output : 3.3-V LVTTL : : 4 : N +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +pin_name1 : J14 : output : 3.3-V LVTTL : : 4 : N +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +INPUT_A2 : L1 : input : 3.3-V LVTTL : : 2 : N +INPUT_B4 : L2 : input : 3.3-V LVTTL : : 2 : N +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +GND* : L8 : : : : 2 : +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +GND+ : L21 : : : : 5 : +GND+ : L22 : : : : 5 : +clk : M1 : input : 3.3-V LVTTL : : 1 : N +reset : M2 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +GND+ : M22 : : : : 6 : +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +GND* : P5 : : : : 1 : +GND* : P6 : : : : 1 : +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +OUTPUT_A2 : P18 : output : 3.3-V LVTTL : : 6 : N +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +GND* : R1 : : : : 1 : +GND* : R2 : : : : 1 : +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +GND* : R9 : : : : 8 : +OUTPUT_F2 : R10 : output : 3.3-V LVTTL : : 8 : N +GND* : R11 : : : : 8 : +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : T1 : : : : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +GND* : T12 : : : : 7 : +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +OUTPUT_E2 : V9 : output : 3.3-V LVTTL : : 8 : N +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +OUTPUT_C2 : Y13 : output : 3.3-V LVTTL : : 7 : N +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/YL_adder/output_files/YL_adder.pof b/YL_adder/output_files/YL_adder.pof new file mode 100644 index 0000000000000000000000000000000000000000..a54e83448ea092eb9b1c3d91d007c8592e0cd49a GIT binary patch literal 2097373 zcmeI*4U`mIV;}zX_Sk2AVuN{aLzaCW1e+WuI0(%1ffr|qji2$`W1ErS zd9efL-Rk-8>6xys9%&f#HE6niZdKpWAwd3QT z{M08p`uaNFw_$bfHJ|L*`tj>O`tcnf``AZ5-tpTX`S>TUx&HS%)~-MGy{E42=>60+ z*Im`IZvDEB_r7n<+I4Hzy?5>Uj*CC?@qc&Cl^^NYy5q`gJJxnwd_l+WeB_FbjaOas z$*^Rqx%^Wbx1M|P+V`d2k~%8<;)j0!lh=Imx{q9yIxf``M&A?urBm-nb%gKnPg7Is z^@`8G{od<;ntJB_nbhooSz#*{ogCe|apU%F8!tZnQx|{YwBLEpM}BABX&2{?zbJS7 z#m9yJKlrN`RxaO`egplVZkq6T1Ae!!yeGY~Gm7EFQ2Bde;&_ZF=FJ;w(mL+{AzhPeBT-Ue`0jpjD53U^w@I76}XPQ%|grPOjX!*}^trKfV&0fXWlvi%L>7(T(uM0!hUp?{X z%BdwEfA#UlpZMu(|KoqWxO)7@AAjYRTb}sIE4SYILV3xbhM^au(ej_?57Cm9u_(vr zXHm4GKhOWG$t|rC-zBo_uYdmY7f(Hy%N^I8N{wyBv?)K0hJQZs=lOS5Z11#?@s{m6 z>E7H)x#m;;*T+A;=5G!hbIhaRs2cmxSO5O+UpOT?m@3DA8s@w>`RDm}%#6aTzkcz> z*Uvt9+;QtCmYhDNJpAh@Nc88Wis-)@rB~;?w0`~iw~YVl^eN@x$rSCScUAoE;as+` zaeHB7HcG6ee3lPOt@E0c{Q5*&l7)M`j=s= zL;Sb@?*F#DoMzQ6@cN6t`isAK;r*vA3p=^Iszs%E{N+uXo_H+$dGrmjJEAmdDIZRa z#=r95OO>xO(+|+nmanP&AD`{Hx>QqOr^)h%d>hiZDCeVM@vU0oe#k}S~I=SZ?fZb2Ky8 z-VzoZjFukkNky|-dXHawZSu`JlqA^@t>|CX&kbizon}=7gxm7y)_m;K(Uc1R_m3TO zVJJ27yUPmAU8(kBzQ4Drza{!pXzp$r8*lG!?&=lqyzH^zqw}ZyvL}Dafu8(L2Yd3v#}?KNugag?7ya9GaJZ+iW<@@Hof{Uv zaBy|cg~P|ZoFC3^2`ku=J24C{dpiG6&%UtOzRaPyzb5@){+Y~!`EU1Z$@Lw`51$wQ^=`?X*|T}xNIWoHcyKuX!0?9r$sr0` ze!TGqxy~Qu*6uhp6QX{9&%W?=(*r%ZhkDjMb##dIiU)4r)466v%XqwhHQaOI&hX18 zJe#SA_%HIq|2n_9GUl)KlsEnZ0bnZXd)KUZaKkH^o@<9!SHykCmfS-dpUPJVK6PxN zy#2R7-7}4F&Zt}wR#JE>|NjQV-^$;5$v58>j@)R^_qUX9FH8MR(IJ@X>W+@UxD#*q z&2rgyv|!zzaP96$dRgdhdj06PEyU?K@`uMwJ-exQ6BhOAQg37@!Y|c?eIovKf7{?X z$QnGP2kZE$nL)GHA55OjTh!Ztg-J#eUxnKq`PQ^yrTY=-tLgwOqK3#zq~WbZ1y*w&=T^RsbWVcT*gpBNreDG6IUO41pZ;x`alNsT;qH^*zVI_qV z9vsie-uAzT=L5NLXoZ`Kaw&UwA`%^f;Si6Gz_=4fesgUUI@#y^js1xaz4WUe*s&0& zW9m=elRTTZs8^R{=86&Pah8 z7iyW69U2Zrc_Axw;*X|sLx-#^E=DeHG9NTYBu?%toZOc?x$oQuLQ&u=SN)su?CXzn zV?}wPMDL2Oqw~W@=a2hup#X7D=Lh;$gkK#UD?>cQ1#!z3qD=6_u#yWy!Qxm(wshJ* zhr5UJDId2Sx@w8_3k_uRLNUwW%tR;tSou*!fB7uxZ=^Uf_36`p82?u*LoVvor7|)x z$Cqrv9&`I<1+&4kNamT)*dg6mk-6w}#K$vm4vr(UYHKpGJ!j z@6MG||GN_v+09eZH`@N0g(&|>owDvfh3sN3!l|>=Zq5a6+m}3>7ZSn2WIHM>6-wGS zk3HS&8Go`lEjx5)D9Q^ZdP7sWp`=e#7a$im^=x#mNSyd|{;T;@#-C|k_5A0@vadIV z!oP~A(ckHb@~@#JZt8LLp)H78vtj|t1Rod`AwGFJ8n@aeOUO{}%JySVXGC_EHNT$+~}{rNq~;g)I&rfyz+`@GSDc6IZ@v(z~SiU z+?nd;RUXso=2eQs9$%^nd+ZIY3}}NZMdlfAu#lyp5(9Pf=GifG&Qdo|-Ml#uj>#G7 z=8ZS=P&ZHAyveg7-oO$qa!LNA#RkVaFvdb1fyJhYOXpJ~FTB)L5AKYx4Q5VftgEh( zXcte^W^QW@_3TrXO>GCF?nNpqKF<#N{dM;#&x=nV-210m)DG<|q>q}TNp0bbVs`gj zO>yU3@T{{Q506}<#u}+&cWcxGPGu9tq3*C>E1Tg~33~nW&C{CJ4h+rFwl-UApQANy z)kQ`gzB2hu(GA-hD0B}k;{UPdV5Yd~V5YCIW_M_G+ZS34^o5qQeHRXYDYO>YGuCqU z%wlME(6@Q`&qL$ezR>u#FEqaG%Mafb8sC1o-2QaUuF!P$&d_wWFSq8-&~$cpXgWI_ zn$GrxrnA2sYdX6#tnT*E`nGRhSZ-hDuF(4SuF(3nFEoGXi&~!^91hVK4$-(XG~jI{ z8oNR?in%qrLNo?KmA!q}hCJcgumNkY*^;||^Hcc{`{`To)Ln%KzW8+C!P|?W3GL)2 z>|Jwb)P%M#G@-5BhEQMbA3}Y(ij8=!FaMwWzMMa2SF|OQTk)&mzR;X^cWBN#T%j2v z^H7LP1!ujH*%>19cOf#j1<~6IKfL3EKb~rL8~30Nt%PO=eQw>`4_ zP^eE*0rB4ZrvSnXH{F}?k}}0KWeUKxpOXf&g0?r0?j>< zWbf>}d&u9n#LVW8yf0)2CtKQ{*Lq|1wz-!NZEdgKIJf#DqYrbi*Zp<9VgN z&6$wdtH>(70W*7(8MZm|oI69Fv$$^}htze}wIQ1~5OS-#Lxs2}LrdG;GqbDT>DzMe zHG8(K`wzv?NOm&6`nMsw7uxIgh4#7?8P=$k?B>wac4m(CsgQa8;(5Q!-~MM&gWZXo z^WKnq4h?qi3JrEEv(6zh&xXiUn?huYAs1h~aPY2=g$&R{H&HPU>Z-^8 z$m;dUvx5sz&u_e^t41~QiKOBiJ!9{ zv6TWRy5&n&02o^N&bi>|qmM64kxA;h^{0lb?Rfuu^_BsKZ08({JaKLEo2N!@0J;x+ z{;`P%sR{?*6orGgmRc94bTI$jhHCpXmi`Sc$X$8rvLQ9;V&`!hNk|sAv1aT|oEgvt z&-9potkF`3`PV+*+(o@lS*Ry1jolv4$20G|rTUCR-NAWx>pU|XEyh=OP~Aaw2WOpN zi~5XeR%~KUSgNUi%*~q}%m&XknR7~mhjii`P1MEM;*@tZe4C=~;G9R(yfYd+)TY0g zW6mmo^OXQbuk@I6L3Ib`*mvbOd#F38?%=FCdg=}~_NMwdPPp2Wm08s`nhLR~B}Y@y zsB376?%~E7EGEwmHkz}jYu`){935K=@{~f|!Rf3uhoc=n^*-J?s{n?Ft-W(L9#(g- zCUcbl(ffSW9bA+q!RiiHIUd6#bq77*u6|@xnYu)CR!7(#&R*8{jw(AZ-lPV1aVc@F zmDKl7`>TcPr6F-N%9RI`7tFr+o5@=QHb@_D|5ghXmHAJ-)OXHGfb$js&R2H$X0JV% z{BVh06*AG%;_3YTeNp?2IooJVcAxS_?~A6mdH6H=QzqJ1?EB{T#yisqje;|wQE)|X zI*ZgOcwt&7?+PuHza3gAPjsvEZ0Kobq3&s`ovQJsEx;Rr7oa16)a%bB?`b9^hWU=J z;lcji!BTU0!*W-7%R8F;!++(`uHamLr%{;ElPZM&+FH89f8~)VaCtP_)Z3FTZts72 z{eR8(+}+uGe6}^cGPk{V;2WL!ONKJp&XdYtCl7tDJ-0lwxBRtks2s4X{ho68qk9K8 zcJ-w1Eq~qL+q$dsqRyTR%3tTUme(~HdzB&l(+`~S`QuyKPbyqA)ID%dZ+>IzG4~D? zb~P;@EPt))9UNNOyREkveLdORS`JvsmcK?iFDUM5-`d#~eeLhe7r)Y(J$|VCwR)&O zT34wUdzDf6Z(VX!e{1NJsO|);yD61TrAIn%e`|TSB>A(b#ZE~g94+JNcpY-~a2;6t zx1IS|9lfoo{qe3%BNr*nIOE+{1O>R!zo5{Z4&DP96+32cmOJ-;gz#<_Mr~ z$jbi6^$i*2WIEz@cseU+Cb}d3{`#-aalplx{N^aYjj^F~1X|Yx0qp!>MI5 z_xz>0hZp4GPNPpo!iz!n<$DT2x7d42wzwj^R-?bGbmAkK&U~2GmD`jlgcPhl|5$Ng zPxLa5(%>DLQ_{W7VOop@fA%*E_zY`7+WVxUH;g3xMCWBkZe6}7dZg9cyEEIqBHfhg z=;}IgZKks`Ov~lY&18dDM}9+bV0Sva;bw4fICES&6Mi$!g6I6fyP}np*WZ!Pwzr4X zhgD?8*4~jTuRQ$nz(BO_@WY+uRo7T#n27{&V@0dY>-bTR3lfY3KIz?c)plsQup$ zd^P=rZMox)I(gvcJ2ET#p32>mJK>_jxy|P`_uZQ-Y|dYBRpG`nip$sbeXj3)uol4AI=^3?Pu0sQ2J4}a7ihDIyal|4`8 za#!^B^mKI(MZZ|+J+J+e_7&NlDC{$(tJ{xl@7&ROV*B90Pr6F?r1PtDxf8P4q2@wY zv_<)=h6Zlj-IE?F{#MV>XG=F77>Kp%y@e2X@aFQ05B}lxN##8ht4r=kJTvP8njTn| zYPv?P;ia0TsdHuV|I}DPos-5&qhLEkAq=+%FM6d6fAD8-@ zq6RVbCa|Nc@6-G^#(6OwBuY#)KaTG;GopvCS_E2<3K zSpT^TvI(it*MBziRH8N#jXWzO^TWC?; z=$mKGI_vS&!Zj-I7%VrHPxTfDhN7189i^^;azpu4uB+U@zGEm`Y!B`0Q-h^UduMbv zQDc#jhp%kpT}+L=104{jKdIndqt(6)ujR@^9<7z*PhdIjO`Y3EnOf zmFy<_9C7&!8(hzU(paHnzO|m;njSWKrS0_b`i2+vwrruEvov^fT%Xuf=p}oX=G`(= zJF%{zkRm3&^hWQ9_imXly`2BzdB4ox{%6@OxikAV5C3_1FHPUx@NdJdnY*sK;>3!M zuN*W)1a9oQn>;(X zWK;hcdUcH0;5x7tE$_VQ^XDdi+2Eq?;&~F6_b?tyLndi>&z9UZQ68x{EuWM>f0BVJCtuT^xBdrJF&R0EvY!p z;*XY7zXiD?S8Xu9x}Lu3u}Ur3t886cIW2IbB@W3SeJv{Wf;>=EZE)IwRC_Sm;i?VR zcB&piwL#SeRU7Q6@{X1xuG(PRfe-Deo=C))+WlnDncHK8s~)7GpY}H8>ZsMHE^1j> z^(fVz+Gt@>?O&)KqT$Tsq8AP}bY?B(lUn&;^+USm)W(mPRrxgokA(-Hg_kp-bZ_^} zXP}3@bZ;RF8HdA)N+M37SoBEU=nKbIM5T_=Mcu^~~`on*jh$>o0Zn7S_#ttqOQ)63~v(F3ENyE*_FYrg^Ienz}JNVhfJ78AreKQvi zUO0IDt&{C#6c5I(Rj7C{_JyM|p!`jx$b94SH}mOK%v;pLvWmFG9qm5w`N!ghogHpb zw|Jq72WM|q%qi*ZOSKtJ%nh6sEZX0PxM6We>w=UwjAXw1)l%Y8z_|+!M^FBfj>Nga z{?q@F(gN=aLHhu${tF5SI*f37JX&ca5EB+hyK9jS#Wb$H+N z&4;4U;oQ8^&Gf{i{>HPhDQO6R8vU7>r)rl6OkBj2w;m?FF$;Rt! z@B8NW#yjb_X4ApU<`Y6a{29G;Jf%CcD)p2a>4PVA{>J35dKvlovbWD&Q!1PpRU%ux z{?!rJW-++em2%7o?A~Yw$NVElU`DcmigK$?cqw@o7K=)~Aen}clqPlD%iqUU8niI`6FWHzvRF*uP`lb8qhEiWd&9c{uc@rnzFB&(<5QkCeC|!MhHW zSk0T>O`o}BFL%>7qMqR;xxv}X`j%)9tqawmSv|XWM%cEV(;BGEwN&p8mqvTVkvCb< zVDw57^^w#^n%x=LqP13Rd*oZo-llAD#w8*4$Wl%HV{c$(KpR{sGS7HprN7DsYq#+Z z_*I@xyTpqxD`DhyUOINK%OltxlS?>Z=~Tcke77N$eAoxjKr|6P9b@NMA%|80dI z-toa74-S^!V;Z%En0UKscXK$B>b=d~@>Em2$f2A6IqR*7BANsXLWja_&Z4#wO?`n`w^RSwwLA?-z|;Z4zr($YqHGaI`-u5Uk2 zg>{HRGZzxJV8?79ey1THy)Uy1ja80&g3GtrJ4FLNAy^znJRZ3=O%lBKU+ z87XSyQKM_J=t5j)Z)T|{u1#LEB3yeDKbP|&)KF_s&B1EN|Dx3#Ouzbp9Sc*?U_SQ+db=3(wEAwTuk!uDdE#^~y=t zhfZfY1~Y}IG^V#WFq94_X-BDRAl)0DRHt%XJ%#YqF_bN~_k>emu#{=<%tuq^Uu5Lr zE0d?G4HXuC`s`yhGxk>0S=I2mo1Qqmq0SBxCV(L|$d3NkFE7N|`qot2BNspa&T#f6 z`z+RlC`mqNatq(!S=q__*kpvGfzhvBJ7c`Ak(gT?(&;LnV;_G@m8tP29qzpBWUOLt z@nrbv0}US3ORli6;o{MSd-RgHtZ*s58a145cWpds`jJ=HaCB}Ry0*sILTdEyFI||- ze(KFun=q8>Yiq2n@$9z7>BmpMvaXYOM&N`ch0!|FZI66?fjT1WZhG&;{>4J%9JZzU*R4-@lblM2o8+JG?N40Mpw`yLp6y650W*-F8{F764Hv7NFqQ4@X?nf=EelbrFdGUAU*DOypfH`f z>_h*mv((kmn(G|QrMki+?I^kHZ%*aHf6-`1b9tnk2o_RpEp08KHfK-xFB*xamPa=> z6${ypY}0Rj>IcWSw4YSCXsCPOp5FY%)?@A+D(q@nK3M)*)jK$}vUgi=G5UJ4x3wIw zlr4XabY4*0)4sK{EBe~snJ<2&Gkg3{`D^u1f3&VrG4?8>@ZY+mFVnIzx4n0u?Virw zPg>Q{<^=nbyw#_ojn(nzs_wf2OLb7zrN9#zho$r?L4Xcb@I^X+H=b@ zd&^(zhVBmQ+TOmbb>$V= zg_M&+BiDa7`GeRb+0n_Gjkla0@0KGfIG??3=RBRHd~-Y#zGqqe1vnG=_ta&Z;#EAI ziu2^6snnOw**7&k{?HiO{uOmcdupGLd}n9!#~w*`^u*R3meS;OTT}Qy+);<>H=)u@ zNO+<@;d9;De-$f79EH!8mkSF;YfFW*rOZBh>bd1LCHj**R~Wl|x4S95H6#P&2#3vH z9{x+0zoXc)w*Mpf`4<(6)3_I{HNVVON$vW8YD|s_f|hb5E?@ z&=yt^ttjlu@Ned7LN5(}{D~xq$Jj5&JQcdPrPJB4C&rG#uv@mJ!~fy9+?LKpyC*gB z{(BoK2wwi=hb~GN(&3PuY+0Vp9@~CN`Zsqke=@w#W@|VovQa^ERD1NUYmTa?I@;x# z<=d7oPxqulggXk`Rs_RveEmjy_BYb$&cNB$6jde}`N{f_Nx8f?y3p6vrhNI1i?%M` zTIMKTKD2yWdt1}i^#1fE>0{fQ-rd~U7Fmt8Vjn%>*RghY)j)AySrcwK!~f-^Bh{U5 ziW2KEA^QB@2Ia-diyJC09y^fAM^hLa8yo%qUW=XAo6M(`SCL9BZ)$twj~{(!owd2T zo7$Q>Cbwg2CW=X@T^bV9Z=4+t_hg^LUuYco+REo=YGiZ$Ik@uDMIAklA*e06=StmoKaHY1i{(&)CY%$PLCNO>c}yz!Mx zjE=l^U%l7NiABT8p1850_IYzeHOAy5CG``lZ7lEBKs(n^b!{RJ^?xtU7Kbb74co)< z9c2tssj0N4Eh;exNlmmJv+L+r54va#($lH*(BXbK6TyT}@|&cPs_b9>jw83j%8Nps zhmoJHPkb2*tqdDGRn;<8%XCI9(~g1WLbz4^gRhUB+DZO~OHZs)i;1iB^xp_I0e_&s98Q!TPUMtE|9iZW#mQfrK9J<9KbcFv?8wXK zMA!RdpG5n3;-?lTn=@7@w;*k-8}9Gn?%WOemMCL&LCc>FZCw$L!SFKU6*pv>JHxb- zn$Is6%-mhLq1gKQsBrM+)-N`_r!&fr#aOWW?7vyK>Y?@K|3dVuWEIN|mnZgqz28w% z)YY}AH)`F|KlE5}XirquTPoereo9Z&zNLR~U%pTXYb_RUDHQuc3zz;KaKB zvWYbv_N05_hZ{Ss3tugqx2?2ud-~3M(#xO99d*h*rJL?e4vpQ_{`9;?RTtL`Qgsh!y}oSukKpe`QhBjohMz?yE#9S@7|ls zZG14lx3KYa z2A1ES9@v(152V+2iB4;ysBdxX9TsuGdOCDNTq84O`k25o~}`2SSnouX!>l4)^v?p!xF7p zLeu6;w5DOy8kA_&5}Gz&qBRYp=AcBYCec&?iPn@w%^`_aO`@p)60IqV+Cvhp+D4Nh zBwCXcwFe|xwT&i2NVFy?Vhl*MVjxWfk!Vdw#Q1I`(JFTg-XCgQhfkF%%%K`y%TUx3 zsm9B)w}zUOPkc0K3;DLDww^P#$Jo;9LB@J$uRgEl)J03gR((FadEk(}^(O4mH~NdQ zyAS;FyKC;AIRnIPpImhXfBNg51NqF(m-Ct1pU&Ukw=Yxie!n+hpG390Mk;O|{!Hi^ zsTjIOD&}w9_s#E(zwGgvO$RfZPk1>qvsWcA#-3G8I&}1vzUB10sva@3Cr-9nn3|Qn z>`V1_@-VTMkjAzRobi0UmA%7avsw#o3*8N@I%g_Yv4$q%5dx2V;o_KemBt(Thh?TZ zN=c1oPmcAAA<%diA?4s90_8Td*KPQ#xQmasv5kUHEIs-=e;sq_&?`e&D7v(Te-lk# zQV*Z=f5lwB3>IP#tzqIb`h|xk2Iu{rSZ3M=gz(pWaMQd?Rh>DpiqQ|ntLq+qx*YSc zvS`tX#a~_be^gz4^@$VlAD^53`X9Ac4_GlZYDhmZsr<`j_mS6@#cG!wVjKN%v`kx5 z=tU!Zhn0l>YErlTL(CPF_twPDp4j$PXM8huE3L5X_{NVd9mfCZ-<9HReYEA}SWiSC znsD9vPefleKiTmvddl7O1cMNZ@w4w6e-Sg=HQt#@_^HrES`_`|<(+Zq`~GLmU!N;L zqwmI9*3=oTI%@X3;;j4P^?Et&AZqK0nuC;gNO#jY4~70WV|+qpGxW_8QkyZq(7R1& zeVq+mmxN{0Upo8D7^?_JU0dj&ro0cLof$d}3K0pUV+X}^->;{af|Z z=wl`fY%TB3&|^^b*_c00Mkxy?Nx1D`LPQOxcR2ViNieK>zyB;TLw z-H?x(oELkCb{5h{rBnS~rOv)}nW&vpS8n^one>Murs8?qcK^rh@+d%d#Tf&u(qHKL zcqX0hjeagY{sUh-{fxRgN($ZYjP;STys5us`B8y!dDAiNkEFBta9BpYFqNB$eZ7?I zwL1Gcw=Mrrx-IPI%d@L`KEG`s9Q@gIc5u}|W>tD^zB}C$W|iN{vw!#67iR8)ImQBM zz#BXNBHVD?l~3f+|9EO?jzwlpE+41U&;4lj$oZ4`w2;Hwoj+KSO*{OZU)IiO*6f3G zSK;O1Vs6c@4f!<#59VLlckM(+K-X-^-M{&%eCWD!diR}A-L>d1T zRHjbtOV9ew>@~)nqMR_UR0wxul@o?b(8zCJ+!a5&StpeMkeXeF*E1vN zKsw4pMaO@7)9Lp`vyy!hziTJD_j%+`mc6av4$9u!8E!YTqu2kpWE&7Ib=WTX<+7S- z@%+;JADcE-=U`nI!1CSu)DMh&HU2xQrxu-v{^veFwWhj;LYf_20+M~g!lNJF6MNaI zXpb*4^1Bx&c=PSVYA)ND{3St)bG;3l-PnQMSTAg`chszabsg9hODEsrH%Nzx-Jw6# zHAg3Z1Hck|EqB<3VVBn^IZRyS7%kZL$nYZzQ~Gd--nNte{@D#YxITYlyyC)&bEP~w z^1@3`jz85^T38HSM@9v7eYrJvZrHo#&N&L`ZvRDo_#g6{E8q9?+M;y3SW#Md#|M9W zm`e+*9aX8QD#}tSEUdZ_hv^YTK(Ogb=R+aE` zL~U^SAiea=J&8~1RCwEOLg=tq@_b$F*S1uR+`?97OnjM+{koPa8xbB;?k_IUo0mga znX$X+J>OoK?$Hm?d%3ftKWiXQs50Z1Ue3>W)UB{EN*t0D7B+Q8ONJ^nZI66}7rLNSFeKQkeAjPpZO|#KUrMRr%p;_WVsDZE5a_<~5X}roO)}W+lZc(dce^ z{pjRprjo*n%8BJ7#Wo%q>M(T$UNf>W;EtmbB$R`^>SBZv*wjX-QS0g{gjID6WsB`mv-81H zroA&CO&QEYFGfuD76*o+HufE*u7Py)TEtq5JpO_2g+K{EjZ`Dtzhm8V6M3aV=oltf znN@lNX7+05Ig5ogyYdHzi{~E96fYcH^Ki(mj&;?-Mn z4{drXKhfFGvsb=zVamZn6S;)PV^}O?r4kn*WGH5FhlQYf0g{< zP|W1N`jhI>uU*^FE>FDhqF(Tm#@g*d9dh;_7QW49Qm2AAUpb;fZuET#P!R zY}l;N$afw+ueodJ##@^5`%9T(OYz3`Qg2U7G1Sj)|7`Kb=J3_s+?6e)@{*ON6U*+NO zr}~9pV(!QvEt|VeO!s&-j8dwU>I(l=`5gPVi&u>_bRd*#%Qtm~+p3P1j!e3xH}sv8 z>FrFVJHo^;-j?Z#rnYtE+QZ-0Wvw0AOlveVH5mR3gkqsEFIsq@qdaS{Ct79ryZ?u$ z|3ND8Xa3Wq#{RhT+~nOl9lG;m;z*doWc1EGulo=nK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfWVtnU}frr r)Wm0h7-&j;Z0nAXe&n+EcD#4|69(3gBs)p<2-29I>4C z)vZy_XvE)!m!}(T-~skRwgIDe?%CN3!?QB%g@H2w2k>GR0=zeb7GM}&`X3m_msJr} zr%s&AlbNS(-ntpVuByz)FTRKqm2o07>%PN3_&dMbKt4;ao8~{I_rLqo@BZi~KmBq0 z`@i4*#;^a%x8DCr`#azNCqH=qPv84d`yapeqaVNj{Xc8J_G`a-|EphXzxC7i|McDV z>%aE(_Wn1%`n9ir_3QUv`?dBD-uuyi_WmEe*S_=JKl;b**V;e$TkU`H-uK$S`R@Ba zA;Lq>`(OUQFa2*oe(Qh#pZ`JA{A<{3{)%4T|LISD@YA1s=Z|SvKmO|9|Mqvj`|i8% z{peT!(Yx%s8(;gzcfaHgo}q{Q~}g1(&&IlZM9bT1B==EINLo86arui*2= z&l6YEczkbn#MOf9rUNDPd)f8hG;QMxY+C{`VjqRakvk&E3BywtDZxGpVqznK>(<*DJN&(&oOPUA-}hV)6{31 zs-BD{TZ8)%N}u?IM?7M{uqX1Fp82Wfx`x_+e>bP!;<0U?jpVio&EIXB&c8tizC^DM zfM3Ad^$N~tPnQ+1^AJ35oPU0n64Oy%d9C3L_1}Gaj=R+UpI?gj$lKlcokVGkNjx(;y2sj5Zl+z#_xvl*LAf0awcB6HTcp4 zpZgbRO1R!}80Ry3;fg=dtHCwj{jd1OX9o!Q|N2W`*k3R?+EEBd*%OElQp7G2L=*)b zO+*OyG176-IDjL9ei$kQ&=GkjJ9akw=*t5MV$g*?!-aT{v~Rs}+>W;R>h^Q*w7(Y} zxb)81WOG?}{+7pi?&ok`ylCj`e@OB5odriM2_=;i&t@r9d{*@Cnq zl{k(7wUE$uEQm&?4~Jug#V$rXQrH%Ve!hWZ6~Sm9Qrd@fX1-R)fHdZlLV6X?2WnK$ zn(nrr8;IaF2gnIQZbBhcQixLQDG6B5Mc{Fa+Awla6c*86G_TH`%YJv*YDX^+#N_HW zK5p7<%=)yLg;$8C(+TAeZs*is0?UC@q7e@e(|8PKvdhh;kZkyre@Bi@w-}xifhim@i=`DE7 zO82*)^d&GZtcK<8w!ius23_W8e-U{Z>I3WRH|%7oKl(SWU_HGW-0Zd3plQ9uPplnw z5_#hU-v3>IO3?qikq*Y}1KX!AQiP8n*f|wXemW>Xh#1ER@(>U}3L@VFPu@G)mSGeS z2!!lfdX+{FXe2G|NiOBr&l5SDx5^Y{bbm%eEnte>^Gz{Jm4@ky9slbv+CPfFJ;H|x8W0Gi@u`a#5k+xy$Xngb5za9lHQ8Y( z5N5og6aGa@BSuNyQhIkC1&q_{ZK{bkJmaFzyBmSu=LznJpalU#z!AAf5JHP66#4K- z_%UJ}Cg_KuLxelu_&)y1?j{KCY{O%6`IoOSLD0rMy?RalN*WNzFd`<|Tg2}HyYM;t zk$3L{1S9enA0fj-^7_c}A=}4)>~9Z;=x>`h=>&hW@|V#+deMgYdz$jPbPnOte1CkP zM5XmsU(WB-pZuU$RhjktiI@V|3TF&J6OrkoaLS1%6dq|pt0;b@daG5OJYv<^Z(5Hy z(u;)l*Du2Q$Vju5*hztF5ld0sWeK7QrN2G^kyI}iVt`gB!I5(bPyqg{fFKV6%dm9A zhqrK)`umt{e1;rL&tpIC5a4N*P&xa)RzLdtw>QJh|NrpcL}dE7O!M!^q3@mE{Rbnbw)cOd2R_la@LJOj5A6qZf;?UMcbl7$+3&-<{<+~b zryyU6fBxm}_Gh`F_09iZe*Wd3mzFY$6(ZG}6nk3EdyDuzU>8A0bJmn7O7HyLtLAq2 z`+wSZ{{L0;A<~?er(F9@(|zv7t7B)afA#z7@rx-~IPB6H82U}xNJ9EO-KL7RZ+cajganj&A$|NN4^zPV4Rp_a z^ZzejJZSR|W?vsh6`ARJpgk0*P1lN^-E`e$5lNMBB;uM#kvo1l&&Vh}mgMgj?K}0)or=NEw+EjsuDlA5F$iQyPnfSx~;0r2I%Tj>y%A3D7F4I{CHk5%m%^&Gm zrTN40`pq9vi1ag{>^3~agiulD?Q-d9!E z>KCifg(@sFNZbq?Gf6UF%|A(rDJ%mU$-su2KmL#D8jtT8R{$nrhq^o zO)KliC=0KgFENw)ZQeOqktSL{MqHe(Q8VC!xjU5c@X9L;J^B3RaV?l{j}wRwV(@gn zmaffm;_$HP+vAEfU-6{m^<%_^tWl#c?!qJO`8vAp^_U9e8Mb;M++)w*929^T5D0{A z^1ns9QwX*bxhG9e7;uOmf{P0WtvZYtLa77N#4@nu3=~wNnjWsZNq>1lOj5nWQ%+y2 zs$(wgkvS_ab~Xy2THq-IB2P;{0HM#58~rsQ#xY+o(NAL zA>a^CLgKO}2nQ zAZ$}GJt}KqUx0E?)3r!fe@2a@6tQ}mjwiQ zh&rZ?Dd+x%fLq2@WUXIY&IXI7w}$jxw60@m%nrQjEpy@!P`ni7(gH34{zD=zi~ zqEef(C#rqz{Xqv%4tEUIsdT6U0)Y_INK+Ep>_jJ>)DfG0 zv0mTHfB2Q@V@k1$kpl%n zuO&TmEwU**VG7u=N(Nd*w;h%5hZ^uc+%g;Su6WJeHz zw>UIRAP_=!^h#Z{&?Y2MM)HCncwXB=yQ7!KhG-IkJmkQkI8ANfBNB4hL4i!AQKtkP z7u)0!-6;gyv5>ccdyHU5xYqil#7%{Y_JsFq^_Mu(b>%#qN-SsF1XjNZpl|GKv*4rB?SA@q557f{tS> z7)AsEfr({c;~8)me^l&Im7rKv;pXog4i6Iugph$=r85SgiSYDszp(k^-o?Z+u4|r3Ns8UULo^>~E~WWDFCbtDh>}7K&@w`39kiMRC;@*K#i$eH zAz(3?mjQany^gH)YfC)Z5br+Ro~!Swr_5K0dgqVEze@8*G%|fGz4M=+%Z;D@^Al&5 zk1YcQT~iBLx^$**s}l-{qy0v__^&J;nL8?xri4_nCgqR%I3L0N_qT?QRy!vKM+&PDRAc_`mOXX@ifuB9{ z-E7N+hO0esisQb(^QYKBZtnv3T*Fo3v`7Le@tS-I$~H0`$Q+_Z0eB>i_VDVbk1542 zMsmXrmbn6wRRrlWsg{Aq@f@w%aHbLM2VYQ$Dy$$?ER_OzSFhj13_!qALtG7#IULyp z%^};Q%_F&!SSj~y@3){5)%c`v3kU>4Ob%>nv8S~PXd+zN*8G#yn8GqJF#`pas4)g8 zue|wp7{gYjt26M`9!B)%UY#*)m_-`1bn|3BP_rZO0s?^$lLK4gLJ&d=h$`0n)wGz> zGB6_p1(m3=1}Lw*`S(Af;Qp_}V6eBNm#0^QA9h-7sE>v}q5}-?|H)hjC~G`+A_DP2 z2Xq&Lh)6&b$+u_^5%o8%x{HV)4>@o&9r%ZBfQW@ckYRK3L^Y#>QP3)UB$$G9&>3wA7mDK0w8vhN_Vx1LP?nN~Dl~-_rPFb5J zb^Ka$T&IPXdlAjZFrtw%b=lf1j6Qts?qs2sP(UCMGJnxFO^1psSexa{E39ulY8-^F zfFKX)sWF2UJS$GaAkC~xP_nzHm&a)nUFGUg5Gob!)+GdaAN%P$WjBpwU_%)ws6;gz z4q8-V0f9i+MlbfHRsl~$rjLL_JPC;l2QAMK0XsSbM@%dO8_z&NC8`B zRuzo83LF(Ea0ad-xP`7Pa#x-&q{Jhj3@^EQ5Fcd6)m!q^K5>kxgQt%Yf@DGophW0P z#4j;kV%se-He1U;l7Xeo-yZuXDShgS{4?1Lk33wM-{>s^mVvPh?8X3>I^O@CUtRM2 z<)K`qst#vef)bNl2lK`AK>O7k3tXirTNr$$!LRi&VzmdZ3)B9{ z%&qx9vMPpetEaxu$Z6iy$6c7lc@l7*fZI*MlP1D^R zSEQM>xQnbY!`wG?!jG)H!qDUA-Hj~Na$i8e5D?@SUP+=j`jf2>o7U3~2tP&%$oett zLgLzW4P`qE=^2S-r16Cwr@VPgF8!m|;m+9;Fa$gW*V+OB{#*hSAe{ZkyAORoO@hY6 zGO*bUIE)-mFXY!GuGM3K19C0`cQ`UkAP_<+<2g``C*b@#l0fFU4~>Wy45LTH`l6uN*nz@HTmv&wLL#j3ZkvYr3Su~7jq&R9~8JLj) zKf|VqNmqp>B5~NuSIKDecLf9jAyfPmKLT=UDgG>FUdC+xjkW7L62A;uKesay3~%AP};1U@Mq%07(R8&80o?H#!c6 z!#;qRD}B1=8ZWU6ON`C-JOjIP#g_IDgCDLEm&-`N0aW5hnYmL4wz+yorX0)|syJv7 zbOFHt1S}?&fsJQC8nblsWIj+cB=7QJOh7Z3=9m>k#&rkreV ztJwTkdwz=?tSxm0AJ!U%Tg&m&@>@`e+JFO;*8H{9n9eeAEg6u;EZsbr57eOuynsL; z#N@!1xDbTU0-{R2=HG(f;|-0l#Rh)Q!mnW+z4{*oci1?Wjvy_RfO~8V69@!Q)rBD1 zQwX*rX~_>F^3Zm8!~L6R3ZrOYxaTN}yoaB@!66T$bm;AYAjz)B0X_1A$?=1XJ@x>f zKXio7^3vm!B)(^DZs8P$9zSW76NVp`dtr^N4ihb_()%EW{K6|~6i0`LEn4No-%#=q zbo0E!|HH!VQIitnAqT>FA6=jRdA5tJTAb}wMOJHhMe~ima7ya$lco`P0f9i8HseAN zLi=gzl{D`(jjYqM`%Kfw`Y}2|9>VM$%6NF?6^5RCezTE9RK7h-Yn<+LiX$vv-GmzzYZj!Zy*3^?jBE@I(Y2aEM!> zxNy*_!-ygK=^J1Z%fMzcP*90#Zn*9y{rE&oQoYett{#Qzm`i(P&WekjjRGk98+Mi3 zl$L=_WniRAP^_vrXsM|D2jf={;^SabI%5Ey2u~j&;1Ewj;=)0j6gwLor;Mg)fi$rU zY&ipZ+l@N2njWsZ(ozlwmb4J_wW>O1__2~%)4SlfM&<*R+LYVLK)0Qt4vci9N=BRi z_1^gpB*hL{2J#tb^M@{bWLKYlY4d-*fIuJ=ezoTRGP*z!7uNjipCf0C_~B|5S?kx9 zv%%tGa}DV`bzR4En!lqE@apfd3kU?lHifkccqEuQWcmm=R9Ng{BvS^A=gQVk|G9ZH zyGNISf=X0l=DM>xe>FW%S&36-6~WwXVi~XuteFA)(6fg4`c4BVxs%C7s)LpvCu2Ji zxta_(G)y26Lh3FAA+*_vPP(-tHi=Ou$U|W3@wQa1w-e0%_XGHL;i* zO{!&}#&fg_RAkxsmIlAK4{(;Rl5B62E?qz%5OO8e>u5*jkbs>e3Mdni>BHd=PeK7Z z34w!Fh2VgRWnk+WDCnAMinypAviTzrnLefzyBIl8AoN<&GuI-U!V{)|pCGnfJf`Ig zi$hqE!1ulb-iNzpk2`;}=6{|M+qVo{T?V$?{A)bFo&ET1>6x-L_@(yy_*Z)vk-aHh zn%7O)2aML5|E9ik8|?LGpsO>44LAS(k!_1Kq7h=RqZbw;h!;+QGLjuZ1m5D%Fo8e_ z+0iR?(L$S$KpDvkg5Y^=3+;|x9vh-b2=b5vhvGD~fsaVYVFv{=l}4Qsa9nJYM|7tU zY{x2P4g*f0Y5JH!kU4VS7^@AUFcDyj=$72 zKRoz6F89J3eT^D@{THT|)mrZ8WNJOBlZ8_ldi?Bm$AwycsiQLy^FW?Jd=P`~LJ$$j z(IIcOFODnHe7QFR=QS5J;x`f#jmVvEhASrf-3`B*br9|qkw3Jb-kSVpgw}{^Zb`f+O zW5F;Y2nbA;XP{k9F^_>;*gR{q+TXc2BqA#Is7g?*s&Mmn4u^*c1VYF_uhJO<&_sCp zxL?@(`+L`f-4vFAEoC4nc8AeN<*cPcn*WOe0)~Kyt2cJR2%&YFQ|JQT0Kbc3)Cuws zuxwp6-9I-ycscVOw(?an+WeaW0)ddLK(8vz|M)Myr%Wh3Qbb{58JLp+{NlmV@y0`Z z(i3lyR<;&x6m(6EU%K@30s@ABXiBYWjsuh+e8!b5nkhE}U@@7O0eZ;2j;!@-OFY^T z?>^j~tM96(94@59Den6D&i_H1Kc)_uKBg4A81YDxF-=iy{PdraD#JeR3~*zXZtf5- zS4qH*CITQUgxxdbQxk7LvcqG3c35SYx%K%f12rpv7Gb(&ZPECVZM zpj*p#TiEVb0+gt`(o*J*IiXg;o`{16K~E`mF_I|*EH%W{AeqB~DfJP^ zZmk%W-v=ybSZnMx{I)%bG-m1M$$X$X6LCe4YJU=C;dfSytho4IzUFmF~T{gfC-R$fVcey)+F zTx7Wy(df&n^ee*9DfTlu8}o>mBz62+BTKo+axbD88AdcxrjC|XdC@jahjNJ)PGRWr zb9X0e!Gzan9%wxsOIC+Tmu?}H?m~~^=#aNw+{6# zJrvPpGBh63ja(W>&6N&}Aego%A$TfGm~jyi=urT&pT1Le(^v*Jl!1X-GKRBKG;U4g9PDD zA=pkt=7xWcL&F3i55WdiN1fvAqT`7gcmSIJFj z85qsL=~d%y6kQR3M$1y?o=Y0D1230Wz>X9+cFx5?L2&=UO3gn6M8Gi?3?qiX*ko1) z{4A>qMqLGt3KZy0s|dPiWs%05FQmjHpbUS|=8xHlXQ=g>zfThKK#J~x{Fs}qWFT&d zTv`Fcmjc&v;h+S(fIuK@Q!wRZCpx(?2w>wF#f5`b9YzcR%w$#u`b5sMTxb*)+MBs$ zz%sBj0}pz)dm3z=yWLa9k}Jpv&pX^;N(kf`7a12ezQBIYKc$DwZ+r+HQX`w!+X>n} z2Xo$5dt!Y0#A#08m26I*KUJbEqVYwjNuU7q@D*gjhs)1dm!M=P)$2gZb4b8W5(Siz zVCs-322&oCyRwr{amEg97k4Mx7uUMg-x1>Nhg< z-VvOgN=G{qV*!Cc$gZVVY2<)L($XG};`sHj#se#H<%bRkOSG(tgY~l(PnT{QS$Tz_ z$IrKE9S7euJ-mQGAjF`%5QNYoid5dDQtj}Q8x1*%Q76blQtBz1FH8Yf#QOcyikghy zAhO|=S5j~O_P9_>ye`WR3H*vKhxL_L8sAJ=n(OpbkK&EVMma|CiZaP#j z7E!q`AYces@(Zsd>%W{i#qNhq>*)i8AEN}OF76^eK-SL`!;LTWIOWY_a_Jwv77#E5 zJO$U<0#W?Zq(lNjc`P5W!!9H)2Q8h5$LHh`WIuRdOe_PN&49zm^HtIpxR#t*KRyvr z09jOsQtU|-fF>gFdpcBF>|(?|5?WJO1~!<1f=bk~B6at?fPf(&N(wPR%Lt)$&}tH( z1pFCSvS_Bv2VgO=3~W3D4&xs#BsD|U{MEFW(lT&O8PMBqG#_ZgkuII-+Ytf#08zE( zKQd=II8&qOL&s4Q%fO5b_!%};Ou8y85sAZIzDiK6s&Mmn4u^*c1VYF_uhJO<&_sCp zxSw*R&qfkxLTifR47A0_%0T>j_6Q_f6PJz+U9_@rW0r32;2ixWmbuc-HC$@Pi zSAkxcVo#y~G!dCTtobLoc^HLz7&oaG?jY$A(^v*90}qn{`h$~og#O5-wj?blZ7Q0} z041&_M>?lFgRU9@kl6>I15zWKZh-%yhgR$a_-m&%#INBr z_1UGWhtah4-K52yRAS;3MJt6{Kp+sZb6`tc2tsH9Nd#prrJWReIv*qiRTRCSDohhe z!bH5m2Q#o6Ji3j81(m2JB0z~sEG=aeD}<{zt<|KQ_XcP04*LjtE&=RKECZGS%7ET> zqe@isBwf0IKp@2Az*aEj0HO*{ADKU6m$jOI0BT`{I%4W&N6T<8IZ;tbo0!Mvt8&3MfY;vFTtC(IyNCfrpVg?SPL6#0MQnvg-jC1k-lVCPKy@O29KN zh6!L7$xDxiQN;JG%`Kb~;rkt0<%Ho)0f9isIKS{p8b#vRzo4hxlzb32&%6C{FQO?R zNEl}+x<380OqUt8IIF5k!Kx^!zfVq z-Ie_KBtD2qsyF|jO(3dcDebugDyu0i0~^eM-ZcXFd* zvYdxWxwK!-B~XWB%JK}nD2LWXuJvon*(h)Zt|5JktLs?r zngLF@z!Qg#GuA9HTxAATA(VKm9L|Qlnfa1JaoDg`@_?aJ%zY)AN*- zIAvB5T<)1z1}p<>W&l6*tRcR>(*R2DWD~9+r(A-H4|1iu5QNZXCpziYj@aZ8*8~EX z_2Lhoi#|5k3A#lITVUKSu&rpitH?Ep>H_y%a~>bz&Yzz@mHc`oKFCh0cX-MPT3#@9 z$n-HXXQ>1l>2#f&Zc@hrbE8SM3_OzOXw}9ugDAjexDr)X>Cy!R0wE@;UPn7JhXm{- zQ9zl9Odk%1coGWODI);V#4=zRpbSW3&KHuJCx(|0h!0|t>P>3Z!4K00>}Vp>M_TL- z_)!9lbiS?+XTJG-%P`;6Ec*GH^{9NQylm`e;5-hw}uQ zfBz#&i_zgK0DB$1uz107DHZk6@Qxq?Z(%b`AP_=!^h#Z{&?Y2MM)HCnh^U2jM=y^J z(To!S9!p}A(#8g~M-DqEk*PH5lz@G(O&-ymLa-eRIc%W;p~psk=&)U(IR}z-) zt_k@5pLcYqfPf(&g3Uc8&fidgFMZQEjDZnC3rH&M?GZkUAf=q6*&+RF$gAp3qh(d} zg;P?WZ#B)Qqy@YwAP@+hGr9{wFm1nlOX~PbP4mNp&*o?JCFrZeh>w)uTobK|(t0|a zESz#~(lp(!k%d~m*fmY*(k;ZKyU?RZ9k(xzE7E+nr9dzbQ0s?^$Q=nIs=70Pb-+Vcc4g^j~tM96(94@59 zDH{JO%^y>TOdsiNkqje=ypCeyr+=L!8p~tIfZjEuLej#NCR=(Pk79*L^+qoADE1cd zd%!N>@dZjb0ZdJnWT4Of5`ww5IAu&M1D1gmGtjN&yRGkd?iHjou>t~tQ1}i*C}@1y ziH_Uc@#>p3(vZameC4IhA1Xhx!A`I%&rV(BYEPWvVO-$(Q|utOcY%AZf=WD(CXfQJ z;!9BW!8S4-$Q;55p#>xnM;g5P=_4(6xf|#C0MH8v{H!8Kmr1n@JdWpR)rK>NsGt&6 zS}EKD0)Y^d1DjgxX*mO$h?I6x?1cmgqbV!{Tg^a0C2FY&Q1;io1q2LX8<`Gd4q=4Q z0-}n87Vxy#llcJ93yZrjf0jO*psa-*h%@j=?j+)%9(b>y64i*La0>_oLQD>9YO$x~ z3}_9u%YBRy2!x=z3qdfgCul0)A{8P6JdUxD0Ctgd*o&M-L+>3$2H2qxWEdS3 z!vq2$q^DO&PZ}9$i^H6Z4m(K{P!3D9tct#HN=iVhoXA2g1q1>iCf$V~g!ZLR z-O`dGCo9tASBDWF8buA=+cik$aJ)IkXwc?UIx4H8=nJQ$_MdC|RagL*E_{9JHv!0s?`sjb5wKlmmz=GJOObj%JH80+rR2mVu3Bz+=1xm8hnL>+bae z0)Y^dRBuwN0e+Zvy!pSJO8_?}mI2E^QSVmMdHr!a>W!z_v;44EWlFrF>l}@Ul3ZfvX4#tFq*4)xi@A zDG}5h*Ij8ThXV^&@2uX9Xr#2G3lx1A2cMNcd}Y33x~>>$K+8Z$2Ba}Npt-aHcBH_u zb1n`FzzYZj!Zv!XCgluxA~Jmh9O4+og@cxdfo+r88R&Ceo3w;4lzvIIHedHOAOg4G_E zulB?#J~!$=e~S5Ydp>tyzCOS-D)yw15^`LAPPqgPV1N%2sa}V@s8*9GfQSTBhfE(1 zhb9uhWVsxMvYcot14e6N8K{?mq}T(vqe@hraov?Xz!D$CB-IbM^PP!h zU|9zAt{D}Q>VWIcn!lPJQ(6YDAOit0M#Y}Y2kI!S`KxK!oDyAN4O#7h>l%Z-oX@1# z1AImEf$H3vznT_PS_ZBt0|Bu{#h%Ou>S&%!^T#hqJ9>Ex6TfG**uW1vvYrSOk-TvN zPuCV{fsF{*2M*{i7~vxbc231ppdA!|>n=Qwh!5-x%gE4sN9PP3Da1tqfk4QvrB_Mh z$X_BYX*g5IuZJ}rc!^6T03woTSrrHCXDyyC-88cDN`&us-ll~heAD#s0s?`MvF<_; zLW?L;d6P=D!%uEB z^`r*;W0XMRVvHc+9BzCe!5>C)?XT&Y8IQ2 zkhY2DffV@ltfFv3no5Z?a1}viRuua2prjJdJ)-fi()$5($eiVXyNtA#p&e(f zeEN?&G{h6nfW!C}H2o_iy5jAbX8bpkhC*wMobDSF=4>Prd)6q5D0|q9M}qL70^g9 z-Qd-q`uylkGy}!XMgoawC+_ql6K$%%LlqVyIb>jGnJB45ElUB)D{ubVxHh8eHZsoq zFt79v9;$_n4t%myn{@M}Gpi~5Inp{DktHnOqiI@z zmPM*2B z7Z3=9m>k#&rkreVtJVA|4C{dSG8Rc#WWB)HEwD9H$v{CR3if0pVB4DiNk(kbGO#oQ zyQKtE?WHkGH^+SN%k&DM>I)nj=OS>OAi8=G2$47t6-+sRs8X@{gWux~R{ zt#tJ2e-u=|kB%TM5P*AZ3=;?hP}PMX+EWO&BWcMGBJ$97c*75uqA84`g&|9U4MXJ< z4tW@*LvIh(Np?LB=usR@jvr*~u?P74p(Avbmma4i@jYvE3#Tyj_(`jrF#NdO3u|O` zm~`b8VklUfMIc9qhb>y=#NSZz5p?ss!&A50qh@3n(MS)3^FF#h{qt-WS+zLZtBS1F zf@M|og;P?0pX^s*>EQ(g0%_We3qeFtq>kTdnxE0h+rxLCX&PBSMkmNan7Tt553jt! z(38(^Hduwl)4A7tdz?Ug5JPV>yf%wKP8=RKecQZf>1%?|uXs|btHTIEn}v|+UOX`! zf529|5<{a;hdsax2n515dTW$^%25EG$USL#!hl2E3dMzkmI(rObO?@YTHK~bzxl!Y zeFh3DQB4&W)ubPvh)Jq9DfR$AOxu?;6p3(YkIY#k^Fcyu3d_LuGB8plC{|S*v{Z@y zgYl~e@o}&zoiPAUgr|=Xa44EB3MLeRB)q1u3~VR^em~aDHvjCzwvlt7`a^_`F$6FZ%fO5bv@>j~ znCz;sL?i{37~y$`>+bae0)ddRRIglx=WCUHMV}0RSwN77M3lBODFgJ|(>hY~*A_p2 z2si`RkiLJ_bsV=uF0BA3T;PdAM;g2yy!m75km(~J0*i{KpviR~)+Kgr=9jbspAVj3Q5QNZXCpziYj@U{baq%!BAF%a!TPoMv31&a{ z?w00ku6;Y#u&&*%E?qg>1@5`#T!NyYxTq#wf|8w7@9>lpw4`9_km+M&&gu#BJ~aAL zoht>_#$j$Wsg{A7&(W#{^-x6n!3Vg@S4pUFdub4b8W5(Sir$n@cG zh$o?dorJ(at3q(V#4@n;49sc%$Qc*aLpFc-mFZ(jv5S!d1wyYSJ##IxDLi2c*sw|l zT1B@VmG6fd@IKr%d))b(HUIOB*uG`p>N2q9=D*hS+edMd)EWG04-H*AhfP zpw|4ag`x7<-8Hh5OH)9=5D+}?iq~clf3nfuHnNn9zo9I?^vzKttHTud z5Xw0lJEVUNc~#wMw5*E0DoX0}t)}^uw177S1OlNsLw6wvrtOz+NgaQwX?}R{d0g&= zHToJg`uZ!%LcFCyq_eU01j?_xLI+0>5edQ1q;~) z({z{zhS#XkA;Rmh#m}$g=Ju48Hie9QY9gm4Qu|n%$WWvGO)Xf zC@&qPgM$UlzbPOP2)PRMs?z+A|KeMpvxcWNL}6kXn3I9kt{U&=L_2IVTqPlAEY1IU z0RclmHl0{}ge=@9M#l}zn;yfAf(Pw}gvvhNZkfZrP8-u`0uj5gy5UJkCg&xJ; zB7P6p1w4*XC&>E%fyulK^x2y0v__^&J;nL8?xri4_nCgqR%I z@=Zx-vlAV+x#QJ0Yos9;_&^j@fT$vKgH$qWrpyN_wJ9wF8_PgJC2AQ7P@?WiOPM?7gjxlAA`Th^ zJ*C*iNTv+1)DTyLWDW9i3>pp zEg-7!^zlgU{M8suX&JbV3=~wNHjn`2l{fzmW7w*6bq0>W5@li;xM&6nDpB(vpuFXoiO&Q6ThX^7b*wUP+@!9lzGdQZBOGi)d1U{Q56U9WAT!qHUTE45Y;#RSAk!6$dRUv4B7zY?HVUggb>`I}w>a z0uJHoTQvD%Pa!Ziu?%cH0|k|+W{B(V^#THc5K~%++|2|0Fl|2{K#>T;Urs4@HVUAF z4-?CPWuT;Yt66f;TJu+PV@k`wm1IB~bJTXD#;T5l;U$j_#0N1|YyL~`{MAvJ(lRib z0ebYdj^LSGUD5Bk1Dr^KYaShx)cpMi$#X&}b|w%X2bMC$lpuowrilDG6OTJbeJfV;hLCta9m6mciu%v~UuhqmcpbS4& zGHZGl9G6Tv4lD@-%DLj_8ZNc#mJ*n~WgyDHNR?zKItLaMRRMuO*rs60$xd`KeFU%- z7P}b9Jq?UaW@VuNI%iofGztst&D=6z8CaTu-BN0uB!o2!xPQy^eO$J5r&=tdt0*4w*h24)Y`wuu~WXd@K_9Sw(o>TE+R3 zbJ{(*5ltA-VelJn{u7dAP|Ltm%s{}PhP$RZZ0XVk1Og$ZMa>_PJc8zrFjx@IDxzK; ztrE$#I(;N}5-X)|f8%S-f2H)BTg$-e83>4Aqs@P}diGZgpwi&idKj_V1J@P5vJ1Gj z=6`{t8l`36Dl!ld-322& zoCyRwr{amEg97k4Mx7uUMg%!<99L@*r-==GMbgopz(;a-=Coi7Ttv)gcebx@+OsP zw+}jwQ74Fo5kXSwDVi@#0awI&RAg0=)mri^ucZF`?Qx-&0s?_FzL~N%OX|40Ij%@E zYjGD@V}`k-WmWW5Q5bssyt|RLVD1YD7y<&_1tWxZ*B!l`Y<<|Yo^}EM81ul?#a(2L znVyqaMjBt}amt&=4p57#I<@Xa6ryQ;0{NI2?RpOK(CT1r!R0|&qd~jdpaU9I=~8}SnY=@#Asp}ctjaU zirpc&sF1X1rS6^=5HJKpQoWH2MhLCboI)4y2KciAf;is7|}>^)Wk9{BLjYhO%;=_3QI)d zu$Qlr(dO?82n0f|0=-IS3_ug%>Eqt+{FB@~jG}!QH>nr=HueZ4TN9U#4gIUKL@kj^ zE1nO|J)-fi()%F%#4#2OBZ7csuC#Lvm)bp&(|_qO%>L12z#)RxtzOz z186WKoztB{usz(A!YKsXi8yEwv|N3QMjpi%_y9|dZ1&DU_RzAp6U_hPIsCQL8sgV* zn)>Wg)x&7o`fhL*jTBPi6jzdRHCaF)5VCV%E0}TsNd#rhr9JRBIu3@zK7g1jeY)lv zFR=?tjLr5u1G{s@mi7;WAFdLY%SgZhRN_dPxl;(Xxq3&Y9LyN1IA{@c0l@$SEGCwL zjb}g_vvl)hK2S3x@B#vX5R(I2;zAHY3y3N_eXQ5~qpqSYCRZlEn9{JzLyGRz+dx@sn0LVfb;m7uLw?FhU?ch#|l5N*cw{;bDtbIq^4? zd=NIzyP`E}(kKwepE*AP`8??rlCL z#@%O{MqCI&XaPy(ou-j>S_%mA5T@=>#=|SGF!bc}n+;ZB@eO?&-ySCrAH>kx6t2y3 z;_$HP+eX%D`HCl{x;jjOkDjliuh3&Eh+0l`*L&Xsl{W_+I#dCHK-ea6AqaO0!FD2u zsD}p};#Q~=3_$kNHz-Uj1Dnl2K_#lW;kujj;}bDS^$t%teL1U+G36lWkvVH*K2WJm zX&Km721cr6wE6oF#;+d42U5$`8?eK3h#(xa48e9HJbi?KL&F3Ekfv#2Z(^aAQ3|A2%H~ulmmaI2PNo3{1#CI{{l2x~t08lPzujuNM#q zggo-4d&!NvI$FLlcv%nY~3{%U%jvJ$7vDuQb{6U%^Q zV9gBRhn_XW*LNB~$(<~|Jmh;!)#NlqoX7MUO0kQP10_OVB5sNC5?g#DCe<=f<2l*|Dza>ROM~Cr2RIw9L^T|l8wv;nLQH8P z=H~;|vA`V;^CT3oGdzq40=S7~VCxx>#+)xCHAM`6$mWkgWcrv=>|*3Vv9$SvgQaKY zornAk59uuLtIHp{?2&dc{c?uIA*@K?d*1=?!(Fq-oxfT0KhKEmTL!K!16ywXHJ;zj zetfp{Oj#QIQu}@Ut38ZZ?Sbpk@9k092Sj7d|51G>7R=RTz^@f)SI6;&n}7evwnZAz z2(j1E3yT-T3#ULC$&MfbZ*gdtKp=$d=#{!?p-o7jjN}DD@VvH#c1JId4bdb7dB}l7 zahlq|ME?YVhrCp`INMP zAD4S!&A`$vG-v28^e9rtUuv2k9(*2`dtpt#=uANrfUwAFE%}vK7<&Bdc8$P)sY4;& zQXx`Jx1K=xl~?HC2qGdO_?fgXjw{l9zHOQg^T6;LH9Dl{?daWMi=SZ!>?9Y$P$n|# z$0uS5U`kv#Xp<L(wDzc?eQxVj0+a1_~-sOOe!FX(^);AyaB~ZxO!- z>>}uNw#XNI06rQi6ei0v&@QK#$FQ4cW>!%K9QKC0W`yS*ZvM_eGfW^5LI!%pg_xfY z08ND9_fv|UjU-T_#l5SEWnj}8h+1M&B_Wp^Y5p$?2p9q~-323r*5w=r902^TaLVC% zDxPz|VzS{3*u?|Be22H;LINTYDJq9U!vq2$WT01Ei23;d&_o2jwD~8@rmzf@WZ?9w zu`4mCg}FN+YEQNoZ0c<{Drb#dy7cn`0)~J{syA}M2%&YFQ|JQT0Do3MkcWW9WL^g7 zA@@46)~_w`XhXdFaC@%4tDZ7nB`DTJ(D+wr{)k4VkM)}W#!vs`d@#k;W#I8L06b?E)29Hom37@9hgJQ4L25w}3z(#N@!H7JFLGfF{DNetGjxs7+xR z*jNS%DpAWwfU>{tEg)bB+sJeva|k1Z77$e&w1B6xg>-hFAqF5|sUfZg$sCSsg65FT zx7p1%U1qubK43Y+vJtFn-S+MaDp3tn3b%kjAjIUrrWSiztAHlLrESeWNsTEi0~0e) zP>C92fbzI)#T1>f02HI7;lG9xs#|4$BISNo-dGqfd+2a1M!(gz7oO*gS_+h8T zhWd2%BRatF<8m*o@vw^s#0MSFT?ir~0Z}C1qCG^^-?Zv3B7!`G|EXUG{$U#+VxbUZ z7#$7`69|Nmo?e;cBqV@F;%QGZ)#4B*qr*-@&_b(1Z~zj(_q-cgd8NliZ?wwEJvr;w zSt%e82$4)#nYu`px;K8Ou*eKeF;lYWcZF zmU5BhUPROW92ux9uiymz8J&%JL`;%8eyx$ETyz&Pff_{*Vd`jEl^1Q(bSRf-;S`1* zKX-SsluLMx=7HAJvHapL;)77S3qeFAM~A%i;@0Eb1^h3g76&OldwCW|vrP>HG!E~?iH2n0e*QoTvB z2l!#yzMP>*giHHn0YM%@v~&?=Vj0+U1`4{SnjZ%(D)Ga@>v&WmgsV4TCo1-&R)I)F zrjLJ)L&F3i55Wgg|@{lT>d~>;Zn5cD(s7z4M1R6U)H# z44hsy?xvg1w?Lz1sdLY@wE6oFR%-qsAQ91QQ81whgtKU$lQZBaTU0XWD)B6&K?7Sw z&_yeYH0FFEB_07~_=7fo+&A#O!+Oo%Ckc5VMfX5{%*|FZu(bKxWB;w3DKgIdZJ9tW zvk@*sYpj+5%Rq4k9`tVaG^#pxyQhpLSCA2&cV=CJl5xScwm{g|>8EsSx%w831CHYg z*x?KG6oR8QvU$CophXI1$!brGPoFr=ioA}|>GP*LjAbmo3^oN70M1v5dasQ;f4urr zKhVYqfj|hE7NQh;5(Ste0aV})hYE{bjMxWkXA4MH5scQPRtCCSMAyi37`!|P&KHt8 zI);~AJ%|rtN(ymcKF}NsymZQuc}k+NBGM9Tx(#L^V9eYSrL-KhQsCG*7b&WMBf|s& zA*Aj?5JHPuRYj%`hr=9Wz)oQl@KH?=&MJ~k&??bfqti!nC$UoM_BXy!OO(=z3Q3KR z>&}|LnjTYH2Cg6j0Wn6!p3Dd8D5Ogl5D0{r9#iw*sUzEnveMw!x{vD5qm6u37aV3% z>;cZA`9Sq<&0kH6DJ=t6l!1U)qhe3y19db{p!xfLV7UK7BkcF^dsa)Y20!fJ-l(Hj zDsP;?`+qVwLcl)2q`P2*k097N6;FOTC;*RR)CrM zUjz}Y|B^cHZjLL`$Z{_uYt(#7G%19+qh(d}RZ$pv{JgvAP{DxT7Z5N61iA}G2<@&r zdOO+ruxUN*0{$^dVCv#7vc^o$Nh~9cFZ4L&&0}&wpDZEZ<_dVyTLZL=5LySVCIL#o zXFu}pee9=6(3n^THk$#5k@IbAz)n($P$nWz$Q=$169|NmfnKQ#2W>(EWg;?t+|!|W zwnzx_kc8G0mVpgsASrf-Bt(UzWku@lc>w`KKqS>0xnP9QI?X9`0dIgmDeSlF^>}(_>O=wL~ zoPo9&SsA#i%r}!RTN5++ip_9JSC-)_37R)F{#BYkqUj^*^DsPTIl@Y@i;+wjI67C_ zxrR&a9?9vybQos;Xfogs$#5a5vD%%#nwBjn-CBdh+-+hRuner30sN`RDnftcQd@=_ zpUb%mI571Rlx^e+G`S-c+U!KRU9@kPENpYh<%`PSHHLWD`^x$^1W_!(ThC zA$|>~sn0G|J&dNU@1~#<6Q?LzDck}Afsma8TfvkANFpd}F6~JRMzE1g842|SMNcvT zUkw_nF&PnMV7KKg90p%diCUHdlqjmwQbuQnB!%dpRinUxL>x4LOC^hDYV!w^iDh8J z8IZ;--8`v8H9G(UZ6ZAm-uilNn`VlM| z!2ZU!pb|AN0ZMEBT53#Z8Mu}V=xsN;idKgrUAllkAjIUrRxsscdt1fkzuNO#pO)W(O4J4%ptR<%rN(rYfosWtG-m1M$$X#=Mc@Sl0wE>`w#0=XgccB0 z>NWos{2p&;ge^Akdlr5T>*$4b1oTJ49YI%pu?jw6v!-aQn6&mTHMXL;#yN)q3*Hn(sJ zLyw=d$_c}d%e}BhR)9bm3NxvXLR!R zgarh72vc_`iad_DDZ6oWne8rPeT^**t z$DWUd?&)>>0bA`#d+gb}!#>~z1Oj23=*Id!O9FTz0uMOEtx#MzXw_lFkp1)xu!&`0 zvl%F;L^U^Dcawg6A||Qc=qgu_LUqigJu+v-#m+_nl>H66%56%^z@{=VQY9!>RUEWb zRQ`kUs|WFMuqmA}08fOcj}UN(Cn0g+piPRMjgC_WU?!G`3kU>4@ly^q-qIvwL(ID5yj=X0AKC^HgVA$0lnZt2`doH;bBlFslj@CJ=uw6blXw+ey9QO!(Fq-oxfT0KhKEmTL!K! z16ywXYdybx6emfY!LRl(B70N%D3W_EK?DS9&Hq}yE{oGLP%{G?ZvOp`C@qqSMu@$R zURb=~xRi?eXn02ufw!<3CJ+cAJ9?!qT4)mzC?k165Jc2MyQ7!KhG-IkJmkQkI8ANf zBNB4hL4i!AQKtkP7u)0!-6;gyv5>Rk0s@AB z;CY9?*}SC(Sd@g9zG?Dnvj84TdwYZz5DY+K-idoVq<;;0Ro!W{tct!WO6v2irume# zfXC~y=mg?}=8O>p)B10uUP<$%rs1_vz;0}j|2?RpOK(CQ&ZGixqh;-|FI^ zQg@}LjADgMsnxwj{2s82pyL<|h7mzPU}72Acm^EC9~FC4B`8)^xcNJW!@~puA!MLe z>5KtrB0PQEFKqt(y=%g53d_KjGLRIz!|0=O*3x0kU(1T=t|kL?qi)hT`Kq1Xe45Cy7@$#i8IpoiS+$XdU)#G?)I?!)c5`mTD);X+EBqVccN{L$v6 z)#p2VK*Uk8ixH0$h}p97(|>l}%<$1=V7N*`E?#N=BW2bTun&+Wg%}}()&Z+YfD(l1 zt-_S~00buUGSFv#p6N0x%-trI0n5OO8R*vX-PU(p&@oh}(!>e~1VT&>Y0?TI+V3{i*Sw)a8lWG}w9M93J z4QCqBe((jAsM1Q|77z%8m>k&DVo%E%&_uY8FK_+{wJ9tE8_PgJC2AQ7Q1;io1q2LX z8<`Gd4q=4Q0-}n87Vvbokj~CC!~g^=HN@2*nZuDy&>XT&+B}jwiIsBS_I?X0QH@Uu zw}3z(#N@!H7JFK&fF{DFZOuPPjVUYx6Ejdyi5g>o^2(ckhcRqbx;g`2?O{ZJ?$sH? zhFPRBOE*vE12sDWFCY*IF*&d$E(9U8fT&{4UrmcCEdw($P*8~)Yk=~~n}7c!3hw_p z3G4k$j8x5K({As=J5? z@{j{Z(}92328dWF1Q|w$L&F3DA*82QCOHWSppkgmlT5WZ#L4KelMuAfst_E2$Z9S5 zl~?w_(|20sMBoJk0wIopg;$<;&5`z{KXu64uTEB^ameDc_C%8qX3MSK zTuyPu@G0$&th{n&LA#%8`c+tdhBK>xfFU?RVWTg+l17m_eyx$ETx7Wy(WC_V^cJWiYFDp!vpa>zT}txE{BRuzo83LF(E za0ad-xP`7Pa#x-&q{Jhj3@^EQ5Fcd6)m!q^K5>kxgQt%Yf@DGophW0P#4j;kV%se- zHe1U;l7Xeo-yZuXDShgS{4?1Lk33wM-{>s^mVvPh?8X3>I^O@CUtRM2<)K`qst#ve zf)bNl2lK`AK>O7k3tX0 zS8uz&@wMiE^tV!d4_u$bmoI~`<}azR4a>mB zG7vD{Z8m?8q4)pd4UN!a2ft^v*uW1vxHszPmC73@@Dc+2TE)#)cfkk`X9B^_sdysk zpa49MQ74Fo5kdH$`i%^|cLZmr($S8@SU?~UvTNy88abemw6w>gIDS2>@xV%4`Jn^C zBCEB$^iAVn{j9~)rJLq-Z5Bo!KHsKQ8W>(cAP_RwT?j&G5k)F*QmJxQnbY!`#ub zD*CD@3_X6{-N;%n_XPwD0fFv<5kkA`j^0kTK5SY~yMTX;5}3NUi>xuza}vu);|o1b zdGnZD`bV$BowFxk2zUyvwFLtFxdbRcIQx-zANqcp1dWMhV6z!;7&)F^$gfFUtH%Nd zCYFK6k%1OrB*pHajS2~h zbCJ4xUO>PQkVy4LE*K%S?%W~o2W$ZTtbia70gH)cVB;BZ7=OM>P^_w0^H8h|qBo2G| zDj9A5u7E%wDbV}DofN7xwPW> z;M^m+=PJ!VP$YQu(?=X*!7w5SSmsJQ*Kn!bBRTz-4#VspO$HnySJiZt4~?R*p|Vj zpl}wA6jDNmD@nPUEFcgF**UNkOgVrgg0kk)9{3v_2g6|>K+KgsU2~0>*o7s=W@{Np zGca5wE;ZO|0zOcQBW31JA=u{X9hq{ny-f%U2nHYs#l$kO@eD{~mTsQR2Wo}{UO*ra zVscQJOh7Z3=9m>k#&rkreVdt}WY!`ZNewZ`t&a{RRX7F41(-~gpHe=RkpvkY8I z2Ba}dH&5mRbtnQaAP@*KIj|)z1R=D5s8YH4dpNdsWFV?s8n4C2{yk)4ZbTsb6QM zfIuKkySMq27zBcbZ1lX(=GcLzucl84s_#!qAh?Z#Gzk#W(bAe0!Wg zd=Nu#Q@A$EiNnLDZyQ;sgq5BK7`t1Du`N6bk}Op)UwOqXcJ3NO7!a>UrY$w9gM+i7HOfUd}v5941;~B8# zzwr~mhQHwq=xsM3BivZk>^Nxc&R@-qDW7x(+OmD-d=8Nd%$tH@fvwww(XXW$yrcj~&1=QMvuA>j4k%^y>TOdkOe@C8c2 zFk%Rd=gQVk|G9ZHyGNG+Y0UXTQe$SgJ@!}A^OTi1WmXYf%b7f;40QBo535LvpBqdp z1C{~HfMvikU>SJu3|RAj@RXZF%YbFzQDnfc^(cC^Y4=g2y;cyuY4J~IZs>!a=H7Jp zr%ty`hksFY>ofn<3BCpHn}3!g`22xDe87;UcY&Q9x$k8k1#Rx5*ejEFz&*5G^M@QG zG;P!T;=nKt5E&^LR*`Rz*B|_#`N1mAQ>Q22^m&R3zJ?ZG13mlLpDJerEgi#2MIVQ= z3GNBq+}$;I{RspG&bMCpd^?;jdu8&zKRrp^(zhL*upQ2e{pr!>PDu_Fw7<}N^Kj-h z&HwNQaY3oe%fD(?`>+4QZhGX0|Hi|(fB9GVkA5E7Z>aD6k4Hz$9^Aj5yU^1?e{lYP z(|?YR_8XUf@BhS|9=PH^xIX-M8lEUmHr&bf(W*>&v_185x?S*foad8G=-bI1+Uqlb qX(z(~Rfc~gszc1DNjTjuOYGDyVg!F0KyLd&fpwVM_Vd?k@BRP0iPPl( literal 0 HcmV?d00001 diff --git a/YL_adder/output_files/YL_adder.sta.rpt b/YL_adder/output_files/YL_adder.sta.rpt new file mode 100644 index 0000000..9c97a88 --- /dev/null +++ b/YL_adder/output_files/YL_adder.sta.rpt @@ -0,0 +1,667 @@ +TimeQuest Timing Analyzer report for YL_adder +Mon May 04 17:05:44 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow Model Fmax Summary + 6. Slow Model Setup Summary + 7. Slow Model Hold Summary + 8. Slow Model Recovery Summary + 9. Slow Model Removal Summary + 10. Slow Model Minimum Pulse Width Summary + 11. Slow Model Minimum Pulse Width: 'clk' + 12. Setup Times + 13. Hold Times + 14. Clock to Output Times + 15. Minimum Clock to Output Times + 16. Propagation Delay + 17. Minimum Propagation Delay + 18. Fast Model Setup Summary + 19. Fast Model Hold Summary + 20. Fast Model Recovery Summary + 21. Fast Model Removal Summary + 22. Fast Model Minimum Pulse Width Summary + 23. Fast Model Minimum Pulse Width: 'clk' + 24. Setup Times + 25. Hold Times + 26. Clock to Output Times + 27. Minimum Clock to Output Times + 28. Propagation Delay + 29. Minimum Propagation Delay + 30. Multicorner Timing Analysis Summary + 31. Setup Times + 32. Hold Times + 33. Clock to Output Times + 34. Minimum Clock to Output Times + 35. Progagation Delay + 36. Minimum Progagation Delay + 37. Clock Transfers + 38. Report TCCS + 39. Report RSKM + 40. Unconstrained Paths + 41. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++--------------------+-------------------------------------------------------------------+ +; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; +; Revision Name ; YL_adder ; +; Device Family ; Cyclone II ; +; Device Name ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Unavailable ; ++--------------------+-------------------------------------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+ +; clk ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { clk } ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+ + + +--------------------------- +; Slow Model Fmax Summary ; +--------------------------- +No paths to report. + + +---------------------------- +; Slow Model Setup Summary ; +---------------------------- +No paths to report. + + +--------------------------- +; Slow Model Hold Summary ; +--------------------------- +No paths to report. + + +------------------------------- +; Slow Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Slow Model Removal Summary ; +------------------------------ +No paths to report. + + ++----------------------------------------+ +; Slow Model Minimum Pulse Width Summary ; ++-------+--------+-----------------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+-----------------------+ +; clk ; -1.631 ; -6.519 ; ++-------+--------+-----------------------+ + + ++-------------------------------------------------------------------------------------------------------+ +; Slow Model Minimum Pulse Width: 'clk' ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ +; -1.631 ; 1.000 ; 2.631 ; Port Rate ; clk ; Rise ; clk ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clk ; Rise ; 74171:inst1|1 ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|1 ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clk ; Rise ; 74171:inst1|15 ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|15 ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clk ; Rise ; 74171:inst1|16 ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|16 ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clk ; Rise ; 74171:inst1|8 ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|8 ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|15|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|15|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|16|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|16|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|1|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|1|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|8|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|8|clk ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; INPUT_A1 ; clk ; 5.170 ; 5.170 ; Rise ; clk ; +; INPUT_A2 ; clk ; 1.373 ; 1.373 ; Rise ; clk ; +; INPUT_A3 ; clk ; 4.766 ; 4.766 ; Rise ; clk ; +; INPUT_A4 ; clk ; 4.003 ; 4.003 ; Rise ; clk ; +; INPUT_B1 ; clk ; 5.417 ; 5.417 ; Rise ; clk ; +; INPUT_B2 ; clk ; 5.112 ; 5.112 ; Rise ; clk ; +; INPUT_B3 ; clk ; 5.146 ; 5.146 ; Rise ; clk ; +; INPUT_B4 ; clk ; 1.836 ; 1.836 ; Rise ; clk ; +; isAdd ; clk ; 5.419 ; 5.419 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; INPUT_A1 ; clk ; -3.325 ; -3.325 ; Rise ; clk ; +; INPUT_A2 ; clk ; -0.618 ; -0.618 ; Rise ; clk ; +; INPUT_A3 ; clk ; -4.109 ; -4.109 ; Rise ; clk ; +; INPUT_A4 ; clk ; -3.755 ; -3.755 ; Rise ; clk ; +; INPUT_B1 ; clk ; -3.227 ; -3.227 ; Rise ; clk ; +; INPUT_B2 ; clk ; -3.581 ; -3.581 ; Rise ; clk ; +; INPUT_B3 ; clk ; -4.552 ; -4.552 ; Rise ; clk ; +; INPUT_B4 ; clk ; -1.588 ; -1.588 ; Rise ; clk ; +; isAdd ; clk ; -3.569 ; -3.569 ; Rise ; clk ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 8.898 ; 8.898 ; Rise ; clk ; +; OUTPUT_B ; clk ; 8.691 ; 8.691 ; Rise ; clk ; +; OUTPUT_C ; clk ; 8.634 ; 8.634 ; Rise ; clk ; +; OUTPUT_D ; clk ; 8.553 ; 8.553 ; Rise ; clk ; +; OUTPUT_E ; clk ; 8.341 ; 8.341 ; Rise ; clk ; +; OUTPUT_F ; clk ; 8.895 ; 8.895 ; Rise ; clk ; +; OUTPUT_G ; clk ; 8.903 ; 8.903 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 7.197 ; 7.197 ; Rise ; clk ; +; i[*] ; clk ; 9.209 ; 9.209 ; Rise ; clk ; +; i[0] ; clk ; 7.171 ; 7.171 ; Rise ; clk ; +; i[1] ; clk ; 9.209 ; 9.209 ; Rise ; clk ; +; i[2] ; clk ; 8.631 ; 8.631 ; Rise ; clk ; +; i[3] ; clk ; 8.629 ; 8.629 ; Rise ; clk ; +; pin_name1 ; clk ; 6.896 ; 6.896 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 8.265 ; 8.265 ; Rise ; clk ; +; OUTPUT_B ; clk ; 8.025 ; 8.025 ; Rise ; clk ; +; OUTPUT_C ; clk ; 8.009 ; 8.009 ; Rise ; clk ; +; OUTPUT_D ; clk ; 8.229 ; 8.229 ; Rise ; clk ; +; OUTPUT_E ; clk ; 7.979 ; 7.979 ; Rise ; clk ; +; OUTPUT_F ; clk ; 8.232 ; 8.232 ; Rise ; clk ; +; OUTPUT_G ; clk ; 8.237 ; 8.237 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 7.197 ; 7.197 ; Rise ; clk ; +; i[*] ; clk ; 7.171 ; 7.171 ; Rise ; clk ; +; i[0] ; clk ; 7.171 ; 7.171 ; Rise ; clk ; +; i[1] ; clk ; 8.552 ; 8.552 ; Rise ; clk ; +; i[2] ; clk ; 7.966 ; 7.966 ; Rise ; clk ; +; i[3] ; clk ; 7.967 ; 7.967 ; Rise ; clk ; +; pin_name1 ; clk ; 6.896 ; 6.896 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++--------------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A1 ; overflow ; 11.949 ; 11.949 ; 11.949 ; 11.949 ; +; INPUT_A2 ; overflow ; 8.152 ; 8.152 ; 8.152 ; 8.152 ; +; INPUT_A3 ; overflow ; 11.545 ; 11.545 ; 11.545 ; 11.545 ; +; INPUT_A4 ; overflow ; 10.775 ; 10.775 ; 10.775 ; 10.775 ; +; INPUT_B1 ; overflow ; 12.196 ; 12.196 ; 12.196 ; 12.196 ; +; INPUT_B2 ; overflow ; 11.891 ; 11.891 ; 11.891 ; 11.891 ; +; INPUT_B3 ; overflow ; 11.925 ; 11.925 ; 11.925 ; 11.925 ; +; INPUT_B4 ; overflow ; 8.607 ; 8.607 ; 8.607 ; 8.607 ; +; isAdd ; overflow ; 12.198 ; 12.198 ; 12.198 ; 12.198 ; ++------------+-------------+--------+--------+--------+--------+ + + ++--------------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A1 ; overflow ; 11.949 ; 11.949 ; 11.949 ; 11.949 ; +; INPUT_A2 ; overflow ; 8.152 ; 8.152 ; 8.152 ; 8.152 ; +; INPUT_A3 ; overflow ; 11.128 ; 11.128 ; 11.128 ; 11.128 ; +; INPUT_A4 ; overflow ; 10.775 ; 10.775 ; 10.775 ; 10.775 ; +; INPUT_B1 ; overflow ; 12.196 ; 12.196 ; 12.196 ; 12.196 ; +; INPUT_B2 ; overflow ; 11.891 ; 11.891 ; 11.891 ; 11.891 ; +; INPUT_B3 ; overflow ; 11.571 ; 11.571 ; 11.571 ; 11.571 ; +; INPUT_B4 ; overflow ; 8.607 ; 8.607 ; 8.607 ; 8.607 ; +; isAdd ; overflow ; 11.213 ; 11.213 ; 11.213 ; 11.213 ; ++------------+-------------+--------+--------+--------+--------+ + + +---------------------------- +; Fast Model Setup Summary ; +---------------------------- +No paths to report. + + +--------------------------- +; Fast Model Hold Summary ; +--------------------------- +No paths to report. + + +------------------------------- +; Fast Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Fast Model Removal Summary ; +------------------------------ +No paths to report. + + ++----------------------------------------+ +; Fast Model Minimum Pulse Width Summary ; ++-------+--------+-----------------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+-----------------------+ +; clk ; -1.380 ; -5.380 ; ++-------+--------+-----------------------+ + + ++-------------------------------------------------------------------------------------------------------+ +; Fast Model Minimum Pulse Width: 'clk' ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ +; -1.380 ; 1.000 ; 2.380 ; Port Rate ; clk ; Rise ; clk ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clk ; Rise ; 74171:inst1|1 ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|1 ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clk ; Rise ; 74171:inst1|15 ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|15 ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clk ; Rise ; 74171:inst1|16 ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|16 ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clk ; Rise ; 74171:inst1|8 ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clk ; Rise ; 74171:inst1|8 ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; clk~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; clk~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|15|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|15|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|16|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|16|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|1|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|1|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clk ; Rise ; inst1|8|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clk ; Rise ; inst1|8|clk ; ++--------+--------------+----------------+------------------+-------+------------+----------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; INPUT_A1 ; clk ; 2.172 ; 2.172 ; Rise ; clk ; +; INPUT_A2 ; clk ; 0.091 ; 0.091 ; Rise ; clk ; +; INPUT_A3 ; clk ; 2.015 ; 2.015 ; Rise ; clk ; +; INPUT_A4 ; clk ; 1.768 ; 1.768 ; Rise ; clk ; +; INPUT_B1 ; clk ; 2.252 ; 2.252 ; Rise ; clk ; +; INPUT_B2 ; clk ; 2.133 ; 2.133 ; Rise ; clk ; +; INPUT_B3 ; clk ; 2.172 ; 2.172 ; Rise ; clk ; +; INPUT_B4 ; clk ; 0.221 ; 0.221 ; Rise ; clk ; +; isAdd ; clk ; 2.281 ; 2.281 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; INPUT_A1 ; clk ; -1.487 ; -1.487 ; Rise ; clk ; +; INPUT_A2 ; clk ; 0.219 ; 0.219 ; Rise ; clk ; +; INPUT_A3 ; clk ; -1.759 ; -1.759 ; Rise ; clk ; +; INPUT_A4 ; clk ; -1.648 ; -1.648 ; Rise ; clk ; +; INPUT_B1 ; clk ; -1.451 ; -1.451 ; Rise ; clk ; +; INPUT_B2 ; clk ; -1.552 ; -1.552 ; Rise ; clk ; +; INPUT_B3 ; clk ; -1.918 ; -1.918 ; Rise ; clk ; +; INPUT_B4 ; clk ; -0.101 ; -0.101 ; Rise ; clk ; +; isAdd ; clk ; -1.593 ; -1.593 ; Rise ; clk ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 4.532 ; 4.532 ; Rise ; clk ; +; OUTPUT_B ; clk ; 4.443 ; 4.443 ; Rise ; clk ; +; OUTPUT_C ; clk ; 4.431 ; 4.431 ; Rise ; clk ; +; OUTPUT_D ; clk ; 4.388 ; 4.388 ; Rise ; clk ; +; OUTPUT_E ; clk ; 4.306 ; 4.306 ; Rise ; clk ; +; OUTPUT_F ; clk ; 4.497 ; 4.497 ; Rise ; clk ; +; OUTPUT_G ; clk ; 4.506 ; 4.506 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 3.896 ; 3.896 ; Rise ; clk ; +; i[*] ; clk ; 4.630 ; 4.630 ; Rise ; clk ; +; i[0] ; clk ; 3.881 ; 3.881 ; Rise ; clk ; +; i[1] ; clk ; 4.630 ; 4.630 ; Rise ; clk ; +; i[2] ; clk ; 4.404 ; 4.404 ; Rise ; clk ; +; i[3] ; clk ; 4.414 ; 4.414 ; Rise ; clk ; +; pin_name1 ; clk ; 3.775 ; 3.775 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 4.303 ; 4.303 ; Rise ; clk ; +; OUTPUT_B ; clk ; 4.211 ; 4.211 ; Rise ; clk ; +; OUTPUT_C ; clk ; 4.195 ; 4.195 ; Rise ; clk ; +; OUTPUT_D ; clk ; 4.269 ; 4.269 ; Rise ; clk ; +; OUTPUT_E ; clk ; 4.181 ; 4.181 ; Rise ; clk ; +; OUTPUT_F ; clk ; 4.269 ; 4.269 ; Rise ; clk ; +; OUTPUT_G ; clk ; 4.274 ; 4.274 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 3.896 ; 3.896 ; Rise ; clk ; +; i[*] ; clk ; 3.881 ; 3.881 ; Rise ; clk ; +; i[0] ; clk ; 3.881 ; 3.881 ; Rise ; clk ; +; i[1] ; clk ; 4.404 ; 4.404 ; Rise ; clk ; +; i[2] ; clk ; 4.175 ; 4.175 ; Rise ; clk ; +; i[3] ; clk ; 4.178 ; 4.178 ; Rise ; clk ; +; pin_name1 ; clk ; 3.775 ; 3.775 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++----------------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A1 ; overflow ; 5.868 ; 5.868 ; 5.868 ; 5.868 ; +; INPUT_A2 ; overflow ; 3.787 ; 3.787 ; 3.787 ; 3.787 ; +; INPUT_A3 ; overflow ; 5.711 ; 5.711 ; 5.711 ; 5.711 ; +; INPUT_A4 ; overflow ; 5.462 ; 5.462 ; 5.462 ; 5.462 ; +; INPUT_B1 ; overflow ; 5.948 ; 5.948 ; 5.948 ; 5.948 ; +; INPUT_B2 ; overflow ; 5.829 ; 5.829 ; 5.829 ; 5.829 ; +; INPUT_B3 ; overflow ; 5.868 ; 5.868 ; 5.868 ; 5.868 ; +; INPUT_B4 ; overflow ; 3.917 ; 3.917 ; 3.917 ; 3.917 ; +; isAdd ; overflow ; 5.977 ; 5.977 ; 5.977 ; 5.977 ; ++------------+-------------+-------+-------+-------+-------+ + + ++----------------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A1 ; overflow ; 5.868 ; 5.868 ; 5.868 ; 5.868 ; +; INPUT_A2 ; overflow ; 3.787 ; 3.787 ; 3.787 ; 3.787 ; +; INPUT_A3 ; overflow ; 5.567 ; 5.567 ; 5.567 ; 5.567 ; +; INPUT_A4 ; overflow ; 5.462 ; 5.462 ; 5.462 ; 5.462 ; +; INPUT_B1 ; overflow ; 5.948 ; 5.948 ; 5.948 ; 5.948 ; +; INPUT_B2 ; overflow ; 5.829 ; 5.829 ; 5.829 ; 5.829 ; +; INPUT_B3 ; overflow ; 5.726 ; 5.726 ; 5.726 ; 5.726 ; +; INPUT_B4 ; overflow ; 3.917 ; 3.917 ; 3.917 ; 3.917 ; +; isAdd ; overflow ; 5.619 ; 5.619 ; 5.619 ; 5.619 ; ++------------+-------------+-------+-------+-------+-------+ + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; -1.631 ; +; clk ; N/A ; N/A ; N/A ; N/A ; -1.631 ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -6.519 ; +; clk ; N/A ; N/A ; N/A ; N/A ; -6.519 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; INPUT_A1 ; clk ; 5.170 ; 5.170 ; Rise ; clk ; +; INPUT_A2 ; clk ; 1.373 ; 1.373 ; Rise ; clk ; +; INPUT_A3 ; clk ; 4.766 ; 4.766 ; Rise ; clk ; +; INPUT_A4 ; clk ; 4.003 ; 4.003 ; Rise ; clk ; +; INPUT_B1 ; clk ; 5.417 ; 5.417 ; Rise ; clk ; +; INPUT_B2 ; clk ; 5.112 ; 5.112 ; Rise ; clk ; +; INPUT_B3 ; clk ; 5.146 ; 5.146 ; Rise ; clk ; +; INPUT_B4 ; clk ; 1.836 ; 1.836 ; Rise ; clk ; +; isAdd ; clk ; 5.419 ; 5.419 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; INPUT_A1 ; clk ; -1.487 ; -1.487 ; Rise ; clk ; +; INPUT_A2 ; clk ; 0.219 ; 0.219 ; Rise ; clk ; +; INPUT_A3 ; clk ; -1.759 ; -1.759 ; Rise ; clk ; +; INPUT_A4 ; clk ; -1.648 ; -1.648 ; Rise ; clk ; +; INPUT_B1 ; clk ; -1.451 ; -1.451 ; Rise ; clk ; +; INPUT_B2 ; clk ; -1.552 ; -1.552 ; Rise ; clk ; +; INPUT_B3 ; clk ; -1.918 ; -1.918 ; Rise ; clk ; +; INPUT_B4 ; clk ; -0.101 ; -0.101 ; Rise ; clk ; +; isAdd ; clk ; -1.593 ; -1.593 ; Rise ; clk ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 8.898 ; 8.898 ; Rise ; clk ; +; OUTPUT_B ; clk ; 8.691 ; 8.691 ; Rise ; clk ; +; OUTPUT_C ; clk ; 8.634 ; 8.634 ; Rise ; clk ; +; OUTPUT_D ; clk ; 8.553 ; 8.553 ; Rise ; clk ; +; OUTPUT_E ; clk ; 8.341 ; 8.341 ; Rise ; clk ; +; OUTPUT_F ; clk ; 8.895 ; 8.895 ; Rise ; clk ; +; OUTPUT_G ; clk ; 8.903 ; 8.903 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 7.197 ; 7.197 ; Rise ; clk ; +; i[*] ; clk ; 9.209 ; 9.209 ; Rise ; clk ; +; i[0] ; clk ; 7.171 ; 7.171 ; Rise ; clk ; +; i[1] ; clk ; 9.209 ; 9.209 ; Rise ; clk ; +; i[2] ; clk ; 8.631 ; 8.631 ; Rise ; clk ; +; i[3] ; clk ; 8.629 ; 8.629 ; Rise ; clk ; +; pin_name1 ; clk ; 6.896 ; 6.896 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; OUTPUT_A ; clk ; 4.303 ; 4.303 ; Rise ; clk ; +; OUTPUT_B ; clk ; 4.211 ; 4.211 ; Rise ; clk ; +; OUTPUT_C ; clk ; 4.195 ; 4.195 ; Rise ; clk ; +; OUTPUT_D ; clk ; 4.269 ; 4.269 ; Rise ; clk ; +; OUTPUT_E ; clk ; 4.181 ; 4.181 ; Rise ; clk ; +; OUTPUT_F ; clk ; 4.269 ; 4.269 ; Rise ; clk ; +; OUTPUT_G ; clk ; 4.274 ; 4.274 ; Rise ; clk ; +; OUTPUT_G2 ; clk ; 3.896 ; 3.896 ; Rise ; clk ; +; i[*] ; clk ; 3.881 ; 3.881 ; Rise ; clk ; +; i[0] ; clk ; 3.881 ; 3.881 ; Rise ; clk ; +; i[1] ; clk ; 4.404 ; 4.404 ; Rise ; clk ; +; i[2] ; clk ; 4.175 ; 4.175 ; Rise ; clk ; +; i[3] ; clk ; 4.178 ; 4.178 ; Rise ; clk ; +; pin_name1 ; clk ; 3.775 ; 3.775 ; Rise ; clk ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++--------------------------------------------------------------+ +; Progagation Delay ; ++------------+-------------+--------+--------+--------+--------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+--------+--------+--------+--------+ +; INPUT_A1 ; overflow ; 11.949 ; 11.949 ; 11.949 ; 11.949 ; +; INPUT_A2 ; overflow ; 8.152 ; 8.152 ; 8.152 ; 8.152 ; +; INPUT_A3 ; overflow ; 11.545 ; 11.545 ; 11.545 ; 11.545 ; +; INPUT_A4 ; overflow ; 10.775 ; 10.775 ; 10.775 ; 10.775 ; +; INPUT_B1 ; overflow ; 12.196 ; 12.196 ; 12.196 ; 12.196 ; +; INPUT_B2 ; overflow ; 11.891 ; 11.891 ; 11.891 ; 11.891 ; +; INPUT_B3 ; overflow ; 11.925 ; 11.925 ; 11.925 ; 11.925 ; +; INPUT_B4 ; overflow ; 8.607 ; 8.607 ; 8.607 ; 8.607 ; +; isAdd ; overflow ; 12.198 ; 12.198 ; 12.198 ; 12.198 ; ++------------+-------------+--------+--------+--------+--------+ + + ++----------------------------------------------------------+ +; Minimum Progagation Delay ; ++------------+-------------+-------+-------+-------+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+-------+-------+-------+ +; INPUT_A1 ; overflow ; 5.868 ; 5.868 ; 5.868 ; 5.868 ; +; INPUT_A2 ; overflow ; 3.787 ; 3.787 ; 3.787 ; 3.787 ; +; INPUT_A3 ; overflow ; 5.567 ; 5.567 ; 5.567 ; 5.567 ; +; INPUT_A4 ; overflow ; 5.462 ; 5.462 ; 5.462 ; 5.462 ; +; INPUT_B1 ; overflow ; 5.948 ; 5.948 ; 5.948 ; 5.948 ; +; INPUT_B2 ; overflow ; 5.829 ; 5.829 ; 5.829 ; 5.829 ; +; INPUT_B3 ; overflow ; 5.726 ; 5.726 ; 5.726 ; 5.726 ; +; INPUT_B4 ; overflow ; 3.917 ; 3.917 ; 3.917 ; 3.917 ; +; isAdd ; overflow ; 5.619 ; 5.619 ; 5.619 ; 5.619 ; ++------------+-------------+-------+-------+-------+-------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 10 ; 10 ; +; Unconstrained Input Port Paths ; 36 ; 36 ; +; Unconstrained Output Ports ; 14 ; 14 ; +; Unconstrained Output Port Paths ; 49 ; 49 ; ++---------------------------------+-------+------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 17:05:43 2020 +Info: Command: quartus_sta YL_adder -c YL_adder +Info: qsta_default_script.tcl version: #1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_adder.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332105): Deriving Clocks + Info (332105): create_clock -period 1.000 -name clk clk +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info: Analyzing Slow Model +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case minimum pulse width slack is -1.631 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -1.631 -6.519 clk +Info (332001): The selected device family is not supported by the report_metastability command. +Info: Analyzing Fast Model +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case minimum pulse width slack is -1.380 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -1.380 -5.380 clk +Info (332001): The selected device family is not supported by the report_metastability command. +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4557 megabytes + Info: Processing ended: Mon May 04 17:05:44 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/YL_adder/output_files/YL_adder.sta.summary b/YL_adder/output_files/YL_adder.sta.summary new file mode 100644 index 0000000..ec7b6cc --- /dev/null +++ b/YL_adder/output_files/YL_adder.sta.summary @@ -0,0 +1,13 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +Type : Slow Model Minimum Pulse Width 'clk' +Slack : -1.631 +TNS : -6.519 + +Type : Fast Model Minimum Pulse Width 'clk' +Slack : -1.380 +TNS : -5.380 + +------------------------------------------------------------ diff --git a/YL_adder/overflow.bsf b/YL_adder/overflow.bsf new file mode 100644 index 0000000..5d225d8 --- /dev/null +++ b/YL_adder/overflow.bsf @@ -0,0 +1,57 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 136 128) + (text "overflow" (rect 5 0 39 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "iA" (rect 0 0 9 12)(font "Arial" )) + (text "iA" (rect 21 27 30 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "iB" (rect 0 0 7 12)(font "Arial" )) + (text "iB" (rect 21 43 28 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "o" (rect 0 0 4 12)(font "Arial" )) + (text "o" (rect 21 59 25 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 120 32) + (output) + (text "v" (rect 0 0 5 12)(font "Arial" )) + (text "v" (rect 94 27 99 39)(font "Arial" )) + (line (pt 120 32)(pt 104 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 104 96)(line_width 1)) + ) +) diff --git a/YL_adder/overflow.tdf b/YL_adder/overflow.tdf new file mode 100644 index 0000000..5bb2384 --- /dev/null +++ b/YL_adder/overflow.tdf @@ -0,0 +1,12 @@ +SUBDESIGN overflow +( + iA, iB, o : input; + v : output; +) +BEGIN + if (iA == VCC & iB == VCC & o == GND)#(iA == GND & iB == GND & o == VCC) THEN + v = VCC; + ELSE + v = GND; + END IF; +END; \ No newline at end of file diff --git a/YL_adder/segment.bsf b/YL_adder/segment.bsf new file mode 100644 index 0000000..3ce2e29 --- /dev/null +++ b/YL_adder/segment.bsf @@ -0,0 +1,85 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 144 192) + (text "segment" (rect 5 0 39 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 21 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 42 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 4 12)(font "Arial" )) + (text "a" (rect 103 27 107 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 1)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 4 12)(font "Arial" )) + (text "b" (rect 103 43 107 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)(line_width 1)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 4 12)(font "Arial" )) + (text "c" (rect 103 59 107 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)(line_width 1)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 4 12)(font "Arial" )) + (text "d" (rect 103 75 107 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)(line_width 1)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 4 12)(font "Arial" )) + (text "e" (rect 103 91 107 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)(line_width 1)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 3 12)(font "Arial" )) + (text "f" (rect 104 107 107 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)(line_width 1)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 4 12)(font "Arial" )) + (text "g" (rect 103 123 107 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 112 160)(line_width 1)) + ) +) diff --git a/YL_adder/segment.tdf b/YL_adder/segment.tdf new file mode 100644 index 0000000..3d3ab0d --- /dev/null +++ b/YL_adder/segment.tdf @@ -0,0 +1,28 @@ +% 0 1 2 3 4 5 6 7 8 9 A b C d E F % +% % +SUBDESIGN segment +( + i[3..0] : INPUT; + a, b, c, d, e, f, g : OUTPUT; +) +BEGIN + TABLE + i[3..0] => a, b, c, d, e, f, g; + H"0" => 1, 1, 1, 1, 1, 1, 0; + H"1" => 0, 1, 1, 0, 0, 0, 0; + H"2" => 1, 1, 0, 1, 1, 0, 1; + H"3" => 1, 1, 1, 1, 0, 0, 1; + H"4" => 0, 1, 1, 0, 0, 1, 1; + H"5" => 1, 0, 1, 1, 0, 1, 1; + H"6" => 1, 0, 1, 1, 1, 1, 1; + H"7" => 1, 1, 1, 0, 0, 0, 0; + H"8" => 1, 1, 1, 1, 1, 1, 1; + H"9" => 1, 1, 1, 1, 0, 1, 1; + H"A" => 1, 1, 1, 0, 1, 1, 1; + H"B" => 0, 0, 1, 1, 1, 1, 1; + H"C" => 1, 0, 0, 1, 1, 1, 0; + H"D" => 0, 1, 1, 1, 1, 0, 1; + H"E" => 1, 0, 0, 1, 1, 1, 1; + H"F" => 1, 0, 0, 0, 1, 1, 1; + END TABLE; +END; diff --git a/YL_adder/sign.bsf b/YL_adder/sign.bsf new file mode 100644 index 0000000..a944dd0 --- /dev/null +++ b/YL_adder/sign.bsf @@ -0,0 +1,85 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 136 192) + (text "sign" (rect 5 0 20 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "sign" (rect 0 0 15 12)(font "Arial" )) + (text "sign" (rect 21 27 36 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 120 32) + (output) + (text "a" (rect 0 0 4 12)(font "Arial" )) + (text "a" (rect 95 27 99 39)(font "Arial" )) + (line (pt 120 32)(pt 104 32)(line_width 1)) + ) + (port + (pt 120 48) + (output) + (text "b" (rect 0 0 4 12)(font "Arial" )) + (text "b" (rect 95 43 99 55)(font "Arial" )) + (line (pt 120 48)(pt 104 48)(line_width 1)) + ) + (port + (pt 120 64) + (output) + (text "c" (rect 0 0 4 12)(font "Arial" )) + (text "c" (rect 95 59 99 71)(font "Arial" )) + (line (pt 120 64)(pt 104 64)(line_width 1)) + ) + (port + (pt 120 80) + (output) + (text "d" (rect 0 0 4 12)(font "Arial" )) + (text "d" (rect 95 75 99 87)(font "Arial" )) + (line (pt 120 80)(pt 104 80)(line_width 1)) + ) + (port + (pt 120 96) + (output) + (text "e" (rect 0 0 4 12)(font "Arial" )) + (text "e" (rect 95 91 99 103)(font "Arial" )) + (line (pt 120 96)(pt 104 96)(line_width 1)) + ) + (port + (pt 120 112) + (output) + (text "f" (rect 0 0 3 12)(font "Arial" )) + (text "f" (rect 96 107 99 119)(font "Arial" )) + (line (pt 120 112)(pt 104 112)(line_width 1)) + ) + (port + (pt 120 128) + (output) + (text "g" (rect 0 0 4 12)(font "Arial" )) + (text "g" (rect 95 123 99 135)(font "Arial" )) + (line (pt 120 128)(pt 104 128)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 104 160)(line_width 1)) + ) +) diff --git a/YL_adder/sign.tdf b/YL_adder/sign.tdf new file mode 100644 index 0000000..c202efb --- /dev/null +++ b/YL_adder/sign.tdf @@ -0,0 +1,25 @@ +% 0 1 2 3 4 5 6 7 8 9 A b C d E F % +% % +SUBDESIGN sign +( + sign : INPUT; + a, b, c, d, e, f, g : OUTPUT; +) +BEGIN + + DEFAULTS + a = VCC; + b = VCC; + c = VCC; + d = VCC; + e = VCC; + f = VCC; + g = VCC; + END DEFAULTS; + + IF sign THEN + g = GND; + ELSE + g = VCC; + END iF; +END; diff --git a/YL_adder/simulation/modelsim/YL_adder.sft b/YL_adder/simulation/modelsim/YL_adder.sft new file mode 100644 index 0000000..d59d08b --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder.sft @@ -0,0 +1,5 @@ +set tool_name "ModelSim-Altera (Verilog)" +set corner_file_list { + {{"Slow Model"} {YL_adder.vo YL_adder_v.sdo}} + {{"Fast Model"} {YL_adder_fast.vo YL_adder_v_fast.sdo}} +} diff --git a/YL_adder/simulation/modelsim/YL_adder.vo b/YL_adder/simulation/modelsim/YL_adder.vo new file mode 100644 index 0000000..76f39d1 --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder.vo @@ -0,0 +1,1747 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/04/2020 17:05:47" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_adder ( + OUTPUT_A, + i, + reset, + INPUT_B1, + INPUT_B2, + INPUT_B3, + INPUT_B4, + isAdd, + INPUT_A1, + INPUT_A2, + INPUT_A3, + INPUT_A4, + clk, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G, + OUTPUT_A2, + OUTPUT_B2, + OUTPUT_C2, + OUTPUT_D2, + OUTPUT_E2, + OUTPUT_F2, + OUTPUT_G2, + overflow, + pin_name1); +output OUTPUT_A; +output [3:0] i; +input reset; +input INPUT_B1; +input INPUT_B2; +input INPUT_B3; +input INPUT_B4; +input isAdd; +input INPUT_A1; +input INPUT_A2; +input INPUT_A3; +input INPUT_A4; +input clk; +output OUTPUT_B; +output OUTPUT_C; +output OUTPUT_D; +output OUTPUT_E; +output OUTPUT_F; +output OUTPUT_G; +output OUTPUT_A2; +output OUTPUT_B2; +output OUTPUT_C2; +output OUTPUT_D2; +output OUTPUT_E2; +output OUTPUT_F2; +output OUTPUT_G2; +output overflow; +output pin_name1; + +// Design Ports Information +// OUTPUT_A => Location: PIN_A17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[3] => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[2] => Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[1] => Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[0] => Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_F13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_A2 => Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B2 => Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C2 => Location: PIN_Y13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D2 => Location: PIN_AA17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E2 => Location: PIN_V9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F2 => Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G2 => Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// overflow => Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// pin_name1 => Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// INPUT_A4 => Location: PIN_D20, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B3 => Location: PIN_D14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// isAdd => Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A3 => Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B2 => Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B1 => Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A1 => Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A2 => Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B4 => Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// reset => Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_adder_v.sdo"); +// synopsys translate_on + +wire \inst|44~0_combout ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \INPUT_B4~combout ; +wire \isAdd~combout ; +wire \inst21|o4~2_combout ; +wire \INPUT_A3~combout ; +wire \INPUT_B3~combout ; +wire \inst|21~0_combout ; +wire \INPUT_B2~combout ; +wire \inst21|o2~2_combout ; +wire \INPUT_A2~combout ; +wire \inst|25~combout ; +wire \inst|1~0_combout ; +wire \inst|45~combout ; +wire \reset~combout ; +wire \reset~clkctrl_outclk ; +wire \inst1|16~regout ; +wire \INPUT_B1~combout ; +wire \INPUT_A1~combout ; +wire \inst|18~0_combout ; +wire \inst|43~combout ; +wire \inst1|8~regout ; +wire \inst|42~combout ; +wire \inst1|1~regout ; +wire \inst|44~combout ; +wire \inst1|15~regout ; +wire \inst17|a~12_combout ; +wire \inst20|o[3]~15_combout ; +wire \inst20|o[2]~16_combout ; +wire \inst20|o[1]~17_combout ; +wire \inst17|b~3_combout ; +wire \inst17|c~1_combout ; +wire \inst17|d~0_combout ; +wire \inst17|e~0_combout ; +wire \inst17|f~0_combout ; +wire \inst17|g~0_combout ; +wire \INPUT_A4~combout ; +wire \inst23|v~1_combout ; + + +// Location: LCCOMB_X43_Y26_N6 +cycloneii_lcell_comb \inst|44~0 ( +// Equation(s): +// \inst|44~0_combout = \INPUT_A3~combout $ (\INPUT_B3~combout $ (\isAdd~combout )) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|44~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|44~0 .lut_mask = 16'hC33C; +defparam \inst|44~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B4)); +// synopsys translate_off +defparam \INPUT_B4~I .input_async_reset = "none"; +defparam \INPUT_B4~I .input_power_up = "low"; +defparam \INPUT_B4~I .input_register_mode = "none"; +defparam \INPUT_B4~I .input_sync_reset = "none"; +defparam \INPUT_B4~I .oe_async_reset = "none"; +defparam \INPUT_B4~I .oe_power_up = "low"; +defparam \INPUT_B4~I .oe_register_mode = "none"; +defparam \INPUT_B4~I .oe_sync_reset = "none"; +defparam \INPUT_B4~I .operation_mode = "input"; +defparam \INPUT_B4~I .output_async_reset = "none"; +defparam \INPUT_B4~I .output_power_up = "low"; +defparam \INPUT_B4~I .output_register_mode = "none"; +defparam \INPUT_B4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \isAdd~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\isAdd~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(isAdd)); +// synopsys translate_off +defparam \isAdd~I .input_async_reset = "none"; +defparam \isAdd~I .input_power_up = "low"; +defparam \isAdd~I .input_register_mode = "none"; +defparam \isAdd~I .input_sync_reset = "none"; +defparam \isAdd~I .oe_async_reset = "none"; +defparam \isAdd~I .oe_power_up = "low"; +defparam \isAdd~I .oe_register_mode = "none"; +defparam \isAdd~I .oe_sync_reset = "none"; +defparam \isAdd~I .operation_mode = "input"; +defparam \isAdd~I .output_async_reset = "none"; +defparam \isAdd~I .output_power_up = "low"; +defparam \isAdd~I .output_register_mode = "none"; +defparam \isAdd~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N2 +cycloneii_lcell_comb \inst21|o4~2 ( +// Equation(s): +// \inst21|o4~2_combout = \INPUT_B4~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B4~combout ), + .datac(vcc), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst21|o4~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o4~2 .lut_mask = 16'h33CC; +defparam \inst21|o4~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A3)); +// synopsys translate_off +defparam \INPUT_A3~I .input_async_reset = "none"; +defparam \INPUT_A3~I .input_power_up = "low"; +defparam \INPUT_A3~I .input_register_mode = "none"; +defparam \INPUT_A3~I .input_sync_reset = "none"; +defparam \INPUT_A3~I .oe_async_reset = "none"; +defparam \INPUT_A3~I .oe_power_up = "low"; +defparam \INPUT_A3~I .oe_register_mode = "none"; +defparam \INPUT_A3~I .oe_sync_reset = "none"; +defparam \INPUT_A3~I .operation_mode = "input"; +defparam \INPUT_A3~I .output_async_reset = "none"; +defparam \INPUT_A3~I .output_power_up = "low"; +defparam \INPUT_A3~I .output_register_mode = "none"; +defparam \INPUT_A3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B3)); +// synopsys translate_off +defparam \INPUT_B3~I .input_async_reset = "none"; +defparam \INPUT_B3~I .input_power_up = "low"; +defparam \INPUT_B3~I .input_register_mode = "none"; +defparam \INPUT_B3~I .input_sync_reset = "none"; +defparam \INPUT_B3~I .oe_async_reset = "none"; +defparam \INPUT_B3~I .oe_power_up = "low"; +defparam \INPUT_B3~I .oe_register_mode = "none"; +defparam \INPUT_B3~I .oe_sync_reset = "none"; +defparam \INPUT_B3~I .operation_mode = "input"; +defparam \INPUT_B3~I .output_async_reset = "none"; +defparam \INPUT_B3~I .output_power_up = "low"; +defparam \INPUT_B3~I .output_register_mode = "none"; +defparam \INPUT_B3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N24 +cycloneii_lcell_comb \inst|21~0 ( +// Equation(s): +// \inst|21~0_combout = (!\INPUT_A3~combout & (\INPUT_B3~combout $ (\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|21~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|21~0 .lut_mask = 16'h0330; +defparam \inst|21~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B2)); +// synopsys translate_off +defparam \INPUT_B2~I .input_async_reset = "none"; +defparam \INPUT_B2~I .input_power_up = "low"; +defparam \INPUT_B2~I .input_register_mode = "none"; +defparam \INPUT_B2~I .input_sync_reset = "none"; +defparam \INPUT_B2~I .oe_async_reset = "none"; +defparam \INPUT_B2~I .oe_power_up = "low"; +defparam \INPUT_B2~I .oe_register_mode = "none"; +defparam \INPUT_B2~I .oe_sync_reset = "none"; +defparam \INPUT_B2~I .operation_mode = "input"; +defparam \INPUT_B2~I .output_async_reset = "none"; +defparam \INPUT_B2~I .output_power_up = "low"; +defparam \INPUT_B2~I .output_register_mode = "none"; +defparam \INPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N18 +cycloneii_lcell_comb \inst21|o2~2 ( +// Equation(s): +// \inst21|o2~2_combout = \INPUT_B2~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B2~combout ), + .datac(vcc), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst21|o2~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o2~2 .lut_mask = 16'h33CC; +defparam \inst21|o2~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A2)); +// synopsys translate_off +defparam \INPUT_A2~I .input_async_reset = "none"; +defparam \INPUT_A2~I .input_power_up = "low"; +defparam \INPUT_A2~I .input_register_mode = "none"; +defparam \INPUT_A2~I .input_sync_reset = "none"; +defparam \INPUT_A2~I .oe_async_reset = "none"; +defparam \INPUT_A2~I .oe_power_up = "low"; +defparam \INPUT_A2~I .oe_register_mode = "none"; +defparam \INPUT_A2~I .oe_sync_reset = "none"; +defparam \INPUT_A2~I .operation_mode = "input"; +defparam \INPUT_A2~I .output_async_reset = "none"; +defparam \INPUT_A2~I .output_power_up = "low"; +defparam \INPUT_A2~I .output_register_mode = "none"; +defparam \INPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N30 +cycloneii_lcell_comb \inst|25 ( +// Equation(s): +// \inst|25~combout = (\INPUT_A3~combout & (\INPUT_B3~combout $ (!\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|25~combout ), + .cout()); +// synopsys translate_off +defparam \inst|25 .lut_mask = 16'hC00C; +defparam \inst|25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N0 +cycloneii_lcell_comb \inst|1~0 ( +// Equation(s): +// \inst|1~0_combout = (!\inst|25~combout & ((\inst|18~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|18~0_combout & (\inst21|o2~2_combout & !\INPUT_A2~combout )))) + + .dataa(\inst|18~0_combout ), + .datab(\inst21|o2~2_combout ), + .datac(\INPUT_A2~combout ), + .datad(\inst|25~combout ), + .cin(gnd), + .combout(\inst|1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|1~0 .lut_mask = 16'h008E; +defparam \inst|1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N10 +cycloneii_lcell_comb \inst|45 ( +// Equation(s): +// \inst|45~combout = \INPUT_A4~combout $ (\inst21|o4~2_combout $ (((\inst|21~0_combout ) # (\inst|1~0_combout )))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst|45~combout ), + .cout()); +// synopsys translate_off +defparam \inst|45 .lut_mask = 16'h9996; +defparam \inst|45 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \reset~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(reset)); +// synopsys translate_off +defparam \reset~I .input_async_reset = "none"; +defparam \reset~I .input_power_up = "low"; +defparam \reset~I .input_register_mode = "none"; +defparam \reset~I .input_sync_reset = "none"; +defparam \reset~I .oe_async_reset = "none"; +defparam \reset~I .oe_power_up = "low"; +defparam \reset~I .oe_register_mode = "none"; +defparam \reset~I .oe_sync_reset = "none"; +defparam \reset~I .operation_mode = "input"; +defparam \reset~I .output_async_reset = "none"; +defparam \reset~I .output_power_up = "low"; +defparam \reset~I .output_register_mode = "none"; +defparam \reset~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G1 +cycloneii_clkctrl \reset~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\reset~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reset~clkctrl_outclk )); +// synopsys translate_off +defparam \reset~clkctrl .clock_type = "global clock"; +defparam \reset~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N11 +cycloneii_lcell_ff \inst1|16 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|45~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|16~regout )); + +// Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B1)); +// synopsys translate_off +defparam \INPUT_B1~I .input_async_reset = "none"; +defparam \INPUT_B1~I .input_power_up = "low"; +defparam \INPUT_B1~I .input_register_mode = "none"; +defparam \INPUT_B1~I .input_sync_reset = "none"; +defparam \INPUT_B1~I .oe_async_reset = "none"; +defparam \INPUT_B1~I .oe_power_up = "low"; +defparam \INPUT_B1~I .oe_register_mode = "none"; +defparam \INPUT_B1~I .oe_sync_reset = "none"; +defparam \INPUT_B1~I .operation_mode = "input"; +defparam \INPUT_B1~I .output_async_reset = "none"; +defparam \INPUT_B1~I .output_power_up = "low"; +defparam \INPUT_B1~I .output_register_mode = "none"; +defparam \INPUT_B1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A1)); +// synopsys translate_off +defparam \INPUT_A1~I .input_async_reset = "none"; +defparam \INPUT_A1~I .input_power_up = "low"; +defparam \INPUT_A1~I .input_register_mode = "none"; +defparam \INPUT_A1~I .input_sync_reset = "none"; +defparam \INPUT_A1~I .oe_async_reset = "none"; +defparam \INPUT_A1~I .oe_power_up = "low"; +defparam \INPUT_A1~I .oe_register_mode = "none"; +defparam \INPUT_A1~I .oe_sync_reset = "none"; +defparam \INPUT_A1~I .operation_mode = "input"; +defparam \INPUT_A1~I .output_async_reset = "none"; +defparam \INPUT_A1~I .output_power_up = "low"; +defparam \INPUT_A1~I .output_register_mode = "none"; +defparam \INPUT_A1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N28 +cycloneii_lcell_comb \inst|18~0 ( +// Equation(s): +// \inst|18~0_combout = (\INPUT_B1~combout & (!\INPUT_A1~combout )) # (!\INPUT_B1~combout & ((\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_B1~combout ), + .datac(\INPUT_A1~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|18~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|18~0 .lut_mask = 16'h3F0C; +defparam \inst|18~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N22 +cycloneii_lcell_comb \inst|43 ( +// Equation(s): +// \inst|43~combout = \INPUT_B2~combout $ (\inst|18~0_combout $ (\INPUT_A2~combout $ (\isAdd~combout ))) + + .dataa(\INPUT_B2~combout ), + .datab(\inst|18~0_combout ), + .datac(\INPUT_A2~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|43~combout ), + .cout()); +// synopsys translate_off +defparam \inst|43 .lut_mask = 16'h6996; +defparam \inst|43 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N23 +cycloneii_lcell_ff \inst1|8 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|43~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|8~regout )); + +// Location: LCCOMB_X43_Y26_N12 +cycloneii_lcell_comb \inst|42 ( +// Equation(s): +// \inst|42~combout = \INPUT_A1~combout $ (\INPUT_B1~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\INPUT_A1~combout ), + .datad(\INPUT_B1~combout ), + .cin(gnd), + .combout(\inst|42~combout ), + .cout()); +// synopsys translate_off +defparam \inst|42 .lut_mask = 16'h0FF0; +defparam \inst|42 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N13 +cycloneii_lcell_ff \inst1|1 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|42~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|1~regout )); + +// Location: LCCOMB_X43_Y26_N8 +cycloneii_lcell_comb \inst|44 ( +// Equation(s): +// \inst|44~combout = \inst|44~0_combout $ (((\inst|18~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|18~0_combout & (!\INPUT_A2~combout & \inst21|o2~2_combout )))) + + .dataa(\inst|44~0_combout ), + .datab(\inst|18~0_combout ), + .datac(\INPUT_A2~combout ), + .datad(\inst21|o2~2_combout ), + .cin(gnd), + .combout(\inst|44~combout ), + .cout()); +// synopsys translate_off +defparam \inst|44 .lut_mask = 16'h65A6; +defparam \inst|44 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N9 +cycloneii_lcell_ff \inst1|15 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|44~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|15~regout )); + +// Location: LCCOMB_X39_Y26_N8 +cycloneii_lcell_comb \inst17|a~12 ( +// Equation(s): +// \inst17|a~12_combout = (\inst1|16~regout & (\inst1|15~regout & (\inst1|8~regout $ (!\inst1|1~regout )))) # (!\inst1|16~regout & (!\inst1|8~regout & (\inst1|1~regout $ (\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|a~12 .lut_mask = 16'h8310; +defparam \inst17|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N18 +cycloneii_lcell_comb \inst20|o[3]~15 ( +// Equation(s): +// \inst20|o[3]~15_combout = (\inst1|16~regout & (!\inst1|8~regout & (!\inst1|1~regout & !\inst1|15~regout ))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst20|o[3]~15_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[3]~15 .lut_mask = 16'h0002; +defparam \inst20|o[3]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N12 +cycloneii_lcell_comb \inst20|o[2]~16 ( +// Equation(s): +// \inst20|o[2]~16_combout = \inst1|15~regout $ (((\inst1|16~regout & ((\inst1|8~regout ) # (\inst1|1~regout ))))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst20|o[2]~16_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[2]~16 .lut_mask = 16'h57A8; +defparam \inst20|o[2]~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N22 +cycloneii_lcell_comb \inst20|o[1]~17 ( +// Equation(s): +// \inst20|o[1]~17_combout = \inst1|8~regout $ (((\inst1|16~regout & \inst1|1~regout ))) + + .dataa(\inst1|16~regout ), + .datab(vcc), + .datac(\inst1|1~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst20|o[1]~17_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[1]~17 .lut_mask = 16'h5FA0; +defparam \inst20|o[1]~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N0 +cycloneii_lcell_comb \inst17|b~3 ( +// Equation(s): +// \inst17|b~3_combout = (\inst1|16~regout & (\inst1|8~regout & ((!\inst1|15~regout )))) # (!\inst1|16~regout & (\inst1|15~regout & (\inst1|8~regout $ (\inst1|1~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|b~3 .lut_mask = 16'h1488; +defparam \inst17|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N10 +cycloneii_lcell_comb \inst17|c~1 ( +// Equation(s): +// \inst17|c~1_combout = (\inst1|8~regout & (!\inst1|1~regout & (\inst1|16~regout $ (!\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|c~1 .lut_mask = 16'h0804; +defparam \inst17|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N20 +cycloneii_lcell_comb \inst17|d~0 ( +// Equation(s): +// \inst17|d~0_combout = (\inst1|8~regout & (\inst1|1~regout & \inst1|15~regout )) # (!\inst1|8~regout & (\inst1|1~regout $ (\inst1|15~regout ))) + + .dataa(vcc), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|d~0 .lut_mask = 16'hC330; +defparam \inst17|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N30 +cycloneii_lcell_comb \inst17|e~0 ( +// Equation(s): +// \inst17|e~0_combout = (\inst1|1~regout ) # ((!\inst1|8~regout & \inst1|15~regout )) + + .dataa(vcc), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|e~0 .lut_mask = 16'hF3F0; +defparam \inst17|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N24 +cycloneii_lcell_comb \inst17|f~0 ( +// Equation(s): +// \inst17|f~0_combout = (\inst1|8~regout & ((\inst1|16~regout & ((\inst1|15~regout ))) # (!\inst1|16~regout & ((\inst1|1~regout ) # (!\inst1|15~regout ))))) # (!\inst1|8~regout & (\inst1|1~regout & ((\inst1|16~regout ) # (!\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|f~0 .lut_mask = 16'hE874; +defparam \inst17|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N2 +cycloneii_lcell_comb \inst17|g~0 ( +// Equation(s): +// \inst17|g~0_combout = (\inst1|8~regout & (((!\inst1|15~regout ) # (!\inst1|1~regout )))) # (!\inst1|8~regout & ((\inst1|15~regout ) # ((\inst1|16~regout & !\inst1|1~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|g~0 .lut_mask = 16'h3FCE; +defparam \inst17|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_D20, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A4)); +// synopsys translate_off +defparam \INPUT_A4~I .input_async_reset = "none"; +defparam \INPUT_A4~I .input_power_up = "low"; +defparam \INPUT_A4~I .input_register_mode = "none"; +defparam \INPUT_A4~I .input_sync_reset = "none"; +defparam \INPUT_A4~I .oe_async_reset = "none"; +defparam \INPUT_A4~I .oe_power_up = "low"; +defparam \INPUT_A4~I .oe_register_mode = "none"; +defparam \INPUT_A4~I .oe_sync_reset = "none"; +defparam \INPUT_A4~I .operation_mode = "input"; +defparam \INPUT_A4~I .output_async_reset = "none"; +defparam \INPUT_A4~I .output_power_up = "low"; +defparam \INPUT_A4~I .output_register_mode = "none"; +defparam \INPUT_A4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N20 +cycloneii_lcell_comb \inst23|v~1 ( +// Equation(s): +// \inst23|v~1_combout = (\INPUT_A4~combout & (!\inst21|o4~2_combout & ((\inst|21~0_combout ) # (\inst|1~0_combout )))) # (!\INPUT_A4~combout & (\inst21|o4~2_combout & (!\inst|21~0_combout & !\inst|1~0_combout ))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst23|v~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst23|v~1 .lut_mask = 16'h2224; +defparam \inst23|v~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_A17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst17|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[3]~I ( + .datain(\inst20|o[3]~15_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[3])); +// synopsys translate_off +defparam \i[3]~I .input_async_reset = "none"; +defparam \i[3]~I .input_power_up = "low"; +defparam \i[3]~I .input_register_mode = "none"; +defparam \i[3]~I .input_sync_reset = "none"; +defparam \i[3]~I .oe_async_reset = "none"; +defparam \i[3]~I .oe_power_up = "low"; +defparam \i[3]~I .oe_register_mode = "none"; +defparam \i[3]~I .oe_sync_reset = "none"; +defparam \i[3]~I .operation_mode = "output"; +defparam \i[3]~I .output_async_reset = "none"; +defparam \i[3]~I .output_power_up = "low"; +defparam \i[3]~I .output_register_mode = "none"; +defparam \i[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[2]~I ( + .datain(\inst20|o[2]~16_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[2])); +// synopsys translate_off +defparam \i[2]~I .input_async_reset = "none"; +defparam \i[2]~I .input_power_up = "low"; +defparam \i[2]~I .input_register_mode = "none"; +defparam \i[2]~I .input_sync_reset = "none"; +defparam \i[2]~I .oe_async_reset = "none"; +defparam \i[2]~I .oe_power_up = "low"; +defparam \i[2]~I .oe_register_mode = "none"; +defparam \i[2]~I .oe_sync_reset = "none"; +defparam \i[2]~I .operation_mode = "output"; +defparam \i[2]~I .output_async_reset = "none"; +defparam \i[2]~I .output_power_up = "low"; +defparam \i[2]~I .output_register_mode = "none"; +defparam \i[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[1]~I ( + .datain(\inst20|o[1]~17_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[1])); +// synopsys translate_off +defparam \i[1]~I .input_async_reset = "none"; +defparam \i[1]~I .input_power_up = "low"; +defparam \i[1]~I .input_register_mode = "none"; +defparam \i[1]~I .input_sync_reset = "none"; +defparam \i[1]~I .oe_async_reset = "none"; +defparam \i[1]~I .oe_power_up = "low"; +defparam \i[1]~I .oe_register_mode = "none"; +defparam \i[1]~I .oe_sync_reset = "none"; +defparam \i[1]~I .operation_mode = "output"; +defparam \i[1]~I .output_async_reset = "none"; +defparam \i[1]~I .output_power_up = "low"; +defparam \i[1]~I .output_register_mode = "none"; +defparam \i[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[0]~I ( + .datain(\inst1|1~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[0])); +// synopsys translate_off +defparam \i[0]~I .input_async_reset = "none"; +defparam \i[0]~I .input_power_up = "low"; +defparam \i[0]~I .input_register_mode = "none"; +defparam \i[0]~I .input_sync_reset = "none"; +defparam \i[0]~I .oe_async_reset = "none"; +defparam \i[0]~I .oe_power_up = "low"; +defparam \i[0]~I .oe_register_mode = "none"; +defparam \i[0]~I .oe_sync_reset = "none"; +defparam \i[0]~I .operation_mode = "output"; +defparam \i[0]~I .output_async_reset = "none"; +defparam \i[0]~I .output_power_up = "low"; +defparam \i[0]~I .output_register_mode = "none"; +defparam \i[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst17|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst17|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst17|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst17|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst17|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst17|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A2)); +// synopsys translate_off +defparam \OUTPUT_A2~I .input_async_reset = "none"; +defparam \OUTPUT_A2~I .input_power_up = "low"; +defparam \OUTPUT_A2~I .input_register_mode = "none"; +defparam \OUTPUT_A2~I .input_sync_reset = "none"; +defparam \OUTPUT_A2~I .oe_async_reset = "none"; +defparam \OUTPUT_A2~I .oe_power_up = "low"; +defparam \OUTPUT_A2~I .oe_register_mode = "none"; +defparam \OUTPUT_A2~I .oe_sync_reset = "none"; +defparam \OUTPUT_A2~I .operation_mode = "output"; +defparam \OUTPUT_A2~I .output_async_reset = "none"; +defparam \OUTPUT_A2~I .output_power_up = "low"; +defparam \OUTPUT_A2~I .output_register_mode = "none"; +defparam \OUTPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B2)); +// synopsys translate_off +defparam \OUTPUT_B2~I .input_async_reset = "none"; +defparam \OUTPUT_B2~I .input_power_up = "low"; +defparam \OUTPUT_B2~I .input_register_mode = "none"; +defparam \OUTPUT_B2~I .input_sync_reset = "none"; +defparam \OUTPUT_B2~I .oe_async_reset = "none"; +defparam \OUTPUT_B2~I .oe_power_up = "low"; +defparam \OUTPUT_B2~I .oe_register_mode = "none"; +defparam \OUTPUT_B2~I .oe_sync_reset = "none"; +defparam \OUTPUT_B2~I .operation_mode = "output"; +defparam \OUTPUT_B2~I .output_async_reset = "none"; +defparam \OUTPUT_B2~I .output_power_up = "low"; +defparam \OUTPUT_B2~I .output_register_mode = "none"; +defparam \OUTPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_Y13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C2)); +// synopsys translate_off +defparam \OUTPUT_C2~I .input_async_reset = "none"; +defparam \OUTPUT_C2~I .input_power_up = "low"; +defparam \OUTPUT_C2~I .input_register_mode = "none"; +defparam \OUTPUT_C2~I .input_sync_reset = "none"; +defparam \OUTPUT_C2~I .oe_async_reset = "none"; +defparam \OUTPUT_C2~I .oe_power_up = "low"; +defparam \OUTPUT_C2~I .oe_register_mode = "none"; +defparam \OUTPUT_C2~I .oe_sync_reset = "none"; +defparam \OUTPUT_C2~I .operation_mode = "output"; +defparam \OUTPUT_C2~I .output_async_reset = "none"; +defparam \OUTPUT_C2~I .output_power_up = "low"; +defparam \OUTPUT_C2~I .output_register_mode = "none"; +defparam \OUTPUT_C2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_AA17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D2)); +// synopsys translate_off +defparam \OUTPUT_D2~I .input_async_reset = "none"; +defparam \OUTPUT_D2~I .input_power_up = "low"; +defparam \OUTPUT_D2~I .input_register_mode = "none"; +defparam \OUTPUT_D2~I .input_sync_reset = "none"; +defparam \OUTPUT_D2~I .oe_async_reset = "none"; +defparam \OUTPUT_D2~I .oe_power_up = "low"; +defparam \OUTPUT_D2~I .oe_register_mode = "none"; +defparam \OUTPUT_D2~I .oe_sync_reset = "none"; +defparam \OUTPUT_D2~I .operation_mode = "output"; +defparam \OUTPUT_D2~I .output_async_reset = "none"; +defparam \OUTPUT_D2~I .output_power_up = "low"; +defparam \OUTPUT_D2~I .output_register_mode = "none"; +defparam \OUTPUT_D2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_V9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E2)); +// synopsys translate_off +defparam \OUTPUT_E2~I .input_async_reset = "none"; +defparam \OUTPUT_E2~I .input_power_up = "low"; +defparam \OUTPUT_E2~I .input_register_mode = "none"; +defparam \OUTPUT_E2~I .input_sync_reset = "none"; +defparam \OUTPUT_E2~I .oe_async_reset = "none"; +defparam \OUTPUT_E2~I .oe_power_up = "low"; +defparam \OUTPUT_E2~I .oe_register_mode = "none"; +defparam \OUTPUT_E2~I .oe_sync_reset = "none"; +defparam \OUTPUT_E2~I .operation_mode = "output"; +defparam \OUTPUT_E2~I .output_async_reset = "none"; +defparam \OUTPUT_E2~I .output_power_up = "low"; +defparam \OUTPUT_E2~I .output_register_mode = "none"; +defparam \OUTPUT_E2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F2)); +// synopsys translate_off +defparam \OUTPUT_F2~I .input_async_reset = "none"; +defparam \OUTPUT_F2~I .input_power_up = "low"; +defparam \OUTPUT_F2~I .input_register_mode = "none"; +defparam \OUTPUT_F2~I .input_sync_reset = "none"; +defparam \OUTPUT_F2~I .oe_async_reset = "none"; +defparam \OUTPUT_F2~I .oe_power_up = "low"; +defparam \OUTPUT_F2~I .oe_register_mode = "none"; +defparam \OUTPUT_F2~I .oe_sync_reset = "none"; +defparam \OUTPUT_F2~I .operation_mode = "output"; +defparam \OUTPUT_F2~I .output_async_reset = "none"; +defparam \OUTPUT_F2~I .output_power_up = "low"; +defparam \OUTPUT_F2~I .output_register_mode = "none"; +defparam \OUTPUT_F2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G2~I ( + .datain(\inst1|16~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G2)); +// synopsys translate_off +defparam \OUTPUT_G2~I .input_async_reset = "none"; +defparam \OUTPUT_G2~I .input_power_up = "low"; +defparam \OUTPUT_G2~I .input_register_mode = "none"; +defparam \OUTPUT_G2~I .input_sync_reset = "none"; +defparam \OUTPUT_G2~I .oe_async_reset = "none"; +defparam \OUTPUT_G2~I .oe_power_up = "low"; +defparam \OUTPUT_G2~I .oe_register_mode = "none"; +defparam \OUTPUT_G2~I .oe_sync_reset = "none"; +defparam \OUTPUT_G2~I .operation_mode = "output"; +defparam \OUTPUT_G2~I .output_async_reset = "none"; +defparam \OUTPUT_G2~I .output_power_up = "low"; +defparam \OUTPUT_G2~I .output_register_mode = "none"; +defparam \OUTPUT_G2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \overflow~I ( + .datain(\inst23|v~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(overflow)); +// synopsys translate_off +defparam \overflow~I .input_async_reset = "none"; +defparam \overflow~I .input_power_up = "low"; +defparam \overflow~I .input_register_mode = "none"; +defparam \overflow~I .input_sync_reset = "none"; +defparam \overflow~I .oe_async_reset = "none"; +defparam \overflow~I .oe_power_up = "low"; +defparam \overflow~I .oe_register_mode = "none"; +defparam \overflow~I .oe_sync_reset = "none"; +defparam \overflow~I .operation_mode = "output"; +defparam \overflow~I .output_async_reset = "none"; +defparam \overflow~I .output_power_up = "low"; +defparam \overflow~I .output_register_mode = "none"; +defparam \overflow~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \pin_name1~I ( + .datain(\inst1|1~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(pin_name1)); +// synopsys translate_off +defparam \pin_name1~I .input_async_reset = "none"; +defparam \pin_name1~I .input_power_up = "low"; +defparam \pin_name1~I .input_register_mode = "none"; +defparam \pin_name1~I .input_sync_reset = "none"; +defparam \pin_name1~I .oe_async_reset = "none"; +defparam \pin_name1~I .oe_power_up = "low"; +defparam \pin_name1~I .oe_register_mode = "none"; +defparam \pin_name1~I .oe_sync_reset = "none"; +defparam \pin_name1~I .operation_mode = "output"; +defparam \pin_name1~I .output_async_reset = "none"; +defparam \pin_name1~I .output_power_up = "low"; +defparam \pin_name1~I .output_register_mode = "none"; +defparam \pin_name1~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_adder/simulation/modelsim/YL_adder_fast.vo b/YL_adder/simulation/modelsim/YL_adder_fast.vo new file mode 100644 index 0000000..3e9baee --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder_fast.vo @@ -0,0 +1,1747 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/04/2020 17:05:47" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_adder ( + OUTPUT_A, + i, + reset, + INPUT_B1, + INPUT_B2, + INPUT_B3, + INPUT_B4, + isAdd, + INPUT_A1, + INPUT_A2, + INPUT_A3, + INPUT_A4, + clk, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G, + OUTPUT_A2, + OUTPUT_B2, + OUTPUT_C2, + OUTPUT_D2, + OUTPUT_E2, + OUTPUT_F2, + OUTPUT_G2, + overflow, + pin_name1); +output OUTPUT_A; +output [3:0] i; +input reset; +input INPUT_B1; +input INPUT_B2; +input INPUT_B3; +input INPUT_B4; +input isAdd; +input INPUT_A1; +input INPUT_A2; +input INPUT_A3; +input INPUT_A4; +input clk; +output OUTPUT_B; +output OUTPUT_C; +output OUTPUT_D; +output OUTPUT_E; +output OUTPUT_F; +output OUTPUT_G; +output OUTPUT_A2; +output OUTPUT_B2; +output OUTPUT_C2; +output OUTPUT_D2; +output OUTPUT_E2; +output OUTPUT_F2; +output OUTPUT_G2; +output overflow; +output pin_name1; + +// Design Ports Information +// OUTPUT_A => Location: PIN_A17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[3] => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[2] => Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[1] => Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// i[0] => Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_F13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_A2 => Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B2 => Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C2 => Location: PIN_Y13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D2 => Location: PIN_AA17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E2 => Location: PIN_V9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F2 => Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G2 => Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// overflow => Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// pin_name1 => Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// INPUT_A4 => Location: PIN_D20, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B3 => Location: PIN_D14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// isAdd => Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A3 => Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B2 => Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B1 => Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A1 => Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A2 => Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B4 => Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// reset => Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_adder_v_fast.sdo"); +// synopsys translate_on + +wire \inst|44~0_combout ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \INPUT_B4~combout ; +wire \isAdd~combout ; +wire \inst21|o4~2_combout ; +wire \INPUT_A3~combout ; +wire \INPUT_B3~combout ; +wire \inst|21~0_combout ; +wire \INPUT_B2~combout ; +wire \inst21|o2~2_combout ; +wire \INPUT_A2~combout ; +wire \inst|25~combout ; +wire \inst|1~0_combout ; +wire \inst|45~combout ; +wire \reset~combout ; +wire \reset~clkctrl_outclk ; +wire \inst1|16~regout ; +wire \INPUT_B1~combout ; +wire \INPUT_A1~combout ; +wire \inst|18~0_combout ; +wire \inst|43~combout ; +wire \inst1|8~regout ; +wire \inst|42~combout ; +wire \inst1|1~regout ; +wire \inst|44~combout ; +wire \inst1|15~regout ; +wire \inst17|a~12_combout ; +wire \inst20|o[3]~15_combout ; +wire \inst20|o[2]~16_combout ; +wire \inst20|o[1]~17_combout ; +wire \inst17|b~3_combout ; +wire \inst17|c~1_combout ; +wire \inst17|d~0_combout ; +wire \inst17|e~0_combout ; +wire \inst17|f~0_combout ; +wire \inst17|g~0_combout ; +wire \INPUT_A4~combout ; +wire \inst23|v~1_combout ; + + +// Location: LCCOMB_X43_Y26_N6 +cycloneii_lcell_comb \inst|44~0 ( +// Equation(s): +// \inst|44~0_combout = \INPUT_A3~combout $ (\INPUT_B3~combout $ (\isAdd~combout )) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|44~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|44~0 .lut_mask = 16'hC33C; +defparam \inst|44~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_L2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B4)); +// synopsys translate_off +defparam \INPUT_B4~I .input_async_reset = "none"; +defparam \INPUT_B4~I .input_power_up = "low"; +defparam \INPUT_B4~I .input_register_mode = "none"; +defparam \INPUT_B4~I .input_sync_reset = "none"; +defparam \INPUT_B4~I .oe_async_reset = "none"; +defparam \INPUT_B4~I .oe_power_up = "low"; +defparam \INPUT_B4~I .oe_register_mode = "none"; +defparam \INPUT_B4~I .oe_sync_reset = "none"; +defparam \INPUT_B4~I .operation_mode = "input"; +defparam \INPUT_B4~I .output_async_reset = "none"; +defparam \INPUT_B4~I .output_power_up = "low"; +defparam \INPUT_B4~I .output_register_mode = "none"; +defparam \INPUT_B4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \isAdd~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\isAdd~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(isAdd)); +// synopsys translate_off +defparam \isAdd~I .input_async_reset = "none"; +defparam \isAdd~I .input_power_up = "low"; +defparam \isAdd~I .input_register_mode = "none"; +defparam \isAdd~I .input_sync_reset = "none"; +defparam \isAdd~I .oe_async_reset = "none"; +defparam \isAdd~I .oe_power_up = "low"; +defparam \isAdd~I .oe_register_mode = "none"; +defparam \isAdd~I .oe_sync_reset = "none"; +defparam \isAdd~I .operation_mode = "input"; +defparam \isAdd~I .output_async_reset = "none"; +defparam \isAdd~I .output_power_up = "low"; +defparam \isAdd~I .output_register_mode = "none"; +defparam \isAdd~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N2 +cycloneii_lcell_comb \inst21|o4~2 ( +// Equation(s): +// \inst21|o4~2_combout = \INPUT_B4~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B4~combout ), + .datac(vcc), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst21|o4~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o4~2 .lut_mask = 16'h33CC; +defparam \inst21|o4~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A3)); +// synopsys translate_off +defparam \INPUT_A3~I .input_async_reset = "none"; +defparam \INPUT_A3~I .input_power_up = "low"; +defparam \INPUT_A3~I .input_register_mode = "none"; +defparam \INPUT_A3~I .input_sync_reset = "none"; +defparam \INPUT_A3~I .oe_async_reset = "none"; +defparam \INPUT_A3~I .oe_power_up = "low"; +defparam \INPUT_A3~I .oe_register_mode = "none"; +defparam \INPUT_A3~I .oe_sync_reset = "none"; +defparam \INPUT_A3~I .operation_mode = "input"; +defparam \INPUT_A3~I .output_async_reset = "none"; +defparam \INPUT_A3~I .output_power_up = "low"; +defparam \INPUT_A3~I .output_register_mode = "none"; +defparam \INPUT_A3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B3)); +// synopsys translate_off +defparam \INPUT_B3~I .input_async_reset = "none"; +defparam \INPUT_B3~I .input_power_up = "low"; +defparam \INPUT_B3~I .input_register_mode = "none"; +defparam \INPUT_B3~I .input_sync_reset = "none"; +defparam \INPUT_B3~I .oe_async_reset = "none"; +defparam \INPUT_B3~I .oe_power_up = "low"; +defparam \INPUT_B3~I .oe_register_mode = "none"; +defparam \INPUT_B3~I .oe_sync_reset = "none"; +defparam \INPUT_B3~I .operation_mode = "input"; +defparam \INPUT_B3~I .output_async_reset = "none"; +defparam \INPUT_B3~I .output_power_up = "low"; +defparam \INPUT_B3~I .output_register_mode = "none"; +defparam \INPUT_B3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N24 +cycloneii_lcell_comb \inst|21~0 ( +// Equation(s): +// \inst|21~0_combout = (!\INPUT_A3~combout & (\INPUT_B3~combout $ (\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|21~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|21~0 .lut_mask = 16'h0330; +defparam \inst|21~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B2)); +// synopsys translate_off +defparam \INPUT_B2~I .input_async_reset = "none"; +defparam \INPUT_B2~I .input_power_up = "low"; +defparam \INPUT_B2~I .input_register_mode = "none"; +defparam \INPUT_B2~I .input_sync_reset = "none"; +defparam \INPUT_B2~I .oe_async_reset = "none"; +defparam \INPUT_B2~I .oe_power_up = "low"; +defparam \INPUT_B2~I .oe_register_mode = "none"; +defparam \INPUT_B2~I .oe_sync_reset = "none"; +defparam \INPUT_B2~I .operation_mode = "input"; +defparam \INPUT_B2~I .output_async_reset = "none"; +defparam \INPUT_B2~I .output_power_up = "low"; +defparam \INPUT_B2~I .output_register_mode = "none"; +defparam \INPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N18 +cycloneii_lcell_comb \inst21|o2~2 ( +// Equation(s): +// \inst21|o2~2_combout = \INPUT_B2~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B2~combout ), + .datac(vcc), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst21|o2~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o2~2 .lut_mask = 16'h33CC; +defparam \inst21|o2~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_L1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A2)); +// synopsys translate_off +defparam \INPUT_A2~I .input_async_reset = "none"; +defparam \INPUT_A2~I .input_power_up = "low"; +defparam \INPUT_A2~I .input_register_mode = "none"; +defparam \INPUT_A2~I .input_sync_reset = "none"; +defparam \INPUT_A2~I .oe_async_reset = "none"; +defparam \INPUT_A2~I .oe_power_up = "low"; +defparam \INPUT_A2~I .oe_register_mode = "none"; +defparam \INPUT_A2~I .oe_sync_reset = "none"; +defparam \INPUT_A2~I .operation_mode = "input"; +defparam \INPUT_A2~I .output_async_reset = "none"; +defparam \INPUT_A2~I .output_power_up = "low"; +defparam \INPUT_A2~I .output_register_mode = "none"; +defparam \INPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N30 +cycloneii_lcell_comb \inst|25 ( +// Equation(s): +// \inst|25~combout = (\INPUT_A3~combout & (\INPUT_B3~combout $ (!\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_A3~combout ), + .datac(\INPUT_B3~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|25~combout ), + .cout()); +// synopsys translate_off +defparam \inst|25 .lut_mask = 16'hC00C; +defparam \inst|25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N0 +cycloneii_lcell_comb \inst|1~0 ( +// Equation(s): +// \inst|1~0_combout = (!\inst|25~combout & ((\inst|18~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|18~0_combout & (\inst21|o2~2_combout & !\INPUT_A2~combout )))) + + .dataa(\inst|18~0_combout ), + .datab(\inst21|o2~2_combout ), + .datac(\INPUT_A2~combout ), + .datad(\inst|25~combout ), + .cin(gnd), + .combout(\inst|1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|1~0 .lut_mask = 16'h008E; +defparam \inst|1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N10 +cycloneii_lcell_comb \inst|45 ( +// Equation(s): +// \inst|45~combout = \INPUT_A4~combout $ (\inst21|o4~2_combout $ (((\inst|21~0_combout ) # (\inst|1~0_combout )))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst|45~combout ), + .cout()); +// synopsys translate_off +defparam \inst|45 .lut_mask = 16'h9996; +defparam \inst|45 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \reset~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(reset)); +// synopsys translate_off +defparam \reset~I .input_async_reset = "none"; +defparam \reset~I .input_power_up = "low"; +defparam \reset~I .input_register_mode = "none"; +defparam \reset~I .input_sync_reset = "none"; +defparam \reset~I .oe_async_reset = "none"; +defparam \reset~I .oe_power_up = "low"; +defparam \reset~I .oe_register_mode = "none"; +defparam \reset~I .oe_sync_reset = "none"; +defparam \reset~I .operation_mode = "input"; +defparam \reset~I .output_async_reset = "none"; +defparam \reset~I .output_power_up = "low"; +defparam \reset~I .output_register_mode = "none"; +defparam \reset~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G1 +cycloneii_clkctrl \reset~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\reset~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reset~clkctrl_outclk )); +// synopsys translate_off +defparam \reset~clkctrl .clock_type = "global clock"; +defparam \reset~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N11 +cycloneii_lcell_ff \inst1|16 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|45~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|16~regout )); + +// Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B1)); +// synopsys translate_off +defparam \INPUT_B1~I .input_async_reset = "none"; +defparam \INPUT_B1~I .input_power_up = "low"; +defparam \INPUT_B1~I .input_register_mode = "none"; +defparam \INPUT_B1~I .input_sync_reset = "none"; +defparam \INPUT_B1~I .oe_async_reset = "none"; +defparam \INPUT_B1~I .oe_power_up = "low"; +defparam \INPUT_B1~I .oe_register_mode = "none"; +defparam \INPUT_B1~I .oe_sync_reset = "none"; +defparam \INPUT_B1~I .operation_mode = "input"; +defparam \INPUT_B1~I .output_async_reset = "none"; +defparam \INPUT_B1~I .output_power_up = "low"; +defparam \INPUT_B1~I .output_register_mode = "none"; +defparam \INPUT_B1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A1)); +// synopsys translate_off +defparam \INPUT_A1~I .input_async_reset = "none"; +defparam \INPUT_A1~I .input_power_up = "low"; +defparam \INPUT_A1~I .input_register_mode = "none"; +defparam \INPUT_A1~I .input_sync_reset = "none"; +defparam \INPUT_A1~I .oe_async_reset = "none"; +defparam \INPUT_A1~I .oe_power_up = "low"; +defparam \INPUT_A1~I .oe_register_mode = "none"; +defparam \INPUT_A1~I .oe_sync_reset = "none"; +defparam \INPUT_A1~I .operation_mode = "input"; +defparam \INPUT_A1~I .output_async_reset = "none"; +defparam \INPUT_A1~I .output_power_up = "low"; +defparam \INPUT_A1~I .output_register_mode = "none"; +defparam \INPUT_A1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N28 +cycloneii_lcell_comb \inst|18~0 ( +// Equation(s): +// \inst|18~0_combout = (\INPUT_B1~combout & (!\INPUT_A1~combout )) # (!\INPUT_B1~combout & ((\isAdd~combout ))) + + .dataa(vcc), + .datab(\INPUT_B1~combout ), + .datac(\INPUT_A1~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|18~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|18~0 .lut_mask = 16'h3F0C; +defparam \inst|18~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N22 +cycloneii_lcell_comb \inst|43 ( +// Equation(s): +// \inst|43~combout = \INPUT_B2~combout $ (\inst|18~0_combout $ (\INPUT_A2~combout $ (\isAdd~combout ))) + + .dataa(\INPUT_B2~combout ), + .datab(\inst|18~0_combout ), + .datac(\INPUT_A2~combout ), + .datad(\isAdd~combout ), + .cin(gnd), + .combout(\inst|43~combout ), + .cout()); +// synopsys translate_off +defparam \inst|43 .lut_mask = 16'h6996; +defparam \inst|43 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N23 +cycloneii_lcell_ff \inst1|8 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|43~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|8~regout )); + +// Location: LCCOMB_X43_Y26_N12 +cycloneii_lcell_comb \inst|42 ( +// Equation(s): +// \inst|42~combout = \INPUT_A1~combout $ (\INPUT_B1~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\INPUT_A1~combout ), + .datad(\INPUT_B1~combout ), + .cin(gnd), + .combout(\inst|42~combout ), + .cout()); +// synopsys translate_off +defparam \inst|42 .lut_mask = 16'h0FF0; +defparam \inst|42 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N13 +cycloneii_lcell_ff \inst1|1 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|42~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|1~regout )); + +// Location: LCCOMB_X43_Y26_N8 +cycloneii_lcell_comb \inst|44 ( +// Equation(s): +// \inst|44~combout = \inst|44~0_combout $ (((\inst|18~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|18~0_combout & (!\INPUT_A2~combout & \inst21|o2~2_combout )))) + + .dataa(\inst|44~0_combout ), + .datab(\inst|18~0_combout ), + .datac(\INPUT_A2~combout ), + .datad(\inst21|o2~2_combout ), + .cin(gnd), + .combout(\inst|44~combout ), + .cout()); +// synopsys translate_off +defparam \inst|44 .lut_mask = 16'h65A6; +defparam \inst|44 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N9 +cycloneii_lcell_ff \inst1|15 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|44~combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|15~regout )); + +// Location: LCCOMB_X39_Y26_N8 +cycloneii_lcell_comb \inst17|a~12 ( +// Equation(s): +// \inst17|a~12_combout = (\inst1|16~regout & (\inst1|15~regout & (\inst1|8~regout $ (!\inst1|1~regout )))) # (!\inst1|16~regout & (!\inst1|8~regout & (\inst1|1~regout $ (\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|a~12 .lut_mask = 16'h8310; +defparam \inst17|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N18 +cycloneii_lcell_comb \inst20|o[3]~15 ( +// Equation(s): +// \inst20|o[3]~15_combout = (\inst1|16~regout & (!\inst1|8~regout & (!\inst1|1~regout & !\inst1|15~regout ))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst20|o[3]~15_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[3]~15 .lut_mask = 16'h0002; +defparam \inst20|o[3]~15 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N12 +cycloneii_lcell_comb \inst20|o[2]~16 ( +// Equation(s): +// \inst20|o[2]~16_combout = \inst1|15~regout $ (((\inst1|16~regout & ((\inst1|8~regout ) # (\inst1|1~regout ))))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst20|o[2]~16_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[2]~16 .lut_mask = 16'h57A8; +defparam \inst20|o[2]~16 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N22 +cycloneii_lcell_comb \inst20|o[1]~17 ( +// Equation(s): +// \inst20|o[1]~17_combout = \inst1|8~regout $ (((\inst1|16~regout & \inst1|1~regout ))) + + .dataa(\inst1|16~regout ), + .datab(vcc), + .datac(\inst1|1~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst20|o[1]~17_combout ), + .cout()); +// synopsys translate_off +defparam \inst20|o[1]~17 .lut_mask = 16'h5FA0; +defparam \inst20|o[1]~17 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N0 +cycloneii_lcell_comb \inst17|b~3 ( +// Equation(s): +// \inst17|b~3_combout = (\inst1|16~regout & (\inst1|8~regout & ((!\inst1|15~regout )))) # (!\inst1|16~regout & (\inst1|15~regout & (\inst1|8~regout $ (\inst1|1~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|b~3 .lut_mask = 16'h1488; +defparam \inst17|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N10 +cycloneii_lcell_comb \inst17|c~1 ( +// Equation(s): +// \inst17|c~1_combout = (\inst1|8~regout & (!\inst1|1~regout & (\inst1|16~regout $ (!\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|c~1 .lut_mask = 16'h0804; +defparam \inst17|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N20 +cycloneii_lcell_comb \inst17|d~0 ( +// Equation(s): +// \inst17|d~0_combout = (\inst1|8~regout & (\inst1|1~regout & \inst1|15~regout )) # (!\inst1|8~regout & (\inst1|1~regout $ (\inst1|15~regout ))) + + .dataa(vcc), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|d~0 .lut_mask = 16'hC330; +defparam \inst17|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N30 +cycloneii_lcell_comb \inst17|e~0 ( +// Equation(s): +// \inst17|e~0_combout = (\inst1|1~regout ) # ((!\inst1|8~regout & \inst1|15~regout )) + + .dataa(vcc), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|e~0 .lut_mask = 16'hF3F0; +defparam \inst17|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N24 +cycloneii_lcell_comb \inst17|f~0 ( +// Equation(s): +// \inst17|f~0_combout = (\inst1|8~regout & ((\inst1|16~regout & ((\inst1|15~regout ))) # (!\inst1|16~regout & ((\inst1|1~regout ) # (!\inst1|15~regout ))))) # (!\inst1|8~regout & (\inst1|1~regout & ((\inst1|16~regout ) # (!\inst1|15~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|f~0 .lut_mask = 16'hE874; +defparam \inst17|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X39_Y26_N2 +cycloneii_lcell_comb \inst17|g~0 ( +// Equation(s): +// \inst17|g~0_combout = (\inst1|8~regout & (((!\inst1|15~regout ) # (!\inst1|1~regout )))) # (!\inst1|8~regout & ((\inst1|15~regout ) # ((\inst1|16~regout & !\inst1|1~regout )))) + + .dataa(\inst1|16~regout ), + .datab(\inst1|8~regout ), + .datac(\inst1|1~regout ), + .datad(\inst1|15~regout ), + .cin(gnd), + .combout(\inst17|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|g~0 .lut_mask = 16'h3FCE; +defparam \inst17|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_D20, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A4)); +// synopsys translate_off +defparam \INPUT_A4~I .input_async_reset = "none"; +defparam \INPUT_A4~I .input_power_up = "low"; +defparam \INPUT_A4~I .input_register_mode = "none"; +defparam \INPUT_A4~I .input_sync_reset = "none"; +defparam \INPUT_A4~I .oe_async_reset = "none"; +defparam \INPUT_A4~I .oe_power_up = "low"; +defparam \INPUT_A4~I .oe_register_mode = "none"; +defparam \INPUT_A4~I .oe_sync_reset = "none"; +defparam \INPUT_A4~I .operation_mode = "input"; +defparam \INPUT_A4~I .output_async_reset = "none"; +defparam \INPUT_A4~I .output_power_up = "low"; +defparam \INPUT_A4~I .output_register_mode = "none"; +defparam \INPUT_A4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N20 +cycloneii_lcell_comb \inst23|v~1 ( +// Equation(s): +// \inst23|v~1_combout = (\INPUT_A4~combout & (!\inst21|o4~2_combout & ((\inst|21~0_combout ) # (\inst|1~0_combout )))) # (!\INPUT_A4~combout & (\inst21|o4~2_combout & (!\inst|21~0_combout & !\inst|1~0_combout ))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst23|v~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst23|v~1 .lut_mask = 16'h2224; +defparam \inst23|v~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_A17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst17|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[3]~I ( + .datain(\inst20|o[3]~15_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[3])); +// synopsys translate_off +defparam \i[3]~I .input_async_reset = "none"; +defparam \i[3]~I .input_power_up = "low"; +defparam \i[3]~I .input_register_mode = "none"; +defparam \i[3]~I .input_sync_reset = "none"; +defparam \i[3]~I .oe_async_reset = "none"; +defparam \i[3]~I .oe_power_up = "low"; +defparam \i[3]~I .oe_register_mode = "none"; +defparam \i[3]~I .oe_sync_reset = "none"; +defparam \i[3]~I .operation_mode = "output"; +defparam \i[3]~I .output_async_reset = "none"; +defparam \i[3]~I .output_power_up = "low"; +defparam \i[3]~I .output_register_mode = "none"; +defparam \i[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[2]~I ( + .datain(\inst20|o[2]~16_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[2])); +// synopsys translate_off +defparam \i[2]~I .input_async_reset = "none"; +defparam \i[2]~I .input_power_up = "low"; +defparam \i[2]~I .input_register_mode = "none"; +defparam \i[2]~I .input_sync_reset = "none"; +defparam \i[2]~I .oe_async_reset = "none"; +defparam \i[2]~I .oe_power_up = "low"; +defparam \i[2]~I .oe_register_mode = "none"; +defparam \i[2]~I .oe_sync_reset = "none"; +defparam \i[2]~I .operation_mode = "output"; +defparam \i[2]~I .output_async_reset = "none"; +defparam \i[2]~I .output_power_up = "low"; +defparam \i[2]~I .output_register_mode = "none"; +defparam \i[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[1]~I ( + .datain(\inst20|o[1]~17_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[1])); +// synopsys translate_off +defparam \i[1]~I .input_async_reset = "none"; +defparam \i[1]~I .input_power_up = "low"; +defparam \i[1]~I .input_register_mode = "none"; +defparam \i[1]~I .input_sync_reset = "none"; +defparam \i[1]~I .oe_async_reset = "none"; +defparam \i[1]~I .oe_power_up = "low"; +defparam \i[1]~I .oe_register_mode = "none"; +defparam \i[1]~I .oe_sync_reset = "none"; +defparam \i[1]~I .operation_mode = "output"; +defparam \i[1]~I .output_async_reset = "none"; +defparam \i[1]~I .output_power_up = "low"; +defparam \i[1]~I .output_register_mode = "none"; +defparam \i[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \i[0]~I ( + .datain(\inst1|1~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(i[0])); +// synopsys translate_off +defparam \i[0]~I .input_async_reset = "none"; +defparam \i[0]~I .input_power_up = "low"; +defparam \i[0]~I .input_register_mode = "none"; +defparam \i[0]~I .input_sync_reset = "none"; +defparam \i[0]~I .oe_async_reset = "none"; +defparam \i[0]~I .oe_power_up = "low"; +defparam \i[0]~I .oe_register_mode = "none"; +defparam \i[0]~I .oe_sync_reset = "none"; +defparam \i[0]~I .operation_mode = "output"; +defparam \i[0]~I .output_async_reset = "none"; +defparam \i[0]~I .output_power_up = "low"; +defparam \i[0]~I .output_register_mode = "none"; +defparam \i[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst17|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst17|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst17|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst17|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst17|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst17|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A2)); +// synopsys translate_off +defparam \OUTPUT_A2~I .input_async_reset = "none"; +defparam \OUTPUT_A2~I .input_power_up = "low"; +defparam \OUTPUT_A2~I .input_register_mode = "none"; +defparam \OUTPUT_A2~I .input_sync_reset = "none"; +defparam \OUTPUT_A2~I .oe_async_reset = "none"; +defparam \OUTPUT_A2~I .oe_power_up = "low"; +defparam \OUTPUT_A2~I .oe_register_mode = "none"; +defparam \OUTPUT_A2~I .oe_sync_reset = "none"; +defparam \OUTPUT_A2~I .operation_mode = "output"; +defparam \OUTPUT_A2~I .output_async_reset = "none"; +defparam \OUTPUT_A2~I .output_power_up = "low"; +defparam \OUTPUT_A2~I .output_register_mode = "none"; +defparam \OUTPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B2)); +// synopsys translate_off +defparam \OUTPUT_B2~I .input_async_reset = "none"; +defparam \OUTPUT_B2~I .input_power_up = "low"; +defparam \OUTPUT_B2~I .input_register_mode = "none"; +defparam \OUTPUT_B2~I .input_sync_reset = "none"; +defparam \OUTPUT_B2~I .oe_async_reset = "none"; +defparam \OUTPUT_B2~I .oe_power_up = "low"; +defparam \OUTPUT_B2~I .oe_register_mode = "none"; +defparam \OUTPUT_B2~I .oe_sync_reset = "none"; +defparam \OUTPUT_B2~I .operation_mode = "output"; +defparam \OUTPUT_B2~I .output_async_reset = "none"; +defparam \OUTPUT_B2~I .output_power_up = "low"; +defparam \OUTPUT_B2~I .output_register_mode = "none"; +defparam \OUTPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_Y13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C2)); +// synopsys translate_off +defparam \OUTPUT_C2~I .input_async_reset = "none"; +defparam \OUTPUT_C2~I .input_power_up = "low"; +defparam \OUTPUT_C2~I .input_register_mode = "none"; +defparam \OUTPUT_C2~I .input_sync_reset = "none"; +defparam \OUTPUT_C2~I .oe_async_reset = "none"; +defparam \OUTPUT_C2~I .oe_power_up = "low"; +defparam \OUTPUT_C2~I .oe_register_mode = "none"; +defparam \OUTPUT_C2~I .oe_sync_reset = "none"; +defparam \OUTPUT_C2~I .operation_mode = "output"; +defparam \OUTPUT_C2~I .output_async_reset = "none"; +defparam \OUTPUT_C2~I .output_power_up = "low"; +defparam \OUTPUT_C2~I .output_register_mode = "none"; +defparam \OUTPUT_C2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_AA17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D2)); +// synopsys translate_off +defparam \OUTPUT_D2~I .input_async_reset = "none"; +defparam \OUTPUT_D2~I .input_power_up = "low"; +defparam \OUTPUT_D2~I .input_register_mode = "none"; +defparam \OUTPUT_D2~I .input_sync_reset = "none"; +defparam \OUTPUT_D2~I .oe_async_reset = "none"; +defparam \OUTPUT_D2~I .oe_power_up = "low"; +defparam \OUTPUT_D2~I .oe_register_mode = "none"; +defparam \OUTPUT_D2~I .oe_sync_reset = "none"; +defparam \OUTPUT_D2~I .operation_mode = "output"; +defparam \OUTPUT_D2~I .output_async_reset = "none"; +defparam \OUTPUT_D2~I .output_power_up = "low"; +defparam \OUTPUT_D2~I .output_register_mode = "none"; +defparam \OUTPUT_D2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_V9, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E2)); +// synopsys translate_off +defparam \OUTPUT_E2~I .input_async_reset = "none"; +defparam \OUTPUT_E2~I .input_power_up = "low"; +defparam \OUTPUT_E2~I .input_register_mode = "none"; +defparam \OUTPUT_E2~I .input_sync_reset = "none"; +defparam \OUTPUT_E2~I .oe_async_reset = "none"; +defparam \OUTPUT_E2~I .oe_power_up = "low"; +defparam \OUTPUT_E2~I .oe_register_mode = "none"; +defparam \OUTPUT_E2~I .oe_sync_reset = "none"; +defparam \OUTPUT_E2~I .operation_mode = "output"; +defparam \OUTPUT_E2~I .output_async_reset = "none"; +defparam \OUTPUT_E2~I .output_power_up = "low"; +defparam \OUTPUT_E2~I .output_register_mode = "none"; +defparam \OUTPUT_E2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F2)); +// synopsys translate_off +defparam \OUTPUT_F2~I .input_async_reset = "none"; +defparam \OUTPUT_F2~I .input_power_up = "low"; +defparam \OUTPUT_F2~I .input_register_mode = "none"; +defparam \OUTPUT_F2~I .input_sync_reset = "none"; +defparam \OUTPUT_F2~I .oe_async_reset = "none"; +defparam \OUTPUT_F2~I .oe_power_up = "low"; +defparam \OUTPUT_F2~I .oe_register_mode = "none"; +defparam \OUTPUT_F2~I .oe_sync_reset = "none"; +defparam \OUTPUT_F2~I .operation_mode = "output"; +defparam \OUTPUT_F2~I .output_async_reset = "none"; +defparam \OUTPUT_F2~I .output_power_up = "low"; +defparam \OUTPUT_F2~I .output_register_mode = "none"; +defparam \OUTPUT_F2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G2~I ( + .datain(\inst1|16~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G2)); +// synopsys translate_off +defparam \OUTPUT_G2~I .input_async_reset = "none"; +defparam \OUTPUT_G2~I .input_power_up = "low"; +defparam \OUTPUT_G2~I .input_register_mode = "none"; +defparam \OUTPUT_G2~I .input_sync_reset = "none"; +defparam \OUTPUT_G2~I .oe_async_reset = "none"; +defparam \OUTPUT_G2~I .oe_power_up = "low"; +defparam \OUTPUT_G2~I .oe_register_mode = "none"; +defparam \OUTPUT_G2~I .oe_sync_reset = "none"; +defparam \OUTPUT_G2~I .operation_mode = "output"; +defparam \OUTPUT_G2~I .output_async_reset = "none"; +defparam \OUTPUT_G2~I .output_power_up = "low"; +defparam \OUTPUT_G2~I .output_register_mode = "none"; +defparam \OUTPUT_G2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \overflow~I ( + .datain(\inst23|v~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(overflow)); +// synopsys translate_off +defparam \overflow~I .input_async_reset = "none"; +defparam \overflow~I .input_power_up = "low"; +defparam \overflow~I .input_register_mode = "none"; +defparam \overflow~I .input_sync_reset = "none"; +defparam \overflow~I .oe_async_reset = "none"; +defparam \overflow~I .oe_power_up = "low"; +defparam \overflow~I .oe_register_mode = "none"; +defparam \overflow~I .oe_sync_reset = "none"; +defparam \overflow~I .operation_mode = "output"; +defparam \overflow~I .output_async_reset = "none"; +defparam \overflow~I .output_power_up = "low"; +defparam \overflow~I .output_register_mode = "none"; +defparam \overflow~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \pin_name1~I ( + .datain(\inst1|1~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(pin_name1)); +// synopsys translate_off +defparam \pin_name1~I .input_async_reset = "none"; +defparam \pin_name1~I .input_power_up = "low"; +defparam \pin_name1~I .input_register_mode = "none"; +defparam \pin_name1~I .input_sync_reset = "none"; +defparam \pin_name1~I .oe_async_reset = "none"; +defparam \pin_name1~I .oe_power_up = "low"; +defparam \pin_name1~I .oe_register_mode = "none"; +defparam \pin_name1~I .oe_sync_reset = "none"; +defparam \pin_name1~I .operation_mode = "output"; +defparam \pin_name1~I .output_async_reset = "none"; +defparam \pin_name1~I .output_power_up = "low"; +defparam \pin_name1~I .output_register_mode = "none"; +defparam \pin_name1~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_adder/simulation/modelsim/YL_adder_modelsim.xrf b/YL_adder/simulation/modelsim/YL_adder_modelsim.xrf new file mode 100644 index 0000000..39fad90 --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder_modelsim.xrf @@ -0,0 +1,75 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adder.bdf +source_file = 1, YL_7segment.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28_Decoder/YL_7segment_sign.tdf +source_file = 1, YL_7segment_sign.tdf +source_file = 1, YL_sign_to_unsign.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/operator.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/overflow.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adde.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/db/YL_adder.cbx.xml +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/segment.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/encoder.tdf +source_file = 1, c:/altera/13.0sp1/quartus/libraries/others/maxplus2/74171.bdf +source_file = 1, c:/altera/13.0sp1/quartus/libraries/others/maxplus2/7483.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/sign.tdf +design_name = YL_adder +instance = comp, \inst|44~0 , inst|44~0, YL_adder, 1 +instance = comp, \clk~I , clk, YL_adder, 1 +instance = comp, \clk~clkctrl , clk~clkctrl, YL_adder, 1 +instance = comp, \INPUT_B4~I , INPUT_B4, YL_adder, 1 +instance = comp, \isAdd~I , isAdd, YL_adder, 1 +instance = comp, \inst21|o4~2 , inst21|o4~2, YL_adder, 1 +instance = comp, \INPUT_A3~I , INPUT_A3, YL_adder, 1 +instance = comp, \INPUT_B3~I , INPUT_B3, YL_adder, 1 +instance = comp, \inst|21~0 , inst|21~0, YL_adder, 1 +instance = comp, \INPUT_B2~I , INPUT_B2, YL_adder, 1 +instance = comp, \inst21|o2~2 , inst21|o2~2, YL_adder, 1 +instance = comp, \INPUT_A2~I , INPUT_A2, YL_adder, 1 +instance = comp, \inst|25 , inst|25, YL_adder, 1 +instance = comp, \inst|1~0 , inst|1~0, YL_adder, 1 +instance = comp, \inst|45 , inst|45, YL_adder, 1 +instance = comp, \reset~I , reset, YL_adder, 1 +instance = comp, \reset~clkctrl , reset~clkctrl, YL_adder, 1 +instance = comp, \inst1|16 , inst1|16, YL_adder, 1 +instance = comp, \INPUT_B1~I , INPUT_B1, YL_adder, 1 +instance = comp, \INPUT_A1~I , INPUT_A1, YL_adder, 1 +instance = comp, \inst|18~0 , inst|18~0, YL_adder, 1 +instance = comp, \inst|43 , inst|43, YL_adder, 1 +instance = comp, \inst1|8 , inst1|8, YL_adder, 1 +instance = comp, \inst|42 , inst|42, YL_adder, 1 +instance = comp, \inst1|1 , inst1|1, YL_adder, 1 +instance = comp, \inst|44 , inst|44, YL_adder, 1 +instance = comp, \inst1|15 , inst1|15, YL_adder, 1 +instance = comp, \inst17|a~12 , inst17|a~12, YL_adder, 1 +instance = comp, \inst20|o[3]~15 , inst20|o[3]~15, YL_adder, 1 +instance = comp, \inst20|o[2]~16 , inst20|o[2]~16, YL_adder, 1 +instance = comp, \inst20|o[1]~17 , inst20|o[1]~17, YL_adder, 1 +instance = comp, \inst17|b~3 , inst17|b~3, YL_adder, 1 +instance = comp, \inst17|c~1 , inst17|c~1, YL_adder, 1 +instance = comp, \inst17|d~0 , inst17|d~0, YL_adder, 1 +instance = comp, \inst17|e~0 , inst17|e~0, YL_adder, 1 +instance = comp, \inst17|f~0 , inst17|f~0, YL_adder, 1 +instance = comp, \inst17|g~0 , inst17|g~0, YL_adder, 1 +instance = comp, \INPUT_A4~I , INPUT_A4, YL_adder, 1 +instance = comp, \inst23|v~1 , inst23|v~1, YL_adder, 1 +instance = comp, \OUTPUT_A~I , OUTPUT_A, YL_adder, 1 +instance = comp, \i[3]~I , i[3], YL_adder, 1 +instance = comp, \i[2]~I , i[2], YL_adder, 1 +instance = comp, \i[1]~I , i[1], YL_adder, 1 +instance = comp, \i[0]~I , i[0], YL_adder, 1 +instance = comp, \OUTPUT_B~I , OUTPUT_B, YL_adder, 1 +instance = comp, \OUTPUT_C~I , OUTPUT_C, YL_adder, 1 +instance = comp, \OUTPUT_D~I , OUTPUT_D, YL_adder, 1 +instance = comp, \OUTPUT_E~I , OUTPUT_E, YL_adder, 1 +instance = comp, \OUTPUT_F~I , OUTPUT_F, YL_adder, 1 +instance = comp, \OUTPUT_G~I , OUTPUT_G, YL_adder, 1 +instance = comp, \OUTPUT_A2~I , OUTPUT_A2, YL_adder, 1 +instance = comp, \OUTPUT_B2~I , OUTPUT_B2, YL_adder, 1 +instance = comp, \OUTPUT_C2~I , OUTPUT_C2, YL_adder, 1 +instance = comp, \OUTPUT_D2~I , OUTPUT_D2, YL_adder, 1 +instance = comp, \OUTPUT_E2~I , OUTPUT_E2, YL_adder, 1 +instance = comp, \OUTPUT_F2~I , OUTPUT_F2, YL_adder, 1 +instance = comp, \OUTPUT_G2~I , OUTPUT_G2, YL_adder, 1 +instance = comp, \overflow~I , overflow, YL_adder, 1 +instance = comp, \pin_name1~I , pin_name1, YL_adder, 1 diff --git a/YL_adder/simulation/modelsim/YL_adder_v.sdo b/YL_adder/simulation/modelsim/YL_adder_v.sdo new file mode 100644 index 0000000..9742eca --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder_v.sdo @@ -0,0 +1,765 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_adder") + (DATE "05/04/2020 17:05:47") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44\~0) + (DELAY + (ABSOLUTE + (PORT datab (5238:5238:5238) (5238:5238:5238)) + (PORT datac (5819:5819:5819) (5819:5819:5819)) + (PORT datad (5583:5583:5583) (5583:5583:5583)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clk\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE clk\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (238:238:238) (238:238:238)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE clk\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (279:279:279) (279:279:279)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (239:239:239) (239:239:239)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (55:55:55)) + (HOLD d (posedge clk) (110:110:110)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE isAdd\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (873:873:873) (873:873:873)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o4\~2) + (DELAY + (ABSOLUTE + (PORT datab (2272:2272:2272) (2272:2272:2272)) + (PORT datad (5583:5583:5583) (5583:5583:5583)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|21\~0) + (DELAY + (ABSOLUTE + (PORT datab (5240:5240:5240) (5240:5240:5240)) + (PORT datac (5822:5822:5822) (5822:5822:5822)) + (PORT datad (5578:5578:5578) (5578:5578:5578)) + (IOPATH datab combout (461:461:461) (461:461:461)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o2\~2) + (DELAY + (ABSOLUTE + (PORT datab (5227:5227:5227) (5227:5227:5227)) + (PORT datad (5577:5577:5577) (5577:5577:5577)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|25) + (DELAY + (ABSOLUTE + (PORT datab (5240:5240:5240) (5240:5240:5240)) + (PORT datac (5819:5819:5819) (5819:5819:5819)) + (PORT datad (5580:5580:5580) (5580:5580:5580)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (553:553:553) (553:553:553)) + (PORT datab (310:310:310) (310:310:310)) + (PORT datac (2335:2335:2335) (2335:2335:2335)) + (PORT datad (295:295:295) (295:295:295)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|45) + (DELAY + (ABSOLUTE + (PORT dataa (5406:5406:5406) (5406:5406:5406)) + (PORT datab (307:307:307) (307:307:307)) + (PORT datac (302:302:302) (302:302:302)) + (PORT datad (323:323:323) (323:323:323)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE reset\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE reset\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (232:232:232) (232:232:232)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE reset\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (279:279:279) (279:279:279)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (239:239:239) (239:239:239)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (55:55:55)) + (HOLD d (posedge clk) (110:110:110)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|16) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (863:863:863) (863:863:863)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (853:853:853) (853:853:853)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|18\~0) + (DELAY + (ABSOLUTE + (PORT datab (5246:5246:5246) (5246:5246:5246)) + (PORT datac (5208:5208:5208) (5208:5208:5208)) + (PORT datad (5581:5581:5581) (5581:5581:5581)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|43) + (DELAY + (ABSOLUTE + (PORT dataa (5253:5253:5253) (5253:5253:5253)) + (PORT datab (309:309:309) (309:309:309)) + (PORT datac (2329:2329:2329) (2329:2329:2329)) + (PORT datad (5577:5577:5577) (5577:5577:5577)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|8) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|42) + (DELAY + (ABSOLUTE + (PORT datac (5209:5209:5209) (5209:5209:5209)) + (PORT datad (5245:5245:5245) (5245:5245:5245)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|1) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44) + (DELAY + (ABSOLUTE + (PORT dataa (308:308:308) (308:308:308)) + (PORT datab (311:311:311) (311:311:311)) + (PORT datac (2334:2334:2334) (2334:2334:2334)) + (PORT datad (305:305:305) (305:305:305)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|15) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (1263:1263:1263) (1263:1263:1263)) + (PORT datab (991:991:991) (991:991:991)) + (PORT datac (980:980:980) (980:980:980)) + (PORT datad (964:964:964) (964:964:964)) + (IOPATH dataa combout (512:512:512) (512:512:512)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[3\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (1267:1267:1267) (1267:1267:1267)) + (PORT datab (981:981:981) (981:981:981)) + (PORT datac (989:989:989) (989:989:989)) + (PORT datad (970:970:970) (970:970:970)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (458:458:458) (458:458:458)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (177:177:177) (177:177:177)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[2\]\~16) + (DELAY + (ABSOLUTE + (PORT dataa (1265:1265:1265) (1265:1265:1265)) + (PORT datab (988:988:988) (988:988:988)) + (PORT datac (983:983:983) (983:983:983)) + (PORT datad (967:967:967) (967:967:967)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[1\]\~17) + (DELAY + (ABSOLUTE + (PORT dataa (1267:1267:1267) (1267:1267:1267)) + (PORT datac (988:988:988) (988:988:988)) + (PORT datad (977:977:977) (977:977:977)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (1263:1263:1263) (1263:1263:1263)) + (PORT datab (990:990:990) (990:990:990)) + (PORT datac (980:980:980) (980:980:980)) + (PORT datad (963:963:963) (963:963:963)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (1264:1264:1264) (1264:1264:1264)) + (PORT datab (991:991:991) (991:991:991)) + (PORT datac (981:981:981) (981:981:981)) + (PORT datad (966:966:966) (966:966:966)) + (IOPATH dataa combout (505:505:505) (505:505:505)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|d\~0) + (DELAY + (ABSOLUTE + (PORT datab (980:980:980) (980:980:980)) + (PORT datac (989:989:989) (989:989:989)) + (PORT datad (969:969:969) (969:969:969)) + (IOPATH datab combout (491:491:491) (491:491:491)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|e\~0) + (DELAY + (ABSOLUTE + (PORT datab (987:987:987) (987:987:987)) + (PORT datac (987:987:987) (987:987:987)) + (PORT datad (968:968:968) (968:968:968)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (278:278:278) (278:278:278)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1267:1267:1267) (1267:1267:1267)) + (PORT datab (984:984:984) (984:984:984)) + (PORT datac (987:987:987) (987:987:987)) + (PORT datad (971:971:971) (971:971:971)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (1262:1262:1262) (1262:1262:1262)) + (PORT datab (990:990:990) (990:990:990)) + (PORT datac (979:979:979) (979:979:979)) + (PORT datad (962:962:962) (962:962:962)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (864:864:864) (864:864:864)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst23\|v\~1) + (DELAY + (ABSOLUTE + (PORT dataa (5404:5404:5404) (5404:5404:5404)) + (PORT datab (310:310:310) (310:310:310)) + (PORT datac (300:300:300) (300:300:300)) + (PORT datad (329:329:329) (329:329:329)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (961:961:961) (961:961:961)) + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (688:688:688) (688:688:688)) + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (689:689:689) (689:689:689)) + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1255:1255:1255) (1255:1255:1255)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1019:1019:1019) (1019:1019:1019)) + (IOPATH datain padio (3006:3006:3006) (3006:3006:3006)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (742:742:742) (742:742:742)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (743:743:743) (743:743:743)) + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (960:960:960) (960:960:960)) + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (701:701:701) (701:701:701)) + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (961:961:961) (961:961:961)) + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (965:965:965) (965:965:965)) + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (3006:3006:3006) (3006:3006:3006)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1055:1055:1055) (1055:1055:1055)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE overflow\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (946:946:946) (946:946:946)) + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE pin_name1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (754:754:754) (754:754:754)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) +) diff --git a/YL_adder/simulation/modelsim/YL_adder_v_fast.sdo b/YL_adder/simulation/modelsim/YL_adder_v_fast.sdo new file mode 100644 index 0000000..62ffd1e --- /dev/null +++ b/YL_adder/simulation/modelsim/YL_adder_v_fast.sdo @@ -0,0 +1,765 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_adder") + (DATE "05/04/2020 17:05:47") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44\~0) + (DELAY + (ABSOLUTE + (PORT datab (2833:2833:2833) (2833:2833:2833)) + (PORT datac (3060:3060:3060) (3060:3060:3060)) + (PORT datad (2979:2979:2979) (2979:2979:2979)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clk\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE clk\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (186:186:186) (186:186:186)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE clk\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (260:260:260) (260:260:260)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (173:173:173) (173:173:173)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (33:33:33)) + (HOLD d (posedge clk) (56:56:56)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE isAdd\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (475:475:475) (475:475:475)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o4\~2) + (DELAY + (ABSOLUTE + (PORT datab (981:981:981) (981:981:981)) + (PORT datad (2978:2978:2978) (2978:2978:2978)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (445:445:445) (445:445:445)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (445:445:445) (445:445:445)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|21\~0) + (DELAY + (ABSOLUTE + (PORT datab (2836:2836:2836) (2836:2836:2836)) + (PORT datac (3063:3063:3063) (3063:3063:3063)) + (PORT datad (2974:2974:2974) (2974:2974:2974)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (445:445:445) (445:445:445)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o2\~2) + (DELAY + (ABSOLUTE + (PORT datab (2829:2829:2829) (2829:2829:2829)) + (PORT datad (2971:2971:2971) (2971:2971:2971)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|25) + (DELAY + (ABSOLUTE + (PORT datab (2836:2836:2836) (2836:2836:2836)) + (PORT datac (3063:3063:3063) (3063:3063:3063)) + (PORT datad (2976:2976:2976) (2976:2976:2976)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (197:197:197) (197:197:197)) + (PORT datab (117:117:117) (117:117:117)) + (PORT datac (1023:1023:1023) (1023:1023:1023)) + (PORT datad (108:108:108) (108:108:108)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|45) + (DELAY + (ABSOLUTE + (PORT dataa (2918:2918:2918) (2918:2918:2918)) + (PORT datab (113:113:113) (113:113:113)) + (PORT datac (110:110:110) (110:110:110)) + (PORT datad (122:122:122) (122:122:122)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE reset\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (571:571:571) (571:571:571)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE reset\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (181:181:181) (181:181:181)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE reset\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (260:260:260) (260:260:260)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (173:173:173) (173:173:173)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (33:33:33)) + (HOLD d (posedge clk) (56:56:56)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|16) + (DELAY + (ABSOLUTE + (PORT clk (1047:1047:1047) (1047:1047:1047)) + (PORT datain (42:42:42) (42:42:42)) + (PORT aclr (1037:1037:1037) (1037:1037:1037)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + (IOPATH (posedge aclr) regout (133:133:133) (133:133:133)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (465:465:465) (465:465:465)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (455:455:455) (455:455:455)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|18\~0) + (DELAY + (ABSOLUTE + (PORT datab (2841:2841:2841) (2841:2841:2841)) + (PORT datac (2839:2839:2839) (2839:2839:2839)) + (PORT datad (2976:2976:2976) (2976:2976:2976)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|43) + (DELAY + (ABSOLUTE + (PORT dataa (2841:2841:2841) (2841:2841:2841)) + (PORT datab (111:111:111) (111:111:111)) + (PORT datac (1017:1017:1017) (1017:1017:1017)) + (PORT datad (2973:2973:2973) (2973:2973:2973)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|8) + (DELAY + (ABSOLUTE + (PORT clk (1047:1047:1047) (1047:1047:1047)) + (PORT datain (42:42:42) (42:42:42)) + (PORT aclr (1037:1037:1037) (1037:1037:1037)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + (IOPATH (posedge aclr) regout (133:133:133) (133:133:133)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|42) + (DELAY + (ABSOLUTE + (PORT datac (2839:2839:2839) (2839:2839:2839)) + (PORT datad (2841:2841:2841) (2841:2841:2841)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|1) + (DELAY + (ABSOLUTE + (PORT clk (1047:1047:1047) (1047:1047:1047)) + (PORT datain (42:42:42) (42:42:42)) + (PORT aclr (1037:1037:1037) (1037:1037:1037)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + (IOPATH (posedge aclr) regout (133:133:133) (133:133:133)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44) + (DELAY + (ABSOLUTE + (PORT dataa (109:109:109) (109:109:109)) + (PORT datab (113:113:113) (113:113:113)) + (PORT datac (1022:1022:1022) (1022:1022:1022)) + (PORT datad (114:114:114) (114:114:114)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|15) + (DELAY + (ABSOLUTE + (PORT clk (1047:1047:1047) (1047:1047:1047)) + (PORT datain (42:42:42) (42:42:42)) + (PORT aclr (1037:1037:1037) (1037:1037:1037)) + (IOPATH (posedge clk) regout (141:141:141) (141:141:141)) + (IOPATH (posedge aclr) regout (133:133:133) (133:133:133)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (152:152:152)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (503:503:503) (503:503:503)) + (PORT datab (409:409:409) (409:409:409)) + (PORT datac (411:411:411) (411:411:411)) + (PORT datad (395:395:395) (395:395:395)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[3\]\~15) + (DELAY + (ABSOLUTE + (PORT dataa (508:508:508) (508:508:508)) + (PORT datab (403:403:403) (403:403:403)) + (PORT datac (418:418:418) (418:418:418)) + (PORT datad (400:400:400) (400:400:400)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (110:110:110) (110:110:110)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[2\]\~16) + (DELAY + (ABSOLUTE + (PORT dataa (504:504:504) (504:504:504)) + (PORT datab (408:408:408) (408:408:408)) + (PORT datac (412:412:412) (412:412:412)) + (PORT datad (396:396:396) (396:396:396)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst20\|o\[1\]\~17) + (DELAY + (ABSOLUTE + (PORT dataa (506:506:506) (506:506:506)) + (PORT datac (417:417:417) (417:417:417)) + (PORT datad (401:401:401) (401:401:401)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (503:503:503) (503:503:503)) + (PORT datab (410:410:410) (410:410:410)) + (PORT datac (408:408:408) (408:408:408)) + (PORT datad (392:392:392) (392:392:392)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (504:504:504) (504:504:504)) + (PORT datab (408:408:408) (408:408:408)) + (PORT datac (412:412:412) (412:412:412)) + (PORT datad (396:396:396) (396:396:396)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (180:180:180) (180:180:180)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|d\~0) + (DELAY + (ABSOLUTE + (PORT datab (402:402:402) (402:402:402)) + (PORT datac (417:417:417) (417:417:417)) + (PORT datad (399:399:399) (399:399:399)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|e\~0) + (DELAY + (ABSOLUTE + (PORT datab (406:406:406) (406:406:406)) + (PORT datac (414:414:414) (414:414:414)) + (PORT datad (397:397:397) (397:397:397)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (506:506:506) (506:506:506)) + (PORT datab (404:404:404) (404:404:404)) + (PORT datac (416:416:416) (416:416:416)) + (PORT datad (399:399:399) (399:399:399)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (503:503:503) (503:503:503)) + (PORT datab (409:409:409) (409:409:409)) + (PORT datac (408:408:408) (408:408:408)) + (PORT datad (392:392:392) (392:392:392)) + (IOPATH dataa combout (180:180:180) (180:180:180)) + (IOPATH datab combout (175:175:175) (175:175:175)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (464:464:464) (464:464:464)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst23\|v\~1) + (DELAY + (ABSOLUTE + (PORT dataa (2915:2915:2915) (2915:2915:2915)) + (PORT datab (116:116:116) (116:116:116)) + (PORT datac (108:108:108) (108:108:108)) + (PORT datad (128:128:128) (128:128:128)) + (IOPATH dataa combout (187:187:187) (187:187:187)) + (IOPATH datab combout (178:178:178) (178:178:178)) + (IOPATH datac combout (107:107:107) (107:107:107)) + (IOPATH datad combout (59:59:59) (59:59:59)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (361:361:361) (361:361:361)) + (IOPATH datain padio (1543:1543:1543) (1543:1543:1543)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (261:261:261) (261:261:261)) + (IOPATH datain padio (1513:1513:1513) (1513:1513:1513)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (262:262:262) (262:262:262)) + (IOPATH datain padio (1513:1513:1513) (1513:1513:1513)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (476:476:476) (476:476:476)) + (IOPATH datain padio (1523:1523:1523) (1523:1523:1523)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE i\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (403:403:403) (403:403:403)) + (IOPATH datain padio (1533:1533:1533) (1533:1533:1533)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (292:292:292) (292:292:292)) + (IOPATH datain padio (1523:1523:1523) (1523:1523:1523)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (292:292:292) (292:292:292)) + (IOPATH datain padio (1503:1503:1503) (1503:1503:1503)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (363:363:363) (363:363:363)) + (IOPATH datain padio (1503:1503:1503) (1503:1503:1503)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (267:267:267) (267:267:267)) + (IOPATH datain padio (1513:1513:1513) (1513:1513:1513)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (363:363:363) (363:363:363)) + (IOPATH datain padio (1503:1503:1503) (1503:1503:1503)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (365:365:365) (365:365:365)) + (IOPATH datain padio (1513:1513:1513) (1513:1513:1513)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1388:1388:1388) (1388:1388:1388)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1543:1543:1543) (1543:1543:1543)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1513:1513:1513) (1513:1513:1513)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1543:1543:1543) (1543:1543:1543)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1503:1503:1503) (1503:1503:1503)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (1533:1533:1533) (1533:1533:1533)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (428:428:428) (428:428:428)) + (IOPATH datain padio (1523:1523:1523) (1523:1523:1523)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE overflow\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (353:353:353) (353:353:353)) + (IOPATH datain padio (1543:1543:1543) (1543:1543:1543)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE pin_name1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (307:307:307) (307:307:307)) + (IOPATH datain padio (1523:1523:1523) (1523:1523:1523)) + ) + ) + ) +) diff --git a/YL_adder/simulation/qsim/YL_adder.do b/YL_adder/simulation/qsim/YL_adder.do new file mode 100644 index 0000000..5a9b7cb --- /dev/null +++ b/YL_adder/simulation/qsim/YL_adder.do @@ -0,0 +1,10 @@ +onerror {quit -f} +vlib work +vlog -work work YL_adder.vo +vlog -work work YL_adder.vt +vsim -novopt -c -t 1ps -L cycloneii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.YL_adder_vlg_vec_tst +vcd file -direction YL_adder.msim.vcd +vcd add -internal YL_adder_vlg_vec_tst/* +vcd add -internal YL_adder_vlg_vec_tst/i1/* +add wave /* +run -all diff --git a/YL_adder/simulation/qsim/YL_adder.sim.vwf b/YL_adder/simulation/qsim/YL_adder.sim.vwf new file mode 100644 index 0000000..a7ac176 --- /dev/null +++ b/YL_adder/simulation/qsim/YL_adder.sim.vwf @@ -0,0 +1,1103 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_A4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("INPUT_B4") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("isAdd") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("overflow") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("i") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("i[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +SIGNAL("i[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "i"; +} + +GROUP("INPUT_B") +{ + MEMBERS = "INPUT_B1", "INPUT_B2", "INPUT_B3", "INPUT_B4"; +} + +GROUP("INPUT_A") +{ + MEMBERS = "INPUT_A4", "INPUT_A3", "INPUT_A2", "INPUT_A1"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("INPUT_A1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("INPUT_A2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_A3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_A4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("INPUT_B1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 3; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("INPUT_B2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("INPUT_B4") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 800.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("isAdd") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_A2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 210.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_B2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 610.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 350.0; + } +} + +TRANSITION_LIST("OUTPUT_C2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + } + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 40.0; + } + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 50.0; + } +} + +TRANSITION_LIST("OUTPUT_D2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 160.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 140.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 150.0; + } +} + +TRANSITION_LIST("OUTPUT_E2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + } + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("OUTPUT_F2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 110.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 240.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("OUTPUT_G2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 310.0; + LEVEL 1 FOR 240.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 190.0; + } +} + +TRANSITION_LIST("overflow") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("i[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 810.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 150.0; + } +} + +TRANSITION_LIST("i[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 150.0; + } +} + +TRANSITION_LIST("i[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 110.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 60.0; + } + LEVEL 0 FOR 90.0; + } +} + +TRANSITION_LIST("i[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + NODE + { + REPEAT = 4; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + } + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 50.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "isAdd"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_A1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 8; + TREE_LEVEL = 0; + CHILDREN = 9, 10, 11, 12; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B4"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 10; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 11; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "INPUT_B1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Signed; + TREE_INDEX = 12; + TREE_LEVEL = 1; + PARENT = 8; +} + +DISPLAY_LINE +{ + CHANNEL = "i"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 13; + TREE_LEVEL = 0; + CHILDREN = 14, 15, 16, 17; +} + +DISPLAY_LINE +{ + CHANNEL = "i[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 14; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 15; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 16; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "i[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 17; + TREE_LEVEL = 1; + PARENT = 13; +} + +DISPLAY_LINE +{ + CHANNEL = "overflow"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 18; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 19; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 20; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 21; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 22; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 23; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 24; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 25; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 26; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 27; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 28; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 29; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 30; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 31; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 32; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_adder/simulation/qsim/YL_adder.vo b/YL_adder/simulation/qsim/YL_adder.vo new file mode 100644 index 0000000..e023361 --- /dev/null +++ b/YL_adder/simulation/qsim/YL_adder.vo @@ -0,0 +1,1561 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/04/2020 16:45:16" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_adder ( + OUTPUT_A, + reset, + INPUT_B1, + INPUT_B2, + INPUT_B3, + INPUT_B4, + isAdd, + INPUT_A1, + INPUT_A2, + INPUT_A3, + INPUT_A4, + clk, + OUTPUT_B, + OUTPUT_C, + OUTPUT_D, + OUTPUT_E, + OUTPUT_F, + OUTPUT_G, + OUTPUT_A2, + OUTPUT_B2, + OUTPUT_C2, + OUTPUT_D2, + OUTPUT_E2, + OUTPUT_F2, + OUTPUT_G2, + overflow, + num); +output OUTPUT_A; +input reset; +input INPUT_B1; +input INPUT_B2; +input INPUT_B3; +input INPUT_B4; +input isAdd; +input INPUT_A1; +input INPUT_A2; +input INPUT_A3; +input INPUT_A4; +input clk; +output OUTPUT_B; +output OUTPUT_C; +output OUTPUT_D; +output OUTPUT_E; +output OUTPUT_F; +output OUTPUT_G; +output OUTPUT_A2; +output OUTPUT_B2; +output OUTPUT_C2; +output OUTPUT_D2; +output OUTPUT_E2; +output OUTPUT_F2; +output OUTPUT_G2; +output overflow; +output num; + +// Design Ports Information +// OUTPUT_A => Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B => Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C => Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D => Location: PIN_C20, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E => Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F => Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G => Location: PIN_D19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_A2 => Location: PIN_R16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_B2 => Location: PIN_AA20, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_C2 => Location: PIN_G17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_D2 => Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_E2 => Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_F2 => Location: PIN_T6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// OUTPUT_G2 => Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// overflow => Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// num => Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// INPUT_A4 => Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B3 => Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// isAdd => Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A3 => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B2 => Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B1 => Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A1 => Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_A2 => Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// INPUT_B4 => Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// reset => Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +initial $sdf_annotate("YL_adder_v.sdo"); +// synopsys translate_on + +wire \inst|51~0_combout ; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \INPUT_A1~combout ; +wire \INPUT_B1~combout ; +wire \inst|42~combout ; +wire \reset~combout ; +wire \reset~clkctrl_outclk ; +wire \inst1|1~regout ; +wire \INPUT_B4~combout ; +wire \isAdd~combout ; +wire \inst21|o4~2_combout ; +wire \INPUT_A3~combout ; +wire \INPUT_B3~combout ; +wire \inst|21~0_combout ; +wire \INPUT_A2~combout ; +wire \inst|25~combout ; +wire \INPUT_B2~combout ; +wire \inst21|o2~2_combout ; +wire \inst|1~0_combout ; +wire \inst|45~combout ; +wire \inst1|16~regout ; +wire \inst|44~0_combout ; +wire \inst|44~combout ; +wire \inst1|15~regout ; +wire \inst|43~0_combout ; +wire \inst|43~combout ; +wire \inst1|8~regout ; +wire \inst17|a~12_combout ; +wire \inst17|b~3_combout ; +wire \inst17|c~1_combout ; +wire \inst17|d~0_combout ; +wire \inst17|e~0_combout ; +wire \inst17|f~0_combout ; +wire \inst17|g~0_combout ; +wire \INPUT_A4~combout ; +wire \inst23|v~1_combout ; + + +// Location: LCCOMB_X43_Y26_N12 +cycloneii_lcell_comb \inst|51~0 ( +// Equation(s): +// \inst|51~0_combout = (\INPUT_B1~combout & (!\isAdd~combout )) # (!\INPUT_B1~combout & ((!\INPUT_A1~combout ))) + + .dataa(\isAdd~combout ), + .datab(vcc), + .datac(\INPUT_A1~combout ), + .datad(\INPUT_B1~combout ), + .cin(gnd), + .combout(\inst|51~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|51~0 .lut_mask = 16'h550F; +defparam \inst|51~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_D16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A1)); +// synopsys translate_off +defparam \INPUT_A1~I .input_async_reset = "none"; +defparam \INPUT_A1~I .input_power_up = "low"; +defparam \INPUT_A1~I .input_register_mode = "none"; +defparam \INPUT_A1~I .input_sync_reset = "none"; +defparam \INPUT_A1~I .oe_async_reset = "none"; +defparam \INPUT_A1~I .oe_power_up = "low"; +defparam \INPUT_A1~I .oe_register_mode = "none"; +defparam \INPUT_A1~I .oe_sync_reset = "none"; +defparam \INPUT_A1~I .operation_mode = "input"; +defparam \INPUT_A1~I .output_async_reset = "none"; +defparam \INPUT_A1~I .output_power_up = "low"; +defparam \INPUT_A1~I .output_register_mode = "none"; +defparam \INPUT_A1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B1~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B1~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B1)); +// synopsys translate_off +defparam \INPUT_B1~I .input_async_reset = "none"; +defparam \INPUT_B1~I .input_power_up = "low"; +defparam \INPUT_B1~I .input_register_mode = "none"; +defparam \INPUT_B1~I .input_sync_reset = "none"; +defparam \INPUT_B1~I .oe_async_reset = "none"; +defparam \INPUT_B1~I .oe_power_up = "low"; +defparam \INPUT_B1~I .oe_register_mode = "none"; +defparam \INPUT_B1~I .oe_sync_reset = "none"; +defparam \INPUT_B1~I .operation_mode = "input"; +defparam \INPUT_B1~I .output_async_reset = "none"; +defparam \INPUT_B1~I .output_power_up = "low"; +defparam \INPUT_B1~I .output_register_mode = "none"; +defparam \INPUT_B1~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N4 +cycloneii_lcell_comb \inst|42 ( +// Equation(s): +// \inst|42~combout = \INPUT_A1~combout $ (!\INPUT_B1~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\INPUT_A1~combout ), + .datad(\INPUT_B1~combout ), + .cin(gnd), + .combout(\inst|42~combout ), + .cout()); +// synopsys translate_off +defparam \inst|42 .lut_mask = 16'hF00F; +defparam \inst|42 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \reset~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(reset)); +// synopsys translate_off +defparam \reset~I .input_async_reset = "none"; +defparam \reset~I .input_power_up = "low"; +defparam \reset~I .input_register_mode = "none"; +defparam \reset~I .input_sync_reset = "none"; +defparam \reset~I .oe_async_reset = "none"; +defparam \reset~I .oe_power_up = "low"; +defparam \reset~I .oe_register_mode = "none"; +defparam \reset~I .oe_sync_reset = "none"; +defparam \reset~I .operation_mode = "input"; +defparam \reset~I .output_async_reset = "none"; +defparam \reset~I .output_power_up = "low"; +defparam \reset~I .output_register_mode = "none"; +defparam \reset~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G1 +cycloneii_clkctrl \reset~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\reset~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reset~clkctrl_outclk )); +// synopsys translate_off +defparam \reset~clkctrl .clock_type = "global clock"; +defparam \reset~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N5 +cycloneii_lcell_ff \inst1|1 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|42~combout ), + .sdata(gnd), + .aclr(!\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|1~regout )); + +// Location: PIN_E15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B4)); +// synopsys translate_off +defparam \INPUT_B4~I .input_async_reset = "none"; +defparam \INPUT_B4~I .input_power_up = "low"; +defparam \INPUT_B4~I .input_register_mode = "none"; +defparam \INPUT_B4~I .input_sync_reset = "none"; +defparam \INPUT_B4~I .oe_async_reset = "none"; +defparam \INPUT_B4~I .oe_power_up = "low"; +defparam \INPUT_B4~I .oe_register_mode = "none"; +defparam \INPUT_B4~I .oe_sync_reset = "none"; +defparam \INPUT_B4~I .operation_mode = "input"; +defparam \INPUT_B4~I .output_async_reset = "none"; +defparam \INPUT_B4~I .output_power_up = "low"; +defparam \INPUT_B4~I .output_register_mode = "none"; +defparam \INPUT_B4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \isAdd~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\isAdd~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(isAdd)); +// synopsys translate_off +defparam \isAdd~I .input_async_reset = "none"; +defparam \isAdd~I .input_power_up = "low"; +defparam \isAdd~I .input_register_mode = "none"; +defparam \isAdd~I .input_sync_reset = "none"; +defparam \isAdd~I .oe_async_reset = "none"; +defparam \isAdd~I .oe_power_up = "low"; +defparam \isAdd~I .oe_register_mode = "none"; +defparam \isAdd~I .oe_sync_reset = "none"; +defparam \isAdd~I .operation_mode = "input"; +defparam \isAdd~I .output_async_reset = "none"; +defparam \isAdd~I .output_power_up = "low"; +defparam \isAdd~I .output_register_mode = "none"; +defparam \isAdd~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N2 +cycloneii_lcell_comb \inst21|o4~2 ( +// Equation(s): +// \inst21|o4~2_combout = \INPUT_B4~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B4~combout ), + .datac(\isAdd~combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst21|o4~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o4~2 .lut_mask = 16'h3C3C; +defparam \inst21|o4~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A3)); +// synopsys translate_off +defparam \INPUT_A3~I .input_async_reset = "none"; +defparam \INPUT_A3~I .input_power_up = "low"; +defparam \INPUT_A3~I .input_register_mode = "none"; +defparam \INPUT_A3~I .input_sync_reset = "none"; +defparam \INPUT_A3~I .oe_async_reset = "none"; +defparam \INPUT_A3~I .oe_power_up = "low"; +defparam \INPUT_A3~I .oe_register_mode = "none"; +defparam \INPUT_A3~I .oe_sync_reset = "none"; +defparam \INPUT_A3~I .operation_mode = "input"; +defparam \INPUT_A3~I .output_async_reset = "none"; +defparam \INPUT_A3~I .output_power_up = "low"; +defparam \INPUT_A3~I .output_register_mode = "none"; +defparam \INPUT_A3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A19, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B3~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B3~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B3)); +// synopsys translate_off +defparam \INPUT_B3~I .input_async_reset = "none"; +defparam \INPUT_B3~I .input_power_up = "low"; +defparam \INPUT_B3~I .input_register_mode = "none"; +defparam \INPUT_B3~I .input_sync_reset = "none"; +defparam \INPUT_B3~I .oe_async_reset = "none"; +defparam \INPUT_B3~I .oe_power_up = "low"; +defparam \INPUT_B3~I .oe_register_mode = "none"; +defparam \INPUT_B3~I .oe_sync_reset = "none"; +defparam \INPUT_B3~I .operation_mode = "input"; +defparam \INPUT_B3~I .output_async_reset = "none"; +defparam \INPUT_B3~I .output_power_up = "low"; +defparam \INPUT_B3~I .output_register_mode = "none"; +defparam \INPUT_B3~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N8 +cycloneii_lcell_comb \inst|21~0 ( +// Equation(s): +// \inst|21~0_combout = (!\INPUT_A3~combout & (\isAdd~combout $ (\INPUT_B3~combout ))) + + .dataa(\isAdd~combout ), + .datab(vcc), + .datac(\INPUT_A3~combout ), + .datad(\INPUT_B3~combout ), + .cin(gnd), + .combout(\inst|21~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|21~0 .lut_mask = 16'h050A; +defparam \inst|21~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_G15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A2)); +// synopsys translate_off +defparam \INPUT_A2~I .input_async_reset = "none"; +defparam \INPUT_A2~I .input_power_up = "low"; +defparam \INPUT_A2~I .input_register_mode = "none"; +defparam \INPUT_A2~I .input_sync_reset = "none"; +defparam \INPUT_A2~I .oe_async_reset = "none"; +defparam \INPUT_A2~I .oe_power_up = "low"; +defparam \INPUT_A2~I .oe_register_mode = "none"; +defparam \INPUT_A2~I .oe_sync_reset = "none"; +defparam \INPUT_A2~I .operation_mode = "input"; +defparam \INPUT_A2~I .output_async_reset = "none"; +defparam \INPUT_A2~I .output_power_up = "low"; +defparam \INPUT_A2~I .output_register_mode = "none"; +defparam \INPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N6 +cycloneii_lcell_comb \inst|25 ( +// Equation(s): +// \inst|25~combout = (\INPUT_A3~combout & (\isAdd~combout $ (!\INPUT_B3~combout ))) + + .dataa(\isAdd~combout ), + .datab(vcc), + .datac(\INPUT_A3~combout ), + .datad(\INPUT_B3~combout ), + .cin(gnd), + .combout(\inst|25~combout ), + .cout()); +// synopsys translate_off +defparam \inst|25 .lut_mask = 16'hA050; +defparam \inst|25 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_H15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_B2~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_B2~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_B2)); +// synopsys translate_off +defparam \INPUT_B2~I .input_async_reset = "none"; +defparam \INPUT_B2~I .input_power_up = "low"; +defparam \INPUT_B2~I .input_register_mode = "none"; +defparam \INPUT_B2~I .input_sync_reset = "none"; +defparam \INPUT_B2~I .oe_async_reset = "none"; +defparam \INPUT_B2~I .oe_power_up = "low"; +defparam \INPUT_B2~I .oe_register_mode = "none"; +defparam \INPUT_B2~I .oe_sync_reset = "none"; +defparam \INPUT_B2~I .operation_mode = "input"; +defparam \INPUT_B2~I .output_async_reset = "none"; +defparam \INPUT_B2~I .output_power_up = "low"; +defparam \INPUT_B2~I .output_register_mode = "none"; +defparam \INPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N10 +cycloneii_lcell_comb \inst21|o2~2 ( +// Equation(s): +// \inst21|o2~2_combout = \INPUT_B2~combout $ (\isAdd~combout ) + + .dataa(vcc), + .datab(\INPUT_B2~combout ), + .datac(\isAdd~combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst21|o2~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst21|o2~2 .lut_mask = 16'h3C3C; +defparam \inst21|o2~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N0 +cycloneii_lcell_comb \inst|1~0 ( +// Equation(s): +// \inst|1~0_combout = (!\inst|25~combout & ((\inst|51~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|51~0_combout & (!\INPUT_A2~combout & \inst21|o2~2_combout )))) + + .dataa(\inst|51~0_combout ), + .datab(\INPUT_A2~combout ), + .datac(\inst|25~combout ), + .datad(\inst21|o2~2_combout ), + .cin(gnd), + .combout(\inst|1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|1~0 .lut_mask = 16'h0B02; +defparam \inst|1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N18 +cycloneii_lcell_comb \inst|45 ( +// Equation(s): +// \inst|45~combout = \INPUT_A4~combout $ (\inst21|o4~2_combout $ (((\inst|21~0_combout ) # (\inst|1~0_combout )))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst|45~combout ), + .cout()); +// synopsys translate_off +defparam \inst|45 .lut_mask = 16'h9996; +defparam \inst|45 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N19 +cycloneii_lcell_ff \inst1|16 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|45~combout ), + .sdata(gnd), + .aclr(!\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|16~regout )); + +// Location: LCCOMB_X43_Y26_N22 +cycloneii_lcell_comb \inst|44~0 ( +// Equation(s): +// \inst|44~0_combout = \isAdd~combout $ (\INPUT_A3~combout $ (\INPUT_B3~combout )) + + .dataa(\isAdd~combout ), + .datab(vcc), + .datac(\INPUT_A3~combout ), + .datad(\INPUT_B3~combout ), + .cin(gnd), + .combout(\inst|44~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|44~0 .lut_mask = 16'hA55A; +defparam \inst|44~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N24 +cycloneii_lcell_comb \inst|44 ( +// Equation(s): +// \inst|44~combout = \inst|44~0_combout $ (((\inst|51~0_combout & ((\inst21|o2~2_combout ) # (!\INPUT_A2~combout ))) # (!\inst|51~0_combout & (!\INPUT_A2~combout & \inst21|o2~2_combout )))) + + .dataa(\inst|51~0_combout ), + .datab(\inst|44~0_combout ), + .datac(\INPUT_A2~combout ), + .datad(\inst21|o2~2_combout ), + .cin(gnd), + .combout(\inst|44~combout ), + .cout()); +// synopsys translate_off +defparam \inst|44 .lut_mask = 16'h63C6; +defparam \inst|44 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N25 +cycloneii_lcell_ff \inst1|15 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|44~combout ), + .sdata(gnd), + .aclr(!\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|15~regout )); + +// Location: LCCOMB_X43_Y26_N16 +cycloneii_lcell_comb \inst|43~0 ( +// Equation(s): +// \inst|43~0_combout = \INPUT_B2~combout $ (((!\INPUT_B1~combout & (\isAdd~combout $ (\INPUT_A1~combout ))))) + + .dataa(\isAdd~combout ), + .datab(\INPUT_B2~combout ), + .datac(\INPUT_A1~combout ), + .datad(\INPUT_B1~combout ), + .cin(gnd), + .combout(\inst|43~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|43~0 .lut_mask = 16'hCC96; +defparam \inst|43~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N30 +cycloneii_lcell_comb \inst|43 ( +// Equation(s): +// \inst|43~combout = \INPUT_A2~combout $ (!\inst|43~0_combout ) + + .dataa(vcc), + .datab(\INPUT_A2~combout ), + .datac(\inst|43~0_combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst|43~combout ), + .cout()); +// synopsys translate_off +defparam \inst|43 .lut_mask = 16'hC3C3; +defparam \inst|43 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X43_Y26_N31 +cycloneii_lcell_ff \inst1|8 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|43~combout ), + .sdata(gnd), + .aclr(!\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst1|8~regout )); + +// Location: LCCOMB_X42_Y26_N16 +cycloneii_lcell_comb \inst17|a~12 ( +// Equation(s): +// \inst17|a~12_combout = (\inst1|16~regout & (\inst1|15~regout & (\inst1|1~regout $ (!\inst1|8~regout )))) # (!\inst1|16~regout & (!\inst1|8~regout & (\inst1|1~regout $ (\inst1|15~regout )))) + + .dataa(\inst1|1~regout ), + .datab(\inst1|16~regout ), + .datac(\inst1|15~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|a~12_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|a~12 .lut_mask = 16'h8052; +defparam \inst17|a~12 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N2 +cycloneii_lcell_comb \inst17|b~3 ( +// Equation(s): +// \inst17|b~3_combout = (\inst1|16~regout & (((!\inst1|15~regout & \inst1|8~regout )))) # (!\inst1|16~regout & (\inst1|15~regout & (\inst1|1~regout $ (\inst1|8~regout )))) + + .dataa(\inst1|1~regout ), + .datab(\inst1|16~regout ), + .datac(\inst1|15~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|b~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|b~3 .lut_mask = 16'h1C20; +defparam \inst17|b~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N28 +cycloneii_lcell_comb \inst17|c~1 ( +// Equation(s): +// \inst17|c~1_combout = (!\inst1|1~regout & (\inst1|8~regout & (\inst1|16~regout $ (!\inst1|15~regout )))) + + .dataa(\inst1|1~regout ), + .datab(\inst1|16~regout ), + .datac(\inst1|15~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|c~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|c~1 .lut_mask = 16'h4100; +defparam \inst17|c~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N6 +cycloneii_lcell_comb \inst17|d~0 ( +// Equation(s): +// \inst17|d~0_combout = (\inst1|1~regout & (\inst1|15~regout $ (!\inst1|8~regout ))) # (!\inst1|1~regout & (\inst1|15~regout & !\inst1|8~regout )) + + .dataa(\inst1|1~regout ), + .datab(\inst1|15~regout ), + .datac(vcc), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|d~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|d~0 .lut_mask = 16'h8866; +defparam \inst17|d~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N0 +cycloneii_lcell_comb \inst17|e~0 ( +// Equation(s): +// \inst17|e~0_combout = (\inst1|1~regout ) # ((\inst1|15~regout & !\inst1|8~regout )) + + .dataa(\inst1|1~regout ), + .datab(\inst1|15~regout ), + .datac(vcc), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|e~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|e~0 .lut_mask = 16'hAAEE; +defparam \inst17|e~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N18 +cycloneii_lcell_comb \inst17|f~0 ( +// Equation(s): +// \inst17|f~0_combout = (\inst1|8~regout & ((\inst1|16~regout & ((\inst1|15~regout ))) # (!\inst1|16~regout & ((\inst1|1~regout ) # (!\inst1|15~regout ))))) # (!\inst1|8~regout & (\inst1|1~regout & ((\inst1|16~regout ) # (!\inst1|15~regout )))) + + .dataa(\inst1|1~regout ), + .datab(\inst1|16~regout ), + .datac(\inst1|15~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|f~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|f~0 .lut_mask = 16'hE38A; +defparam \inst17|f~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X42_Y26_N12 +cycloneii_lcell_comb \inst17|g~0 ( +// Equation(s): +// \inst17|g~0_combout = (\inst1|1~regout & ((\inst1|15~regout $ (\inst1|8~regout )))) # (!\inst1|1~regout & ((\inst1|16~regout ) # ((\inst1|15~regout ) # (\inst1|8~regout )))) + + .dataa(\inst1|1~regout ), + .datab(\inst1|16~regout ), + .datac(\inst1|15~regout ), + .datad(\inst1|8~regout ), + .cin(gnd), + .combout(\inst17|g~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst17|g~0 .lut_mask = 16'h5FF4; +defparam \inst17|g~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_G16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \INPUT_A4~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\INPUT_A4~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(INPUT_A4)); +// synopsys translate_off +defparam \INPUT_A4~I .input_async_reset = "none"; +defparam \INPUT_A4~I .input_power_up = "low"; +defparam \INPUT_A4~I .input_register_mode = "none"; +defparam \INPUT_A4~I .input_sync_reset = "none"; +defparam \INPUT_A4~I .oe_async_reset = "none"; +defparam \INPUT_A4~I .oe_power_up = "low"; +defparam \INPUT_A4~I .oe_register_mode = "none"; +defparam \INPUT_A4~I .oe_sync_reset = "none"; +defparam \INPUT_A4~I .operation_mode = "input"; +defparam \INPUT_A4~I .output_async_reset = "none"; +defparam \INPUT_A4~I .output_power_up = "low"; +defparam \INPUT_A4~I .output_register_mode = "none"; +defparam \INPUT_A4~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X43_Y26_N28 +cycloneii_lcell_comb \inst23|v~1 ( +// Equation(s): +// \inst23|v~1_combout = (\INPUT_A4~combout & (!\inst21|o4~2_combout & ((\inst|21~0_combout ) # (\inst|1~0_combout )))) # (!\INPUT_A4~combout & (\inst21|o4~2_combout & (!\inst|21~0_combout & !\inst|1~0_combout ))) + + .dataa(\INPUT_A4~combout ), + .datab(\inst21|o4~2_combout ), + .datac(\inst|21~0_combout ), + .datad(\inst|1~0_combout ), + .cin(gnd), + .combout(\inst23|v~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst23|v~1 .lut_mask = 16'h2224; +defparam \inst23|v~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_F15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A~I ( + .datain(\inst17|a~12_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A)); +// synopsys translate_off +defparam \OUTPUT_A~I .input_async_reset = "none"; +defparam \OUTPUT_A~I .input_power_up = "low"; +defparam \OUTPUT_A~I .input_register_mode = "none"; +defparam \OUTPUT_A~I .input_sync_reset = "none"; +defparam \OUTPUT_A~I .oe_async_reset = "none"; +defparam \OUTPUT_A~I .oe_power_up = "low"; +defparam \OUTPUT_A~I .oe_register_mode = "none"; +defparam \OUTPUT_A~I .oe_sync_reset = "none"; +defparam \OUTPUT_A~I .operation_mode = "output"; +defparam \OUTPUT_A~I .output_async_reset = "none"; +defparam \OUTPUT_A~I .output_power_up = "low"; +defparam \OUTPUT_A~I .output_register_mode = "none"; +defparam \OUTPUT_A~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_H13, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B~I ( + .datain(\inst17|b~3_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B)); +// synopsys translate_off +defparam \OUTPUT_B~I .input_async_reset = "none"; +defparam \OUTPUT_B~I .input_power_up = "low"; +defparam \OUTPUT_B~I .input_register_mode = "none"; +defparam \OUTPUT_B~I .input_sync_reset = "none"; +defparam \OUTPUT_B~I .oe_async_reset = "none"; +defparam \OUTPUT_B~I .oe_power_up = "low"; +defparam \OUTPUT_B~I .oe_register_mode = "none"; +defparam \OUTPUT_B~I .oe_sync_reset = "none"; +defparam \OUTPUT_B~I .operation_mode = "output"; +defparam \OUTPUT_B~I .output_async_reset = "none"; +defparam \OUTPUT_B~I .output_power_up = "low"; +defparam \OUTPUT_B~I .output_register_mode = "none"; +defparam \OUTPUT_B~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_J14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C~I ( + .datain(\inst17|c~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C)); +// synopsys translate_off +defparam \OUTPUT_C~I .input_async_reset = "none"; +defparam \OUTPUT_C~I .input_power_up = "low"; +defparam \OUTPUT_C~I .input_register_mode = "none"; +defparam \OUTPUT_C~I .input_sync_reset = "none"; +defparam \OUTPUT_C~I .oe_async_reset = "none"; +defparam \OUTPUT_C~I .oe_power_up = "low"; +defparam \OUTPUT_C~I .oe_register_mode = "none"; +defparam \OUTPUT_C~I .oe_sync_reset = "none"; +defparam \OUTPUT_C~I .operation_mode = "output"; +defparam \OUTPUT_C~I .output_async_reset = "none"; +defparam \OUTPUT_C~I .output_power_up = "low"; +defparam \OUTPUT_C~I .output_register_mode = "none"; +defparam \OUTPUT_C~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_C20, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D~I ( + .datain(\inst17|d~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D)); +// synopsys translate_off +defparam \OUTPUT_D~I .input_async_reset = "none"; +defparam \OUTPUT_D~I .input_power_up = "low"; +defparam \OUTPUT_D~I .input_register_mode = "none"; +defparam \OUTPUT_D~I .input_sync_reset = "none"; +defparam \OUTPUT_D~I .oe_async_reset = "none"; +defparam \OUTPUT_D~I .oe_power_up = "low"; +defparam \OUTPUT_D~I .oe_register_mode = "none"; +defparam \OUTPUT_D~I .oe_sync_reset = "none"; +defparam \OUTPUT_D~I .operation_mode = "output"; +defparam \OUTPUT_D~I .output_async_reset = "none"; +defparam \OUTPUT_D~I .output_power_up = "low"; +defparam \OUTPUT_D~I .output_register_mode = "none"; +defparam \OUTPUT_D~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E~I ( + .datain(\inst17|e~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E)); +// synopsys translate_off +defparam \OUTPUT_E~I .input_async_reset = "none"; +defparam \OUTPUT_E~I .input_power_up = "low"; +defparam \OUTPUT_E~I .input_register_mode = "none"; +defparam \OUTPUT_E~I .input_sync_reset = "none"; +defparam \OUTPUT_E~I .oe_async_reset = "none"; +defparam \OUTPUT_E~I .oe_power_up = "low"; +defparam \OUTPUT_E~I .oe_register_mode = "none"; +defparam \OUTPUT_E~I .oe_sync_reset = "none"; +defparam \OUTPUT_E~I .operation_mode = "output"; +defparam \OUTPUT_E~I .output_async_reset = "none"; +defparam \OUTPUT_E~I .output_power_up = "low"; +defparam \OUTPUT_E~I .output_register_mode = "none"; +defparam \OUTPUT_E~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D15, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F~I ( + .datain(\inst17|f~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F)); +// synopsys translate_off +defparam \OUTPUT_F~I .input_async_reset = "none"; +defparam \OUTPUT_F~I .input_power_up = "low"; +defparam \OUTPUT_F~I .input_register_mode = "none"; +defparam \OUTPUT_F~I .input_sync_reset = "none"; +defparam \OUTPUT_F~I .oe_async_reset = "none"; +defparam \OUTPUT_F~I .oe_power_up = "low"; +defparam \OUTPUT_F~I .oe_register_mode = "none"; +defparam \OUTPUT_F~I .oe_sync_reset = "none"; +defparam \OUTPUT_F~I .operation_mode = "output"; +defparam \OUTPUT_F~I .output_async_reset = "none"; +defparam \OUTPUT_F~I .output_power_up = "low"; +defparam \OUTPUT_F~I .output_register_mode = "none"; +defparam \OUTPUT_F~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_D19, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G~I ( + .datain(!\inst17|g~0_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G)); +// synopsys translate_off +defparam \OUTPUT_G~I .input_async_reset = "none"; +defparam \OUTPUT_G~I .input_power_up = "low"; +defparam \OUTPUT_G~I .input_register_mode = "none"; +defparam \OUTPUT_G~I .input_sync_reset = "none"; +defparam \OUTPUT_G~I .oe_async_reset = "none"; +defparam \OUTPUT_G~I .oe_power_up = "low"; +defparam \OUTPUT_G~I .oe_register_mode = "none"; +defparam \OUTPUT_G~I .oe_sync_reset = "none"; +defparam \OUTPUT_G~I .operation_mode = "output"; +defparam \OUTPUT_G~I .output_async_reset = "none"; +defparam \OUTPUT_G~I .output_power_up = "low"; +defparam \OUTPUT_G~I .output_register_mode = "none"; +defparam \OUTPUT_G~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R16, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_A2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_A2)); +// synopsys translate_off +defparam \OUTPUT_A2~I .input_async_reset = "none"; +defparam \OUTPUT_A2~I .input_power_up = "low"; +defparam \OUTPUT_A2~I .input_register_mode = "none"; +defparam \OUTPUT_A2~I .input_sync_reset = "none"; +defparam \OUTPUT_A2~I .oe_async_reset = "none"; +defparam \OUTPUT_A2~I .oe_power_up = "low"; +defparam \OUTPUT_A2~I .oe_register_mode = "none"; +defparam \OUTPUT_A2~I .oe_sync_reset = "none"; +defparam \OUTPUT_A2~I .operation_mode = "output"; +defparam \OUTPUT_A2~I .output_async_reset = "none"; +defparam \OUTPUT_A2~I .output_power_up = "low"; +defparam \OUTPUT_A2~I .output_register_mode = "none"; +defparam \OUTPUT_A2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_AA20, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_B2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_B2)); +// synopsys translate_off +defparam \OUTPUT_B2~I .input_async_reset = "none"; +defparam \OUTPUT_B2~I .input_power_up = "low"; +defparam \OUTPUT_B2~I .input_register_mode = "none"; +defparam \OUTPUT_B2~I .input_sync_reset = "none"; +defparam \OUTPUT_B2~I .oe_async_reset = "none"; +defparam \OUTPUT_B2~I .oe_power_up = "low"; +defparam \OUTPUT_B2~I .oe_register_mode = "none"; +defparam \OUTPUT_B2~I .oe_sync_reset = "none"; +defparam \OUTPUT_B2~I .operation_mode = "output"; +defparam \OUTPUT_B2~I .output_async_reset = "none"; +defparam \OUTPUT_B2~I .output_power_up = "low"; +defparam \OUTPUT_B2~I .output_register_mode = "none"; +defparam \OUTPUT_B2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_G17, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_C2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_C2)); +// synopsys translate_off +defparam \OUTPUT_C2~I .input_async_reset = "none"; +defparam \OUTPUT_C2~I .input_power_up = "low"; +defparam \OUTPUT_C2~I .input_register_mode = "none"; +defparam \OUTPUT_C2~I .input_sync_reset = "none"; +defparam \OUTPUT_C2~I .oe_async_reset = "none"; +defparam \OUTPUT_C2~I .oe_power_up = "low"; +defparam \OUTPUT_C2~I .oe_register_mode = "none"; +defparam \OUTPUT_C2~I .oe_sync_reset = "none"; +defparam \OUTPUT_C2~I .operation_mode = "output"; +defparam \OUTPUT_C2~I .output_async_reset = "none"; +defparam \OUTPUT_C2~I .output_power_up = "low"; +defparam \OUTPUT_C2~I .output_register_mode = "none"; +defparam \OUTPUT_C2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_E14, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_D2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_D2)); +// synopsys translate_off +defparam \OUTPUT_D2~I .input_async_reset = "none"; +defparam \OUTPUT_D2~I .input_power_up = "low"; +defparam \OUTPUT_D2~I .input_register_mode = "none"; +defparam \OUTPUT_D2~I .input_sync_reset = "none"; +defparam \OUTPUT_D2~I .oe_async_reset = "none"; +defparam \OUTPUT_D2~I .oe_power_up = "low"; +defparam \OUTPUT_D2~I .oe_register_mode = "none"; +defparam \OUTPUT_D2~I .oe_sync_reset = "none"; +defparam \OUTPUT_D2~I .operation_mode = "output"; +defparam \OUTPUT_D2~I .output_async_reset = "none"; +defparam \OUTPUT_D2~I .output_power_up = "low"; +defparam \OUTPUT_D2~I .output_register_mode = "none"; +defparam \OUTPUT_D2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_P18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_E2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_E2)); +// synopsys translate_off +defparam \OUTPUT_E2~I .input_async_reset = "none"; +defparam \OUTPUT_E2~I .input_power_up = "low"; +defparam \OUTPUT_E2~I .input_register_mode = "none"; +defparam \OUTPUT_E2~I .input_sync_reset = "none"; +defparam \OUTPUT_E2~I .oe_async_reset = "none"; +defparam \OUTPUT_E2~I .oe_power_up = "low"; +defparam \OUTPUT_E2~I .oe_register_mode = "none"; +defparam \OUTPUT_E2~I .oe_sync_reset = "none"; +defparam \OUTPUT_E2~I .operation_mode = "output"; +defparam \OUTPUT_E2~I .output_async_reset = "none"; +defparam \OUTPUT_E2~I .output_power_up = "low"; +defparam \OUTPUT_E2~I .output_register_mode = "none"; +defparam \OUTPUT_E2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_T6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_F2~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_F2)); +// synopsys translate_off +defparam \OUTPUT_F2~I .input_async_reset = "none"; +defparam \OUTPUT_F2~I .input_power_up = "low"; +defparam \OUTPUT_F2~I .input_register_mode = "none"; +defparam \OUTPUT_F2~I .input_sync_reset = "none"; +defparam \OUTPUT_F2~I .oe_async_reset = "none"; +defparam \OUTPUT_F2~I .oe_power_up = "low"; +defparam \OUTPUT_F2~I .oe_register_mode = "none"; +defparam \OUTPUT_F2~I .oe_sync_reset = "none"; +defparam \OUTPUT_F2~I .operation_mode = "output"; +defparam \OUTPUT_F2~I .output_async_reset = "none"; +defparam \OUTPUT_F2~I .output_power_up = "low"; +defparam \OUTPUT_F2~I .output_register_mode = "none"; +defparam \OUTPUT_F2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_B18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \OUTPUT_G2~I ( + .datain(\inst1|16~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(OUTPUT_G2)); +// synopsys translate_off +defparam \OUTPUT_G2~I .input_async_reset = "none"; +defparam \OUTPUT_G2~I .input_power_up = "low"; +defparam \OUTPUT_G2~I .input_register_mode = "none"; +defparam \OUTPUT_G2~I .input_sync_reset = "none"; +defparam \OUTPUT_G2~I .oe_async_reset = "none"; +defparam \OUTPUT_G2~I .oe_power_up = "low"; +defparam \OUTPUT_G2~I .oe_register_mode = "none"; +defparam \OUTPUT_G2~I .oe_sync_reset = "none"; +defparam \OUTPUT_G2~I .operation_mode = "output"; +defparam \OUTPUT_G2~I .output_async_reset = "none"; +defparam \OUTPUT_G2~I .output_power_up = "low"; +defparam \OUTPUT_G2~I .output_register_mode = "none"; +defparam \OUTPUT_G2~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_A18, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \overflow~I ( + .datain(\inst23|v~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(overflow)); +// synopsys translate_off +defparam \overflow~I .input_async_reset = "none"; +defparam \overflow~I .input_power_up = "low"; +defparam \overflow~I .input_register_mode = "none"; +defparam \overflow~I .input_sync_reset = "none"; +defparam \overflow~I .oe_async_reset = "none"; +defparam \overflow~I .oe_power_up = "low"; +defparam \overflow~I .oe_register_mode = "none"; +defparam \overflow~I .oe_sync_reset = "none"; +defparam \overflow~I .operation_mode = "output"; +defparam \overflow~I .output_async_reset = "none"; +defparam \overflow~I .output_power_up = "low"; +defparam \overflow~I .output_register_mode = "none"; +defparam \overflow~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R10, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \num~I ( + .datain(gnd), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(num)); +// synopsys translate_off +defparam \num~I .input_async_reset = "none"; +defparam \num~I .input_power_up = "low"; +defparam \num~I .input_register_mode = "none"; +defparam \num~I .input_sync_reset = "none"; +defparam \num~I .oe_async_reset = "none"; +defparam \num~I .oe_power_up = "low"; +defparam \num~I .oe_register_mode = "none"; +defparam \num~I .oe_sync_reset = "none"; +defparam \num~I .operation_mode = "output"; +defparam \num~I .output_async_reset = "none"; +defparam \num~I .output_power_up = "low"; +defparam \num~I .output_register_mode = "none"; +defparam \num~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_adder/simulation/qsim/YL_adder.vt b/YL_adder/simulation/qsim/YL_adder.vt new file mode 100644 index 0000000..cc39001 --- /dev/null +++ b/YL_adder/simulation/qsim/YL_adder.vt @@ -0,0 +1,758 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "05/04/2020 16:45:13" + +// Verilog Self-Checking Test Bench (with test vectors) for design : YL_adder +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module YL_adder_vlg_sample_tst( + clk, + INPUT_A1, + INPUT_A2, + INPUT_A3, + INPUT_A4, + INPUT_B1, + INPUT_B2, + INPUT_B3, + INPUT_B4, + isAdd, + reset, + sampler_tx +); +input clk; +input INPUT_A1; +input INPUT_A2; +input INPUT_A3; +input INPUT_A4; +input INPUT_B1; +input INPUT_B2; +input INPUT_B3; +input INPUT_B4; +input isAdd; +input reset; +output sampler_tx; + +reg sample; +time current_time; +always @(clk or INPUT_A1 or INPUT_A2 or INPUT_A3 or INPUT_A4 or INPUT_B1 or INPUT_B2 or INPUT_B3 or INPUT_B4 or isAdd or reset) + +begin + if ($realtime > 0) + begin + if ($realtime == 0 || $realtime != current_time) + begin + if (sample === 1'bx) + sample = 0; + else + sample = ~sample; + end + current_time = $realtime; + end +end + +assign sampler_tx = sample; +endmodule + +module YL_adder_vlg_check_tst ( + num, + OUTPUT_A, + OUTPUT_A2, + OUTPUT_B, + OUTPUT_B2, + OUTPUT_C, + OUTPUT_C2, + OUTPUT_D, + OUTPUT_D2, + OUTPUT_E, + OUTPUT_E2, + OUTPUT_F, + OUTPUT_F2, + OUTPUT_G, + OUTPUT_G2, + overflow, + sampler_rx +); +input num; +input OUTPUT_A; +input OUTPUT_A2; +input OUTPUT_B; +input OUTPUT_B2; +input OUTPUT_C; +input OUTPUT_C2; +input OUTPUT_D; +input OUTPUT_D2; +input OUTPUT_E; +input OUTPUT_E2; +input OUTPUT_F; +input OUTPUT_F2; +input OUTPUT_G; +input OUTPUT_G2; +input overflow; +input sampler_rx; + +reg num_expected; +reg OUTPUT_A_expected; +reg OUTPUT_A2_expected; +reg OUTPUT_B_expected; +reg OUTPUT_B2_expected; +reg OUTPUT_C_expected; +reg OUTPUT_C2_expected; +reg OUTPUT_D_expected; +reg OUTPUT_D2_expected; +reg OUTPUT_E_expected; +reg OUTPUT_E2_expected; +reg OUTPUT_F_expected; +reg OUTPUT_F2_expected; +reg OUTPUT_G_expected; +reg OUTPUT_G2_expected; +reg overflow_expected; + +reg num_prev; +reg OUTPUT_A_prev; +reg OUTPUT_A2_prev; +reg OUTPUT_B_prev; +reg OUTPUT_B2_prev; +reg OUTPUT_C_prev; +reg OUTPUT_C2_prev; +reg OUTPUT_D_prev; +reg OUTPUT_D2_prev; +reg OUTPUT_E_prev; +reg OUTPUT_E2_prev; +reg OUTPUT_F_prev; +reg OUTPUT_F2_prev; +reg OUTPUT_G_prev; +reg OUTPUT_G2_prev; +reg overflow_prev; + +reg num_expected_prev; +reg OUTPUT_A_expected_prev; +reg OUTPUT_A2_expected_prev; +reg OUTPUT_B_expected_prev; +reg OUTPUT_B2_expected_prev; +reg OUTPUT_C_expected_prev; +reg OUTPUT_C2_expected_prev; +reg OUTPUT_D_expected_prev; +reg OUTPUT_D2_expected_prev; +reg OUTPUT_E_expected_prev; +reg OUTPUT_E2_expected_prev; +reg OUTPUT_F_expected_prev; +reg OUTPUT_F2_expected_prev; +reg OUTPUT_G_expected_prev; +reg OUTPUT_G2_expected_prev; +reg overflow_expected_prev; + +reg last_num_exp; +reg last_OUTPUT_A_exp; +reg last_OUTPUT_A2_exp; +reg last_OUTPUT_B_exp; +reg last_OUTPUT_B2_exp; +reg last_OUTPUT_C_exp; +reg last_OUTPUT_C2_exp; +reg last_OUTPUT_D_exp; +reg last_OUTPUT_D2_exp; +reg last_OUTPUT_E_exp; +reg last_OUTPUT_E2_exp; +reg last_OUTPUT_F_exp; +reg last_OUTPUT_F2_exp; +reg last_OUTPUT_G_exp; +reg last_OUTPUT_G2_exp; +reg last_overflow_exp; + +reg trigger; + +integer i; +integer nummismatches; + +reg [1:16] on_first_change ; + + +initial +begin +trigger = 0; +i = 0; +nummismatches = 0; +on_first_change = 16'b1; +end + +// update real /o prevs + +always @(trigger) +begin + num_prev = num; + OUTPUT_A_prev = OUTPUT_A; + OUTPUT_A2_prev = OUTPUT_A2; + OUTPUT_B_prev = OUTPUT_B; + OUTPUT_B2_prev = OUTPUT_B2; + OUTPUT_C_prev = OUTPUT_C; + OUTPUT_C2_prev = OUTPUT_C2; + OUTPUT_D_prev = OUTPUT_D; + OUTPUT_D2_prev = OUTPUT_D2; + OUTPUT_E_prev = OUTPUT_E; + OUTPUT_E2_prev = OUTPUT_E2; + OUTPUT_F_prev = OUTPUT_F; + OUTPUT_F2_prev = OUTPUT_F2; + OUTPUT_G_prev = OUTPUT_G; + OUTPUT_G2_prev = OUTPUT_G2; + overflow_prev = overflow; +end + +// update expected /o prevs + +always @(trigger) +begin + num_expected_prev = num_expected; + OUTPUT_A_expected_prev = OUTPUT_A_expected; + OUTPUT_A2_expected_prev = OUTPUT_A2_expected; + OUTPUT_B_expected_prev = OUTPUT_B_expected; + OUTPUT_B2_expected_prev = OUTPUT_B2_expected; + OUTPUT_C_expected_prev = OUTPUT_C_expected; + OUTPUT_C2_expected_prev = OUTPUT_C2_expected; + OUTPUT_D_expected_prev = OUTPUT_D_expected; + OUTPUT_D2_expected_prev = OUTPUT_D2_expected; + OUTPUT_E_expected_prev = OUTPUT_E_expected; + OUTPUT_E2_expected_prev = OUTPUT_E2_expected; + OUTPUT_F_expected_prev = OUTPUT_F_expected; + OUTPUT_F2_expected_prev = OUTPUT_F2_expected; + OUTPUT_G_expected_prev = OUTPUT_G_expected; + OUTPUT_G2_expected_prev = OUTPUT_G2_expected; + overflow_expected_prev = overflow_expected; +end + + + +// expected num +initial +begin + num_expected = 1'bX; +end + +// expected OUTPUT_A +initial +begin + OUTPUT_A_expected = 1'bX; +end + +// expected OUTPUT_A2 +initial +begin + OUTPUT_A2_expected = 1'bX; +end + +// expected OUTPUT_B +initial +begin + OUTPUT_B_expected = 1'bX; +end + +// expected OUTPUT_B2 +initial +begin + OUTPUT_B2_expected = 1'bX; +end + +// expected OUTPUT_C +initial +begin + OUTPUT_C_expected = 1'bX; +end + +// expected OUTPUT_C2 +initial +begin + OUTPUT_C2_expected = 1'bX; +end + +// expected OUTPUT_D +initial +begin + OUTPUT_D_expected = 1'bX; +end + +// expected OUTPUT_D2 +initial +begin + OUTPUT_D2_expected = 1'bX; +end + +// expected OUTPUT_E +initial +begin + OUTPUT_E_expected = 1'bX; +end + +// expected OUTPUT_E2 +initial +begin + OUTPUT_E2_expected = 1'bX; +end + +// expected OUTPUT_F +initial +begin + OUTPUT_F_expected = 1'bX; +end + +// expected OUTPUT_F2 +initial +begin + OUTPUT_F2_expected = 1'bX; +end + +// expected OUTPUT_G +initial +begin + OUTPUT_G_expected = 1'bX; +end + +// expected OUTPUT_G2 +initial +begin + OUTPUT_G2_expected = 1'bX; +end + +// expected overflow +initial +begin + overflow_expected = 1'bX; +end +// generate trigger +always @(num_expected or num or OUTPUT_A_expected or OUTPUT_A or OUTPUT_A2_expected or OUTPUT_A2 or OUTPUT_B_expected or OUTPUT_B or OUTPUT_B2_expected or OUTPUT_B2 or OUTPUT_C_expected or OUTPUT_C or OUTPUT_C2_expected or OUTPUT_C2 or OUTPUT_D_expected or OUTPUT_D or OUTPUT_D2_expected or OUTPUT_D2 or OUTPUT_E_expected or OUTPUT_E or OUTPUT_E2_expected or OUTPUT_E2 or OUTPUT_F_expected or OUTPUT_F or OUTPUT_F2_expected or OUTPUT_F2 or OUTPUT_G_expected or OUTPUT_G or OUTPUT_G2_expected or OUTPUT_G2 or overflow_expected or overflow) +begin + trigger <= ~trigger; +end + +always @(posedge sampler_rx or negedge sampler_rx) +begin +`ifdef debug_tbench + $display("Scanning pattern %d @time = %t",i,$realtime ); + i = i + 1; + $display("| expected num = %b | expected OUTPUT_A = %b | expected OUTPUT_A2 = %b | expected OUTPUT_B = %b | expected OUTPUT_B2 = %b | expected OUTPUT_C = %b | expected OUTPUT_C2 = %b | expected OUTPUT_D = %b | expected OUTPUT_D2 = %b | expected OUTPUT_E = %b | expected OUTPUT_E2 = %b | expected OUTPUT_F = %b | expected OUTPUT_F2 = %b | expected OUTPUT_G = %b | expected OUTPUT_G2 = %b | expected overflow = %b | ",num_expected_prev,OUTPUT_A_expected_prev,OUTPUT_A2_expected_prev,OUTPUT_B_expected_prev,OUTPUT_B2_expected_prev,OUTPUT_C_expected_prev,OUTPUT_C2_expected_prev,OUTPUT_D_expected_prev,OUTPUT_D2_expected_prev,OUTPUT_E_expected_prev,OUTPUT_E2_expected_prev,OUTPUT_F_expected_prev,OUTPUT_F2_expected_prev,OUTPUT_G_expected_prev,OUTPUT_G2_expected_prev,overflow_expected_prev); + $display("| real num = %b | real OUTPUT_A = %b | real OUTPUT_A2 = %b | real OUTPUT_B = %b | real OUTPUT_B2 = %b | real OUTPUT_C = %b | real OUTPUT_C2 = %b | real OUTPUT_D = %b | real OUTPUT_D2 = %b | real OUTPUT_E = %b | real OUTPUT_E2 = %b | real OUTPUT_F = %b | real OUTPUT_F2 = %b | real OUTPUT_G = %b | real OUTPUT_G2 = %b | real overflow = %b | ",num_prev,OUTPUT_A_prev,OUTPUT_A2_prev,OUTPUT_B_prev,OUTPUT_B2_prev,OUTPUT_C_prev,OUTPUT_C2_prev,OUTPUT_D_prev,OUTPUT_D2_prev,OUTPUT_E_prev,OUTPUT_E2_prev,OUTPUT_F_prev,OUTPUT_F2_prev,OUTPUT_G_prev,OUTPUT_G2_prev,overflow_prev); +`endif + if ( + ( num_expected_prev !== 1'bx ) && ( num_prev !== num_expected_prev ) + && ((num_expected_prev !== last_num_exp) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port num :: @time = %t", $realtime); + $display (" Expected value = %b", num_expected_prev); + $display (" Real value = %b", num_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_num_exp = num_expected_prev; + end + if ( + ( OUTPUT_A_expected_prev !== 1'bx ) && ( OUTPUT_A_prev !== OUTPUT_A_expected_prev ) + && ((OUTPUT_A_expected_prev !== last_OUTPUT_A_exp) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_A :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_A_expected_prev); + $display (" Real value = %b", OUTPUT_A_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_OUTPUT_A_exp = OUTPUT_A_expected_prev; + end + if ( + ( OUTPUT_A2_expected_prev !== 1'bx ) && ( OUTPUT_A2_prev !== OUTPUT_A2_expected_prev ) + && ((OUTPUT_A2_expected_prev !== last_OUTPUT_A2_exp) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_A2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_A2_expected_prev); + $display (" Real value = %b", OUTPUT_A2_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_OUTPUT_A2_exp = OUTPUT_A2_expected_prev; + end + if ( + ( OUTPUT_B_expected_prev !== 1'bx ) && ( OUTPUT_B_prev !== OUTPUT_B_expected_prev ) + && ((OUTPUT_B_expected_prev !== last_OUTPUT_B_exp) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_B :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_B_expected_prev); + $display (" Real value = %b", OUTPUT_B_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_OUTPUT_B_exp = OUTPUT_B_expected_prev; + end + if ( + ( OUTPUT_B2_expected_prev !== 1'bx ) && ( OUTPUT_B2_prev !== OUTPUT_B2_expected_prev ) + && ((OUTPUT_B2_expected_prev !== last_OUTPUT_B2_exp) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_B2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_B2_expected_prev); + $display (" Real value = %b", OUTPUT_B2_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_OUTPUT_B2_exp = OUTPUT_B2_expected_prev; + end + if ( + ( OUTPUT_C_expected_prev !== 1'bx ) && ( OUTPUT_C_prev !== OUTPUT_C_expected_prev ) + && ((OUTPUT_C_expected_prev !== last_OUTPUT_C_exp) || + on_first_change[6]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_C :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_C_expected_prev); + $display (" Real value = %b", OUTPUT_C_prev); + nummismatches = nummismatches + 1; + on_first_change[6] = 1'b0; + last_OUTPUT_C_exp = OUTPUT_C_expected_prev; + end + if ( + ( OUTPUT_C2_expected_prev !== 1'bx ) && ( OUTPUT_C2_prev !== OUTPUT_C2_expected_prev ) + && ((OUTPUT_C2_expected_prev !== last_OUTPUT_C2_exp) || + on_first_change[7]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_C2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_C2_expected_prev); + $display (" Real value = %b", OUTPUT_C2_prev); + nummismatches = nummismatches + 1; + on_first_change[7] = 1'b0; + last_OUTPUT_C2_exp = OUTPUT_C2_expected_prev; + end + if ( + ( OUTPUT_D_expected_prev !== 1'bx ) && ( OUTPUT_D_prev !== OUTPUT_D_expected_prev ) + && ((OUTPUT_D_expected_prev !== last_OUTPUT_D_exp) || + on_first_change[8]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_D :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_D_expected_prev); + $display (" Real value = %b", OUTPUT_D_prev); + nummismatches = nummismatches + 1; + on_first_change[8] = 1'b0; + last_OUTPUT_D_exp = OUTPUT_D_expected_prev; + end + if ( + ( OUTPUT_D2_expected_prev !== 1'bx ) && ( OUTPUT_D2_prev !== OUTPUT_D2_expected_prev ) + && ((OUTPUT_D2_expected_prev !== last_OUTPUT_D2_exp) || + on_first_change[9]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_D2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_D2_expected_prev); + $display (" Real value = %b", OUTPUT_D2_prev); + nummismatches = nummismatches + 1; + on_first_change[9] = 1'b0; + last_OUTPUT_D2_exp = OUTPUT_D2_expected_prev; + end + if ( + ( OUTPUT_E_expected_prev !== 1'bx ) && ( OUTPUT_E_prev !== OUTPUT_E_expected_prev ) + && ((OUTPUT_E_expected_prev !== last_OUTPUT_E_exp) || + on_first_change[10]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_E :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_E_expected_prev); + $display (" Real value = %b", OUTPUT_E_prev); + nummismatches = nummismatches + 1; + on_first_change[10] = 1'b0; + last_OUTPUT_E_exp = OUTPUT_E_expected_prev; + end + if ( + ( OUTPUT_E2_expected_prev !== 1'bx ) && ( OUTPUT_E2_prev !== OUTPUT_E2_expected_prev ) + && ((OUTPUT_E2_expected_prev !== last_OUTPUT_E2_exp) || + on_first_change[11]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_E2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_E2_expected_prev); + $display (" Real value = %b", OUTPUT_E2_prev); + nummismatches = nummismatches + 1; + on_first_change[11] = 1'b0; + last_OUTPUT_E2_exp = OUTPUT_E2_expected_prev; + end + if ( + ( OUTPUT_F_expected_prev !== 1'bx ) && ( OUTPUT_F_prev !== OUTPUT_F_expected_prev ) + && ((OUTPUT_F_expected_prev !== last_OUTPUT_F_exp) || + on_first_change[12]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_F :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_F_expected_prev); + $display (" Real value = %b", OUTPUT_F_prev); + nummismatches = nummismatches + 1; + on_first_change[12] = 1'b0; + last_OUTPUT_F_exp = OUTPUT_F_expected_prev; + end + if ( + ( OUTPUT_F2_expected_prev !== 1'bx ) && ( OUTPUT_F2_prev !== OUTPUT_F2_expected_prev ) + && ((OUTPUT_F2_expected_prev !== last_OUTPUT_F2_exp) || + on_first_change[13]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_F2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_F2_expected_prev); + $display (" Real value = %b", OUTPUT_F2_prev); + nummismatches = nummismatches + 1; + on_first_change[13] = 1'b0; + last_OUTPUT_F2_exp = OUTPUT_F2_expected_prev; + end + if ( + ( OUTPUT_G_expected_prev !== 1'bx ) && ( OUTPUT_G_prev !== OUTPUT_G_expected_prev ) + && ((OUTPUT_G_expected_prev !== last_OUTPUT_G_exp) || + on_first_change[14]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_G :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_G_expected_prev); + $display (" Real value = %b", OUTPUT_G_prev); + nummismatches = nummismatches + 1; + on_first_change[14] = 1'b0; + last_OUTPUT_G_exp = OUTPUT_G_expected_prev; + end + if ( + ( OUTPUT_G2_expected_prev !== 1'bx ) && ( OUTPUT_G2_prev !== OUTPUT_G2_expected_prev ) + && ((OUTPUT_G2_expected_prev !== last_OUTPUT_G2_exp) || + on_first_change[15]) + ) + begin + $display ("ERROR! Vector Mismatch for output port OUTPUT_G2 :: @time = %t", $realtime); + $display (" Expected value = %b", OUTPUT_G2_expected_prev); + $display (" Real value = %b", OUTPUT_G2_prev); + nummismatches = nummismatches + 1; + on_first_change[15] = 1'b0; + last_OUTPUT_G2_exp = OUTPUT_G2_expected_prev; + end + if ( + ( overflow_expected_prev !== 1'bx ) && ( overflow_prev !== overflow_expected_prev ) + && ((overflow_expected_prev !== last_overflow_exp) || + on_first_change[16]) + ) + begin + $display ("ERROR! Vector Mismatch for output port overflow :: @time = %t", $realtime); + $display (" Expected value = %b", overflow_expected_prev); + $display (" Real value = %b", overflow_prev); + nummismatches = nummismatches + 1; + on_first_change[16] = 1'b0; + last_overflow_exp = overflow_expected_prev; + end + + trigger <= ~trigger; +end +initial + +begin +$timeformat(-12,3," ps",6); +#1000000; +if (nummismatches > 0) + $display ("%d mismatched vectors : Simulation failed !",nummismatches); +else + $display ("Simulation passed !"); +$finish; +end +endmodule + +module YL_adder_vlg_vec_tst(); +// constants +// general purpose registers +reg clk; +reg INPUT_A1; +reg INPUT_A2; +reg INPUT_A3; +reg INPUT_A4; +reg INPUT_B1; +reg INPUT_B2; +reg INPUT_B3; +reg INPUT_B4; +reg isAdd; +reg reset; +// wires +wire num; +wire OUTPUT_A; +wire OUTPUT_A2; +wire OUTPUT_B; +wire OUTPUT_B2; +wire OUTPUT_C; +wire OUTPUT_C2; +wire OUTPUT_D; +wire OUTPUT_D2; +wire OUTPUT_E; +wire OUTPUT_E2; +wire OUTPUT_F; +wire OUTPUT_F2; +wire OUTPUT_G; +wire OUTPUT_G2; +wire overflow; + +wire sampler; + +// assign statements (if any) +YL_adder i1 ( +// port map - connection between master ports and signals/registers + .clk(clk), + .INPUT_A1(INPUT_A1), + .INPUT_A2(INPUT_A2), + .INPUT_A3(INPUT_A3), + .INPUT_A4(INPUT_A4), + .INPUT_B1(INPUT_B1), + .INPUT_B2(INPUT_B2), + .INPUT_B3(INPUT_B3), + .INPUT_B4(INPUT_B4), + .isAdd(isAdd), + .num(num), + .OUTPUT_A(OUTPUT_A), + .OUTPUT_A2(OUTPUT_A2), + .OUTPUT_B(OUTPUT_B), + .OUTPUT_B2(OUTPUT_B2), + .OUTPUT_C(OUTPUT_C), + .OUTPUT_C2(OUTPUT_C2), + .OUTPUT_D(OUTPUT_D), + .OUTPUT_D2(OUTPUT_D2), + .OUTPUT_E(OUTPUT_E), + .OUTPUT_E2(OUTPUT_E2), + .OUTPUT_F(OUTPUT_F), + .OUTPUT_F2(OUTPUT_F2), + .OUTPUT_G(OUTPUT_G), + .OUTPUT_G2(OUTPUT_G2), + .overflow(overflow), + .reset(reset) +); + +// clk +always +begin + clk = 1'b0; + clk = #10000 1'b1; + #10000; +end + +// reset +initial +begin + reset = 1'b0; +end + +// isAdd +initial +begin + isAdd = 1'b0; +end + +// INPUT_A1 +initial +begin + INPUT_A1 = 1'b0; + INPUT_A1 = #400000 1'b1; + INPUT_A1 = #400000 1'b0; +end + +// INPUT_A2 +initial +begin + repeat(2) + begin + INPUT_A2 = 1'b0; + INPUT_A2 = #200000 1'b1; + # 200000; + end + INPUT_A2 = 1'b0; +end + +// INPUT_A3 +always +begin + INPUT_A3 = 1'b0; + INPUT_A3 = #100000 1'b1; + #100000; +end + +// INPUT_A4 +always +begin + INPUT_A4 = 1'b0; + INPUT_A4 = #50000 1'b1; + #50000; +end + +// INPUT_B1 +initial +begin + INPUT_B1 = 1'b0; +end + +// INPUT_B2 +initial +begin + INPUT_B2 = 1'b0; +end + +// INPUT_B3 +initial +begin + INPUT_B3 = 1'b0; +end + +// INPUT_B4 +initial +begin + INPUT_B4 = 1'b0; +end + +YL_adder_vlg_sample_tst tb_sample ( + .clk(clk), + .INPUT_A1(INPUT_A1), + .INPUT_A2(INPUT_A2), + .INPUT_A3(INPUT_A3), + .INPUT_A4(INPUT_A4), + .INPUT_B1(INPUT_B1), + .INPUT_B2(INPUT_B2), + .INPUT_B3(INPUT_B3), + .INPUT_B4(INPUT_B4), + .isAdd(isAdd), + .reset(reset), + .sampler_tx(sampler) +); + +YL_adder_vlg_check_tst tb_out( + .num(num), + .OUTPUT_A(OUTPUT_A), + .OUTPUT_A2(OUTPUT_A2), + .OUTPUT_B(OUTPUT_B), + .OUTPUT_B2(OUTPUT_B2), + .OUTPUT_C(OUTPUT_C), + .OUTPUT_C2(OUTPUT_C2), + .OUTPUT_D(OUTPUT_D), + .OUTPUT_D2(OUTPUT_D2), + .OUTPUT_E(OUTPUT_E), + .OUTPUT_E2(OUTPUT_E2), + .OUTPUT_F(OUTPUT_F), + .OUTPUT_F2(OUTPUT_F2), + .OUTPUT_G(OUTPUT_G), + .OUTPUT_G2(OUTPUT_G2), + .overflow(overflow), + .sampler_rx(sampler) +); +endmodule + diff --git a/YL_adder/simulation/qsim/YL_adder_v.sdo b/YL_adder/simulation/qsim/YL_adder_v.sdo new file mode 100644 index 0000000..3ef5b4b --- /dev/null +++ b/YL_adder/simulation/qsim/YL_adder_v.sdo @@ -0,0 +1,690 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "YL_adder") + (DATE "05/04/2020 16:45:16") + (VENDOR "Altera") + (PROGRAM "Quartus II 64-Bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|51\~0) + (DELAY + (ABSOLUTE + (PORT dataa (5284:5284:5284) (5284:5284:5284)) + (PORT datac (5244:5244:5244) (5244:5244:5244)) + (PORT datad (5258:5258:5258) (5258:5258:5258)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE clk\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE clk\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (238:238:238) (238:238:238)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE clk\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (279:279:279) (279:279:279)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (239:239:239) (239:239:239)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (55:55:55)) + (HOLD d (posedge clk) (110:110:110)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (853:853:853) (853:853:853)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|42) + (DELAY + (ABSOLUTE + (PORT datac (5243:5243:5243) (5243:5243:5243)) + (PORT datad (5259:5259:5259) (5259:5259:5259)) + (IOPATH datac combout (319:319:319) (319:319:319)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE reset\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (1026:1026:1026) (1026:1026:1026)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_clkctrl") + (INSTANCE reset\~clkctrl) + (DELAY + (ABSOLUTE + (PORT inclk[0] (232:232:232) (232:232:232)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_ena_reg") + (INSTANCE reset\~clkctrl.extena0_reg) + (DELAY + (ABSOLUTE + (PORT d (279:279:279) (279:279:279)) + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) q (239:239:239) (239:239:239)) + ) + ) + (TIMINGCHECK + (SETUP d (posedge clk) (55:55:55)) + (HOLD d (posedge clk) (110:110:110)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|1) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE isAdd\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (853:853:853) (853:853:853)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o4\~2) + (DELAY + (ABSOLUTE + (PORT datab (5232:5232:5232) (5232:5232:5232)) + (PORT datac (5272:5272:5272) (5272:5272:5272)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (873:873:873) (873:873:873)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|21\~0) + (DELAY + (ABSOLUTE + (PORT dataa (5286:5286:5286) (5286:5286:5286)) + (PORT datac (5577:5577:5577) (5577:5577:5577)) + (PORT datad (5519:5519:5519) (5519:5519:5519)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (843:843:843) (843:843:843)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|25) + (DELAY + (ABSOLUTE + (PORT dataa (5287:5287:5287) (5287:5287:5287)) + (PORT datac (5577:5577:5577) (5577:5577:5577)) + (PORT datad (5519:5519:5519) (5519:5519:5519)) + (IOPATH dataa combout (513:513:513) (513:513:513)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (863:863:863) (863:863:863)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst21\|o2\~2) + (DELAY + (ABSOLUTE + (PORT datab (5228:5228:5228) (5228:5228:5228)) + (PORT datac (5270:5270:5270) (5270:5270:5270)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|1\~0) + (DELAY + (ABSOLUTE + (PORT dataa (325:325:325) (325:325:325)) + (PORT datab (5547:5547:5547) (5547:5547:5547)) + (PORT datac (524:524:524) (524:524:524)) + (PORT datad (301:301:301) (301:301:301)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (483:483:483) (483:483:483)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|45) + (DELAY + (ABSOLUTE + (PORT dataa (5246:5246:5246) (5246:5246:5246)) + (PORT datab (306:306:306) (306:306:306)) + (PORT datac (303:303:303) (303:303:303)) + (PORT datad (311:311:311) (311:311:311)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|16) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44\~0) + (DELAY + (ABSOLUTE + (PORT dataa (5284:5284:5284) (5284:5284:5284)) + (PORT datac (5571:5571:5571) (5571:5571:5571)) + (PORT datad (5518:5518:5518) (5518:5518:5518)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|44) + (DELAY + (ABSOLUTE + (PORT dataa (325:325:325) (325:325:325)) + (PORT datab (298:298:298) (298:298:298)) + (PORT datac (5533:5533:5533) (5533:5533:5533)) + (PORT datad (303:303:303) (303:303:303)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|15) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|43\~0) + (DELAY + (ABSOLUTE + (PORT dataa (5284:5284:5284) (5284:5284:5284)) + (PORT datab (5232:5232:5232) (5232:5232:5232)) + (PORT datac (5245:5245:5245) (5245:5245:5245)) + (PORT datad (5255:5255:5255) (5255:5255:5255)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst\|43) + (DELAY + (ABSOLUTE + (PORT datab (5543:5543:5543) (5543:5543:5543)) + (PORT datac (294:294:294) (294:294:294)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_ff") + (INSTANCE inst1\|8) + (DELAY + (ABSOLUTE + (PORT clk (1605:1605:1605) (1605:1605:1605)) + (PORT datain (96:96:96) (96:96:96)) + (PORT aclr (1609:1609:1609) (1609:1609:1609)) + (IOPATH (posedge clk) regout (277:277:277) (277:277:277)) + (IOPATH (posedge aclr) regout (243:243:243) (243:243:243)) + ) + ) + (TIMINGCHECK + (HOLD datain (posedge clk) (286:286:286)) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|a\~12) + (DELAY + (ABSOLUTE + (PORT dataa (670:670:670) (670:670:670)) + (PORT datab (643:643:643) (643:643:643)) + (PORT datac (905:905:905) (905:905:905)) + (PORT datad (599:599:599) (599:599:599)) + (IOPATH dataa combout (542:542:542) (542:542:542)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|b\~3) + (DELAY + (ABSOLUTE + (PORT dataa (662:662:662) (662:662:662)) + (PORT datab (652:652:652) (652:652:652)) + (PORT datac (911:911:911) (911:911:911)) + (PORT datad (600:600:600) (600:600:600)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (483:483:483) (483:483:483)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|c\~1) + (DELAY + (ABSOLUTE + (PORT dataa (668:668:668) (668:668:668)) + (PORT datab (648:648:648) (648:648:648)) + (PORT datac (908:908:908) (908:908:908)) + (PORT datad (596:596:596) (596:596:596)) + (IOPATH dataa combout (449:449:449) (449:449:449)) + (IOPATH datab combout (485:485:485) (485:485:485)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|d\~0) + (DELAY + (ABSOLUTE + (PORT dataa (663:663:663) (663:663:663)) + (PORT datab (633:633:633) (633:633:633)) + (PORT datad (599:599:599) (599:599:599)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|e\~0) + (DELAY + (ABSOLUTE + (PORT dataa (662:662:662) (662:662:662)) + (PORT datab (634:634:634) (634:634:634)) + (PORT datad (600:600:600) (600:600:600)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|f\~0) + (DELAY + (ABSOLUTE + (PORT dataa (669:669:669) (669:669:669)) + (PORT datab (644:644:644) (644:644:644)) + (PORT datac (905:905:905) (905:905:905)) + (PORT datad (598:598:598) (598:598:598)) + (IOPATH dataa combout (544:544:544) (544:544:544)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst17\|g\~0) + (DELAY + (ABSOLUTE + (PORT dataa (665:665:665) (665:665:665)) + (PORT datab (650:650:650) (650:650:650)) + (PORT datac (910:910:910) (910:910:910)) + (PORT datad (597:597:597) (597:597:597)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (521:521:521) (521:521:521)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE INPUT_A4\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio combout (863:863:863) (863:863:863)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_lcell_comb") + (INSTANCE inst23\|v\~1) + (DELAY + (ABSOLUTE + (PORT dataa (5248:5248:5248) (5248:5248:5248)) + (PORT datab (305:305:305) (305:305:305)) + (PORT datac (302:302:302) (302:302:302)) + (PORT datad (309:309:309) (309:309:309)) + (IOPATH dataa combout (545:545:545) (545:545:545)) + (IOPATH datab combout (516:516:516) (516:516:516)) + (IOPATH datac combout (322:322:322) (322:322:322)) + (IOPATH datad combout (178:178:178) (178:178:178)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (985:985:985) (985:985:985)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1039:1039:1039) (1039:1039:1039)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (678:678:678) (678:678:678)) + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1347:1347:1347) (1347:1347:1347)) + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1040:1040:1040) (1040:1040:1040)) + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (976:976:976) (976:976:976)) + (IOPATH datain padio (2986:2986:2986) (2986:2986:2986)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1345:1345:1345) (1345:1345:1345)) + (IOPATH datain padio (2840:2840:2840) (2840:2840:2840)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_A2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2996:2996:2996) (2996:2996:2996)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_B2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_C2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_D2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2976:2976:2976) (2976:2976:2976)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_E2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_F2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (2820:2820:2820) (2820:2820:2820)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE OUTPUT_G2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (1014:1014:1014) (1014:1014:1014)) + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE overflow\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT datain (948:948:948) (948:948:948)) + (IOPATH datain padio (3016:3016:3016) (3016:3016:3016)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneii_asynch_io") + (INSTANCE num\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (3006:3006:3006) (3006:3006:3006)) + ) + ) + ) +) diff --git a/YL_dec_counter/YL_DecCounter.vwf b/YL_dec_counter/YL_DecCounter.vwf new file mode 100644 index 0000000..a053005 --- /dev/null +++ b/YL_dec_counter/YL_DecCounter.vwf @@ -0,0 +1,327 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clock") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("enc") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rco") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 860.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 100.0; + } +} + +TRANSITION_LIST("clock") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("enc") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 240.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 460.0; + } +} + +TRANSITION_LIST("rco") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clock"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "enc"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rco"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "value"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; + CHILDREN = 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "value[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 5; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_dec_counter/YL_DecCounter.vwf.temp b/YL_dec_counter/YL_DecCounter.vwf.temp new file mode 100644 index 0000000..fffa304 --- /dev/null +++ b/YL_dec_counter/YL_DecCounter.vwf.temp @@ -0,0 +1,326 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clock") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("enc") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rco") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 320.0; + LEVEL 0 FOR 280.0; + LEVEL 1 FOR 400.0; + } +} + +TRANSITION_LIST("clock") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("enc") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 240.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("rco") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clock"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "enc"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rco"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "value"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; + CHILDREN = 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "value[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 5; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_dec_counter/YL_dec_counter.bdf b/YL_dec_counter/YL_dec_counter.bdf new file mode 100644 index 0000000..02aa4c5 --- /dev/null +++ b/YL_dec_counter/YL_dec_counter.bdf @@ -0,0 +1,101 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 40 112 208 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "enc" (rect 5 0 22 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 128 208 144) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "ent" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 144 208 160) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clock" (rect 5 0 30 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 40 160 208 176) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clear" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(connector + (pt 208 120) + (pt 232 120) +) +(connector + (pt 208 136) + (pt 232 136) +) +(connector + (pt 208 152) + (pt 232 152) +) +(connector + (pt 208 168) + (pt 232 168) +) diff --git a/YL_dec_counter/YL_dec_counter.qpf b/YL_dec_counter/YL_dec_counter.qpf new file mode 100644 index 0000000..c349e2a --- /dev/null +++ b/YL_dec_counter/YL_dec_counter.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 18:36:09 May 03, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "18:36:09 May 03, 2020" + +# Revisions + +PROJECT_REVISION = "YL_dec_counter" diff --git a/YL_dec_counter/YL_dec_counter.qsf b/YL_dec_counter/YL_dec_counter.qsf new file mode 100644 index 0000000..c2547ea --- /dev/null +++ b/YL_dec_counter/YL_dec_counter.qsf @@ -0,0 +1,64 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 18:36:09 May 03, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_dec_counter_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_dec_counter +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:36:09 MAY 03, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name BDF_FILE YL_dec_counter.bdf +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name AHDL_FILE YL_dec_counter.tdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_DecCounter.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name SIMULATION_MODE FUNCTIONAL +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST ON -section_id eda_simulation +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_DecCounter.vwf" \ No newline at end of file diff --git a/YL_dec_counter/YL_dec_counter.qws b/YL_dec_counter/YL_dec_counter.qws new file mode 100644 index 0000000000000000000000000000000000000000..a7383da7d3d2dd1fca25c865c0fae9e5ed29fd74 GIT binary patch literal 2320 zcmds&&uSA<6vn?vTx97=T(}6LQVZ!c>6BUvQjB#~5JVS(5b|fDA+b}EQ7cm1`vAU! zeT33CaO2jM4`Q|c&glf&F+>OyLho?yzjMyL-#PcYcQzL^E;lq#QzNBn=)DpR)n~NJ zTw5_l1>}qBy01{r(ZpDc=)a|YtsWkso@j^pM`(I_M=wN|V$snDw@lb0)Rwl9x@xNO zg?hobflbU#4J5&12S3|kx^_t|{JL|AEX|M=LAR}sS_5lU>qK=A#5Glr7GF%y^3Xvl zWP2ICX_xtGNE7QW^{U4jYkw}I^>YY-7JQ{TLG;@yskq4GZ8}#nDbbdWvjL4W>kc`J zi8EDM4>|voFM$PRJ)b7=7Lah1jfC<`08l?;YCEwW;gW$O)VPRX5Z zk>6t}`0@RlFXURQig#K0!Fb5Fe@txbc{M7p0(=FUMg$UZXchYF%(N#^d2IrfBW&#o z%qNxo;#zKl&s1lrTo^KW#N_3mvYqG5RKCU?h_&a}=s!fh0InVYo#I^mMJGRYtv|aR z*cv%wjkU(r6~;BN|NHFP1Dt<$Bdn|Ndw+ZZ&p&X+6Dxg~ad>PQ4GhmsveV=}8n929 x3)-cw)1Lm;tR7{a!6D`^?Ot-h$dr#S2fr-BnfY}AqydhV!Y8u)^R%BI{{<{YfQA47 literal 0 HcmV?d00001 diff --git a/YL_dec_counter/YL_dec_counter.tdf b/YL_dec_counter/YL_dec_counter.tdf new file mode 100644 index 0000000..33f4b64 --- /dev/null +++ b/YL_dec_counter/YL_dec_counter.tdf @@ -0,0 +1,25 @@ +SUBDESIGN dec_count +( + enc, ent, clk : INPUT; % two enables and the clock % + clear : INPUT; % Synchronous clear % + value[3..0] : OUTPUT; % Four output bits % + rco : OUTPUT; % ripple carry out % +) +VARIABLE + count[3..0] : DFF; % locally define 4 D-Flip-Flops for the count % +BEGIN + count[].clk = clk; % Connect the clock input to the DFF’s clock % + value[] = count[]; % connect the outputs of the DFFs to the outputs % + IF (clear) THEN % if clear is true clear the count i.e. % + count[].d = 0; % load the flipflops with zero % + ELSIF (enc & ent & (count[].q != 9)) THEN + % if both enables are true and the count does not % + count[].d = count[].q + 1; % equal nine then add one to the count value % + ELSIF (enc & ent & (count[].q == 9)) THEN + % if both enables are true and the count does % + count[].d = 0; % equal nine then load the flip flops with zero % + ELSE % with no enable keep the flips flops at the same value % + count[].d = count[].q; + END IF; + rco = ((count[].q == 9) & ent);% generate the rco when the count is nine and ent is true % +END; diff --git a/YL_dec_counter/YL_dec_counter.tdf.bak b/YL_dec_counter/YL_dec_counter.tdf.bak new file mode 100644 index 0000000..e69de29 diff --git a/YL_dec_counter/db/YL_dec_counter.(0).cnf.cdb b/YL_dec_counter/db/YL_dec_counter.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..93b0de27e1ace379730ef1ffe6df439dffb7c412 GIT binary patch literal 935 zcmV;Y16ceK000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Hpy00000002M&00000 z003M900000005^000000004LayjICh!!Qs{Sj&l@KwP-6+&K9^5JHv70kvwR(!w!6 zjl^E_-q?;^H*Erqm}+9ro6YvvqZ(t(0(_KZ**C^EhFMKHW;uQXhfw}P433`|3Gea) z+5=B@DRb&~WEMVczQsg~v4JOTV`}%E)#ktPJ%E=UmwL2?cQKsS-aLbtHm#fWcp8r9 z#m)Jx_~h9l!fk=Uh*UZIb)8ADq}DW}W<-cKPKhuFKa0ju3YLNnT0M_z7#dC$I_LTaNDn4$6eAeWJ1bST@i?W(5fr^Jy^Nkv|$E3lL}W( zr7;k9#peeA0RR7Z0d!G4OT$nUy=kqrYP3`*5$q%)4lO8F{GdttXaZ?clZX|Bhns_& zf55?^n}c8l9bBB8TtuWO4(={GxVVY*S9op|pH&Q;ci+4BocochlSX?)bb52R2}3y` zta{QDk?Vw!6kZf;aDWm(hDu4Is+cmY8j*;f(=)|F zVTH+*0{W?hbAC3LX3uXu7yMt(iUc)q-tXJX+`uTH%Gr(xjKH#0w|&$EZR@uE24Xy! zVt>uYfq1e3%Hwu5H{NE?1faNEUnZoZt8Gr?oUqf!lfQoU?8WP|27j>wfTaC!BeZnm8|??G{X2OKEr` zT8g$2xITG&AA-#Sir{0G8a$uE9xCH@P+qv>Il7rn7gLWHS$w(J0g*-k&iTCpX&Rx> JJ^%m!|Nm{fthN9E literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.(0).cnf.hdb b/YL_dec_counter/db/YL_dec_counter.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..8a09ec4d09be77da699ef92a63a8613d73b0a61e GIT binary patch literal 727 zcmV;|0x10v000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FJ<00000006uJ00000 z005!^00000007ql00000004LatdhA-!$1^8hkYwRqDh&O%5sthQAMJmpg>e0WJO_O z1j4eBargwjhaaG)@A+6m=gqR&86kH8k>L4d1JM@32cI>sZp zZQ?$-f-mEn98*axeM%9pG94laleS)F;hDn0`ay^!xFNKpNP1!cXBs2!lDN6j`D2ovMi^K3qG4bA zxD?y$a*olOHKq;3mBI^9$|gA8A5Jz`rQ!+P=?Pr9bKxD53%K$IUO)nQ)e?;Zud1f% z>+Y(`s6}@~^m2c54M#o5t2&;VA`jXi&^}0k+R!p}VJACpRx;a=u$G+%#dU~2I9;oV z&^3b48q}tB*!_>*yJY4sRP24Oc!AAOU7}ss!^_8SINE^Z1?9|{jiIrNxHPnq@M(YY zD)<(3l6yXnrDm7AqHLlyE<|0Ai~wnfRXRX){As5h_yJUT6%`NvhW16tRgMagG}WaG zSoicGy;C3hcY>o1TuCW?SsHhI^n5G7bNY9oKXvk000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G<&00000006B900000 z005i<00000001}$00000004La?3!DP9Yqkw&#t$4L5cXTi1?z2nlI4bBjjN{>_c$b zg|PAUd?!DHZ{AP@MZtjL1raX^G2X}jucxc0rl)7-%*iGsV1+*2UHz|1SJlk)%%*9Y z=3li(SF6=O>gSqZnI6|@Pyfy(&Yt?erGx%2^{^k{NA#fD;qf|-`2pi;UiLJ@m&&e> z-rPy{VM(oz4iB#EBuzx$Iyky|c=6RsQ2}l*alKRUTB`spyD516b`@OMO#xZIvOdA_ z0jWTm-yF%k2@qmV>(9zM0$Jyq|_g zMlI7H5~$(f3lwWPgEc^p;Y+^mM&T#fFYSWgmajW~^uNR__M4k(zovDwZT@3Jx1-?s z8prqulVhYhX&w=OU(Ndo79GC{dpmsMqib@5O|Qq|wk_Y{P{W^v{waS{yp$L0i|stA&iZzPNrJOnbZ2!kh^ z5n~giA8W{IIVxp)5VGr&tH-Ao-Sa7~v_E$EFqYZ+QhLVhz{eJ8LT+d)JOYs< ztr+~4fsW6lgWhg!j5Fa}=^)Qbwfv0xbV>o5%sa*q7SzU*jzxLu9NkjrISJPC_Qe*l zw8w{e+vUw2Or+na7bh_-Z=Y;oOM4s1n>$6BA@yI!ymbNLF#A!m^I_`WPyM^8Cr#wk zH&njN&ww5hM-LZON55&>JQ2~|e$i~!sl@kWk=1GiA!{LJ3;|ZV0|nrdk0mVQB0-vT zk$oaybPo#>eoxHR^7Z(^++OcqI&f`$`Ph3K$9QnSC{_Zf>#ft2L~JaAdcy{Lpe|@t ziP~7R#S`>!*PxHDaXiEgMNfU}E_@0AO#}K_AiXPwash{|u-mn0gJEdrgWrOm>#E>q zxq`n`|CpZE?)~n+iXi-=+~i$$gIEgPv>mAm{?At%icrQT%?tin)my8bdP{c7ZcG03 z^@4uh0!rnYPu2q`elL^!j5ixQ2eNK_>Zrzdn5YiC?hjl06NU7{Tg=;P&nCb4jx$BP zS$*a3Q&`rx=`F$Q6u$^>`eiC$uYZq((k_iG$XM#)c7sb8+i zw{a=(*R%&I4V_}PQz!6JS>WqfxYXN{{YvDX!k6(J-)Xx7KHb;D9G3N^@!Z9qCGo!- z`rlblKlt;CnnFdJrKR!YEuU8#DGsyB1pu$t6tJ{e0?!M*fV5fKMgMu}wpV6Oi=KXv zeLv@rNIh6XPBB~UC;u2{Vp86~O9gzo1E&@c94JWD$6BOP0Y}@ew<|b5)sH!y+ zd9%GBWDD@jqVeeA+ZMDQ(`9`1@o8W|-Ifo|2>o&+>P=TwTuMIXHN$gtfL9-7><<^V zE;;^NK`dyl2>9?a`Cu56r#PRQ)7hvmU?A7y96?D=x|RA69Ko{>|@m}e9K2e@; zV-k=uALV)*7KlTKk@gy|y)@TrJ2eo1&}@zhn$2I*m=?+OlL^T9QNzb6FanWDc9P)NYGW$kns5Y^K(TqTHe5T5`4c5$&UPGL(Wz@K_k5^$Qgf~ZeZ!9*7)^)% zI2HIPfn6&KbX%jM42vCb_&{$JDSj7_cF+0pl+STZ_-T4x3Ozz{J*BRwd$|7q00960 zcmd*PU}R`uU|^WDYjO;bVh{r2V0XWGKi3c+&)|@FSHF;;NRR{r6A*JVSTHj%%usM< z1c|5tabSo~yi=&3vxlooyq~{|tBtdZQ+%+yV~8u5tEFRTU|$N?fijIhqb(>2I3$k_woS}ukTpt8h$d!7Lmf_;Wi z4fP*L0_-zh1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y1{@3rfVAkI zW2Zn)5(Z*~M*jeylS2H1fPxGR)APg|a8a7lF*=Ly>lIb7N!!%d0fJ&{hJ<@uSECJ?6y7$It;3 z+<47nI*>wB0E$sYR)!3q#E#h)UKj&u0U$;=z#kYEzCaO(_gEMlfUJH8%l)-L8daqy iTxBOvjvt&53~#N@0dnA>29LscC&yq{MgRZ+0RR8a{rIE+ literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.(1).cnf.hdb b/YL_dec_counter/db/YL_dec_counter.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4c382f5ab5528521be383cbca9d88660e6e39e4b GIT binary patch literal 590 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>M{XM0Rj(@sX$N)rTw7d6^uQBr@0Op z2z=4idUWu=fV{cCt%v`=hK?zdj&(0>z4Yt7-Rm>kIz?AW%y{$Wjmq7e&o<|!Ba|O- z{?m{8VE;h9VjusVgZm$b{d)11!79krLo%e|mFI;zr=o9%eKt>65y4d`wse|F;Dao2 z$Fk~Giz2PqZtr*(^>NnUKLxJF^KUJ3i@uhjeeuoliiul97Zs{KP3E~8_-omzT{qh< zessFTZQw9_j`DQn>YaZ*Eb@(`*<ig?5$S9`z_D?&ah0GB+&b*=ERj{{olO>VWBH0^jek>p%Kaw!(C?QB`BC z`!;v$j^E3-&#%Z3p2VlNLwJ7DYw?eElm7P9^FH@)>R;e|v}&5x>SnIgt7`9^e74QB zV*Dg@dgrruU!NuNo-+&C>=}6e(f?~PVY|YD9F0OJnparw*e{aK;d%0YZ{3S?Ui;2n ayLo(FK#=+r+e4;rM3_5gtN&mFg#rM?NBuGY literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.asm.qmsg b/YL_dec_counter/db/YL_dec_counter.asm.qmsg new file mode 100644 index 0000000..d268808 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.asm.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588502946254 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588502946254 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 18:49:06 2020 " "Processing started: Sun May 03 18:49:06 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588502946254 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1588502946254 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off YL_dec_counter -c YL_dec_counter " "Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_dec_counter -c YL_dec_counter" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1588502946255 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1588502947517 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1588502947566 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4558 " "Peak virtual memory: 4558 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588502948498 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 18:49:08 2020 " "Processing ended: Sun May 03 18:49:08 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588502948498 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588502948498 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588502948498 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1588502948498 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.asm.rdb b/YL_dec_counter/db/YL_dec_counter.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..22a82e53603acd5717316644a8035a5e292647f1 GIT binary patch literal 1528 zcmVO000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IvC00000001Bb00000 z0027y00000008&}00000004La>{jb<8$}RzfbxC_5Fii|gM=WFNPKnzq!AzLoSiml zOw!nmRK7&(^Imf8J>1>BH0~GvZ=jgp+@9k*$8JbOML)38-tO$}Ja*?dGdmiMMt`AK zDwSL4m4$`MTNoNz%cvJh#cR`T;dQJ$1Jj>V{SVN;k4C>i$cNZ+E`&O16bUt)7R2-o z^o3XO0V6Yyu0ucs%c|Uh=8rHIG)rTG_*lP&)q9Ojn|Br4=O7kd#b>NOGvWV*m-0TW zy;EY6ht}^4Y|x ze=9oi=UC0ayQ=}+{Ice7AP|`5K4q0&OM1c5%;MZMDkuLwc#1kI5lDs4xdUz{Zui1K^sTWUaq+~ z|8k;>d4y+3$w2~x4I41Sx{}>rVZ%7fWJ(o4fPB*nj5bP&D2GTo~%?%Up5$PmVNI`z0pgZ=1;}qGB#q_h>WR2&tz0HXRS9EcOjj2?=N4Fw#$TJkUr3|E3Is?PJsHG@Y8%`;r-{-i&J~YNp zo?r{pMXb&flGR`82TFRAti6@&{2+1>mIa?Tv5wpm~O zAul{{W6eF0-Xonx`L=gU+w#S$+r}KNeYaEl5fik_ta7qu_s+1&8eeNRZ@NyMGd$YI z=*9QL0oQ-xKQ?`=(%%380RR7Z0peg_WDsCrV2CobOa@X6+&~=U;uIg`8sHxk0uo_h z0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V@%~O;uFeoGoInMvU@bz1Ys^4e zM4(z+eVtrgTwGnCsu|fB6o4|ZJ08Bu0@5&pAqEDA1bO$o&$Lu#zH_m~uPgl+h3~Xo!$|KEKJknfAppk-D5UBIG!A{~^u)KAIseA+ zJv6ObpZ@h|Ckgw0j6KIVRu;IG+yeaS3D}kjc3pn`qkP?usP(s389iAu1C#Dw6&k)_ zoM&Z=3)9q9?lt`& zx@>m1tapAae~Qt;njLLn=g9T>&(n$eYy5}y^LqV4%>TeIY?Ft7yj3!iX}!gr_vTKm zj@te?93qSvIqNn{u6y{NFh>cTB~MJxHkUb8hp|5OTGTNo16f10aF&lHficic6*nfF zpRJgkV8l%KxrLphA=rT#p@A8!X9{5f89lz3`Jw%8b<9s4)z#Huq4g~l(Sv>UtPdF4 zWyP)pSpAM2?R9<_SukgA+yit z9`~}9`_>gygki2v9QtWj#`uyF|eE7f?e^KXHktB)g%@AtkS!<23GO; z`-Hzsr^E0E9=C72qUB;aDL#+|Zsgm2Pk08Y9-LB7T3oag-}uQ^l2rU#dImg>Hmr}P zuhx~KoBv^$6Q;HnS?^vq;~K=&*`sHX5~A($$A}Zvq>9 zip0y42eX{{^(Fq;GU!JV*PT8+UyC5I5||%D-kWDvyKC>L>z|u=G8I@gVi+Z7_AhTS z@P%X#B`M0GB5vKI-Rn$LXyre2@>0}A?@7{z*T^QJ>A~(};j*Cvslf-_`_D z3NxK(c<@1ySED$wfe-2av0j%r$zHc!&+zW9cn}I`D&!UBB;JwrX zqZ&Q3ZO9b;4aIrk20YKr40!OouVikcc3ppz)I-dbG6q}JOg>CjwiFdYm=%C+<2!)1v`Ix5x(~zq28x=RahIA7B&JGU6kTg>FP@T9ojRZZo(R+V)3Wv{QmsND zP3m@~!2y;5C%R5PQ&KoFRf%l3x8$Dq&MFQ7DYMa|RF8$%tfMuHm^^0pwcsk;pl*M)XMUcu167{#Bf@(_4ZN{($n99|JP_EZj;^1=g4>+^1Tzc8D!F+?tH zdV6be4e(ef4pzBo`5b7yq=t)xd%f64cG>N;*V~v20wF|$eOFLdsZY`7=VtahI(I>z z6von=j!mfiLlEGpK`H>jrfHJ;(1U)Ex8T|)FU#RhInHf*s$ zAmtfI$32q~2g%A-9^U61`Ye}M*KN1n*kqhTbg1v%tC&#Xx z$VCN4!O^vaKR3@g?Il+S6sh`W9JCI{f0PAUl(yHY;Sj*>WUx2JgzVXUO-{p6vSLDc z%}6|DAcT^MJ?_U@%acivQjaS=1k1U#X0w#G;P;kzi*XSspSieW`$F6$;J`gM9z}@Q zovls<#)U)O)8Y{=c}j=15oLi3J_VD?-lS)ZM0dVaKI*2K#^m*Z4(A z;_^*ZIpCzN{n2?4DD4?{C`^AHHxr?qX}hLFLGQ(*UBR}p%>sA%9YJl3HUUupztLXT zanzx(q|D-(MiD+)HEJIWE(1WI3L-~dX_}aC@NevHzWNt--VzrimGuuUFw zCQtW9kC+Q*-He1PpRe+}h~K!i2$WYAu78eZna3)Bw_|{1+pYs7Sdus)5dAfpP)*q~ zQ);)!BvMJ_sPI|*AU*0+-XY&IR-E=1ygZP9O8JR@Lx;5e$EQ^GFZ?l0Lef*)1a^@ zG)mBvPE0Xsvoq)wNr{W`rh}n@BQ6ewxd+G37BMriJoXZ&WItprXx8nBt!szZVRa~1 zt{J}>7@Sdp7XVZ_@M0C|`+NJ(R#6R|(Zd;_td9YKC2KXUwN{%9S|A9&YR4`?K*bi! zb3r$y>+dCwPsAp0_^`;2cGn*kuRfl)C+7H~s6}L{S9a7@J(mgy_Ojb9xXkk%0A;)! zbJL>KZuLoivrU~*`#^T6wnCw{y3XhIBGc%jsIP!TiJQ}Q%Q>F}q(|GAVWK&vqgc4`H90>6{ zkNa_Jy(PQ6dnpLbBmdEg{>jvs0SHi{u3VoMNx6+U?g!0di^3lK$VXGzEL(i^u;l_f zcy~dC*5UwtNoY`BHpE;Pyo&gWdon+R9s{_UD<17+i36ivA}!1P)tLrS&e{M8DMfve zqVkYi>x*~!>A{s-ku@EH(z1G2#stA+)uhZjI$ zA^A6;ez>%$*o2Q0H1Hgt#)yus{EUTWLZV-jU4RFAA=o}d>ZM(A;?OJ3ly9o@> z-!W=uE7!6o0V08Z$2aM{x6LwegJN`HxY_WdOzcllJ@gTpZDtYBr z^fMm(c;@{t$AkH=MwGrfBGIFZ=GJR?OrSt?c%2z}VO0J0{REsc6L*W7{KHT=g!X^P z9V)kM-J`cKHUUG`B=sr-YWIdbRQoW)BjmW4kt`*CYcM>2Hw(RPN~R3hwJYddZptxD znHWF4Os{?Jb-=-VeMB|<#{+&-@HMHhojmpcRhn_(&QPrf?=?91pjJVN%EAI)y2vM< z@F6?;Yip({3sVk|PMHj=kmbYsKn7!z5s>9Md|2QIkgV3DfnAOdG9!O} zUHJKR;s5#T0(%t<#%AMqPMtz|B%x3!rwMF?SkkGDe5aaFeK_g;B{&6g63*6GJ1j|O im9T^ota(OqGv4I#1@TMCX{#d}C2qfykbnU{Hv8YX9ndlW literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cbx.xml b/YL_dec_counter/db/YL_dec_counter.cbx.xml new file mode 100644 index 0000000..9c81483 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/YL_dec_counter/db/YL_dec_counter.cmp.bpm b/YL_dec_counter/db/YL_dec_counter.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..904d1018cfa6c61823a9354c72ecf0779a011c5b GIT binary patch literal 619 zcmV-x0+js`000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Iy300000008d+00000 z005c*00000003(O00000004La%#_bh!Y~xa@n@omiT{9l@od=^f56QE2NM!J=)qGn zwgQc#UAm5hf7^Ie=X+xhCJ0f9#wKsC`}EuQqa9>fmK|0ql{fI~*e1kyg&1f@Fy95k zu`zL9_FGHz7$3)XklM5nvYdJY5GSyrX!F<#Kp75QH$KV=ucAp57urwJ+%{odnjT{M< z*fbooR7b4UZZ%xTVGnH{ihu_?RaO`#Rba$WDCO zCtx_{zxoNCJomt1-}r`e+_x~w@TEAUl_IL(7e!A+(U^jMp5=>MNKIX?6#YC>`HU#{ zGvvgGAJ&$g&3_V717(l@Dbxu0={kZ_u$ickyaNCL|NnRaVrF1un83impprT*3`j93 z1F=(pZ@iO#sGo~tP-J|7e^5xgucM!%yK7Lqi(`nRlVh+eNF@Uk5HmA;0FwWM7q141 z@BuMMbAV$|h-ZkWzaL1Dffb0E7#x7)2JQ#EK#D;Uh(YQ+JY8H|{ZQ=!$umd*F#rGn F|NqU`2l4;_ literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp.cdb b/YL_dec_counter/db/YL_dec_counter.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..39ca83d2e4b5079e432a083b9838de2c7de8f50e GIT binary patch literal 5779 zcmeI0^;;7Fx5o(urCUN^h=2mZU`WG|R4DN!6hRtgG=YI@3l-tV7j{^N63Uq3f5Pk;McLB(PWC1{VX&G5*S)dFEVCL!@=;rJSc;e^`1IPf(9s|r>od7y6ZvOw2r78bO zM?s;>{=cAbqxmn>x&F&wN`jSJm52`K&auT68t!IFn>0!n)?3yzmbXk3v?()1R-S*{uwDxVGfS>PZ>t}*ofggTN3b3Wo&|?iRgvs4}#XTD;}!P>SMUZ7W|#AkTSrQHa~|)P)ws;`#af(k;g2 zU{qpB*IGCOhS(QXF}|ca-$o99Nf}WwM)Hg~;;DGy^vC(K)u6jmpoMtRh?+|qR+u`9 zIiqctop(DsxU3((kc%kqZa}EpM$Xsm=DFVa691^pl~77FTz0g8Ajdv0%pdy7v5N8j zRJL`Gm9Ir7J#MYqDhRP_?jMvd4>hb%DMrO>iv=2O3sn594(Non+0DERGR8LPsHnfn z&aw+FSctKbR2X;5XsnWPP)&I2uBml`P7+R0-pDCs0`JXoN`x&ArrE`PhrMjIxkvGU zm8tiE&l73pg2dNwwc)QmtBSv@bG=qe*w}qy(V8|UVCjCHLzx@c_^ti`S6qDJV}pLq zRXQJ?za1<$eqd~D#A?{FnQ$>FaT!)~q(ndG;l8HLQ$atUcW5IU^Nn}t@_ua_p&AzR z=`ngAlknlM0jtVBwz)z7U*P{&^ndk=65B-U!Z|m)X=5lQY8PUa&LqzuMXdpZjx*}A zeNh-yem-qXD`UALqh7(Jjpm%HIE^XSkmQ*+o0M|jULh#xu$z=njw4_RlSrO1 zEb|Pbdlrv5f7oFlNOK;rKA_I8yGqK!>t6eo$qn8G*&0foxlFjq(Io0-$Nn8)F_j>P~dR#*fdxeABzGY7=vc zi}Tyb*Hg#aGVz%FVwjG)Ll#d)VXpRPe5LvunO;ZoA9eGY`_a9`(LV;4Wb)z?J`PGQ zyG-*1>n%2B(%HaM6we|T=0`NhV4w8~eq;pww`vM2m15jmbliRy7uLL#__PUZj9khY z0Qt4C{QcG!cXC@^4Kl&nsUp-yBNJep5U(;JI&cl8Ox6L+%58H3YXD5fst}2~q#}sq zo8;gb+#BdB8b6srpyUg3ZdREbCPwL4sUHXC|ELZrd~b=V^5sfIyU0PH>QrvZ*rQl% zn)9ond;1x4LjqaEIXGHmdqvfS8%V%>r_x^Oy&E+A!K?u?cxzh*{!&G8R<2#N@Rrvi zu0A^7jKl2M+nUojznzV$xi8S1#P1zefyFtmwchXIe>zyDPEl!G>m0ravcs^ME>szGZTv+;nS$uYn5G$DVOP)}a?QUTD*-V!t5SI2r$ccUKd69Un!TUG z@KK7J0)!GKV7$(m#1LWqQXgMq>ocMPRu=i8~U);<0F5mxz^jzZ|B$5R8J^3 zquH$l3ZvAQ!9kdk(BG_cN8?0)3DMx-YpSX&hfzAm<2&Ytjnv-XWynUo-r1R~y^yXS zs6B~-&$Wl37Sro%@FOxs5Fq1YZPUej9>OQLu(C0+9`)*<(dq$xKFn6CtW%3-#1>0Q z`L;7@gQFJMR}fm|W>m;LpFs~uqQcmt3_9KXhiC8i!TS@_F^wDdCRK5YF`(t?s~S^2)4(gPPi9zLOGIP}hx;8{XXee*Cb(*%`LgO6j||6^b|$g~s`M zTGgqiU$X3BA9xyHB0D#TX#}Q0lk%{c)dc}PTY4p&wYQpQ6lrwgW6`axaj&dy`cuj> zL5$qU-?W=o21%l^{Gsz`y1l;$S!DXvO)+|olJV}cR^~l+L$LM(KZ)B--#G=iL+z02 z_Sa8O%iI!@x0e+Fi0qZV`qYa_>s4^?2o4fKH77MLo8;C%zMSHW?^`fAy-iTRq{7<> zbC~3=?5FLXCJ+oMLrmM=zmt=#g)9LZwd?{>_&sp#(@w~&*M+>%0l zudWL!SRxZfumBzuYj813d@vicji5-V$e*72UkfFFOX`nv} zVo7dz25XCOagS;vkNZcouwb&VTg=zM&HS{fd_QMF=-U1Ytxx8TQkn&g4-sPyHLkzO z_~9wHPX;0JvH!N^*XPI2ESkwd9-~;fRLv9>yJe-u-Z$N>zGQ+H{4I&GFqq%T)PHvK z6~*bD27pmz>D3VDxskbV^lOzxfuX5rx{XEj2J+EKN3RKVVCK0Gr=%E-#*$=+QXY{H zFXEBn(8}BJPH61~&~Y~hO5TN!mb}e_oNlE}aq)a9JT@5Rf1E_gCs_#)YPpGcNpNNO z@>2{u9xXHRExPuX*6)2DX+#$svejh&eqiGFeE5L;_EaTn>HH3;CQD-!e)4p)eOT=$ zjqFApsrXb^)rSUU0iD>TGvVW=EOVl1A#3lctZ$!dK<4T@-LPc8imN8g=7HH{9FLaaZN5lgT;_nUiVBNoYU) zme|DY#!#}6H**t7>)Z11)NUhx+i4BOhsf_JG)>?@WtIDxTtUuhKa_MNH{p%Mkoqy%?uOJ0R8a}IYDv~c@NYzT* zlo35(4_g_(3Bz_~${sliYStj^A2_Y>?_PbHb(>e-AEyt-w^Hl`Bla0;PhIXQ@$x?| zK?A_YSs_5n-?kwYZsVtkVE%BTKknU8R;j44F&^7%!HkbrWzfOQ8fkXv6Wi!@N4o{V zgoYd!|HY;{p;iCF&xn0;Zd!T6WY43~cB2}sf;&<%drA;~k@>R^&a1`zF`{~Lf9-6E zXIFrI8{yIw`vyh-I)tTU3auH5pyC*V`q<^M`p4x+)eG^2*cd|UQ$D7@7D&ahAw|5G z5qZlCTMLMcGQKFdP6}i9)_!0vdHK5KxU`E#K#OG(Z|KhLaX@7#-eWwD8|dbI@{NY% z;=kOyYj*cC_%3_|vM7MKB4C>9#9o;k(X6H7A`h1qkQQn$aAI$Nux$a#zf4EX5ey;e z)*w60SFOOpRtRLO#b>%RS6+{X_u@&Wt*=7k5IF;$Wh-4Yx7XOPfy+%-{6z>B%wJoo zAUvY%kE?yb@j=tD!8Fr>@&Xp z7M3)^^}%dks_}*|y&|!$_2&F<#}R#m=&gGivG=9<^ITLq^x|w7@%(w@SxPlEx$NV@ zcY;3;8sSg8@*Bqu2ozI&ll3Lt2ZitC9pnEAzxWbV%>m7nZ}E`XK008lL3rVLWGDN0AYEjH_2_{anR2 zo9O%R^Kg{&%my^Wd1%f|SR*#8twM#j6$b?b)Tl32QIJ&hLA~BmIez>0mAhC}{a3Rkz9v;cu5*`&1O0*9of)=0fAimC?6>Cd`yuWVAb-Zc z))0X!>eai`KJUG%R7aQBeN>$%a8l*Ntd~y^f}IXqZk&Ov?68t;MQQaK?u~EX?BwR( zrwXkq3)pF-cBhsw91^r{?T?T_S1+`6F2lg&SNHdrw<0$s<>^EQ*agzp^fWkQK!hU9086=JB)ELQvVNX#aCKcMxKS8aOjO|jzEZ9qL~lI))FpmqlPU*XhWyh zI*?-;4;H}q?1TQB$7vy@aJ`EMH@EAT^cMs+dZiSi+{Zre4(7IbbV`eL9@#cm2h}Gt z2J0c~-xl_QF5Q=yM!Z)FMl0x7dQ(LPy=*T;z*IhisOWk0BI2rZ*ca6aCXf0i>>Hdo z^)W-eBBF!NHY8ijCMP?I+TLpc2lYsCTqLFwNUeOKMkptxFL~t(%%S9t?2=}+uqzn0 z!?Y5=u(HykYCK#!DPAzZLU*56(EZJkv;3S~^`zb2{SDgIj_Jmec9kLKfh;2N1z3_v z6|7pQvi;!XyvfT(;&>g`lywv$t$!Yc#r)0>-?OY)qnhoX-IbmlB*uDN;_|#57fFSO zSSP!x8_h-rs*lKZ2uIgqT9FLbL2wQuqEuIiX*(cn*k$F73+C{oqAE-PQ^B#-jCt z>JT_kqYTq?aPV-@66)->2i@~jQP0C@?@mAR@(kg6bCA*C#En?MFxZ6jOgm_Na%9Z} z`u5eYzD-qLlqI)KlUa)~zv`BSO|?suJsnlP1hVVD2z$w(7Hj#M1kPfcG3(FqYD4o{ zZuT_e8~)7t%F`8(WDOES!5oM6>g*2GV4qzEUaal}D1CI1qfJ^(FqGZcXt?&m_W zbh>gs+S0ELf2;*{X4b#~Twhi9~LWb31AW)-44+V-2g^5%Yy1vS3>R*%hIT5wxHA$&Uk z*jD%WC!XtfLMxWc_K6!nKXY)RevC?69lv)ON;mGc{YeNNw&rF=O{87YLskRo6;M75(SS!NjH?jz7b)VHog z#9L^;Yy+2NUD%ffB^NNqFN#p6C_dYCcA&ec@PjR+%X$d*_V@Kb4t`zD6{$0d zBUW$7%T-ctwT5Y8Bz`44?PpvIUT06e&1Rs8D>kdaIiyC9c|w{H74S=nO3fh$TrPCC zvCltBB49`~&Xxyg5P#Rh9}bBR3V;>tnao$HssAlFN%m>6Jj`JyEyVmT`50q$){luD VLi_6sZ;DaB%9n`v_c*@1{2$U_Gxh)g literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp.hdb b/YL_dec_counter/db/YL_dec_counter.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..213effa2715b4d45c3c52b9950014e61edc131cd GIT binary patch literal 10548 zcmZvCWlSAF(=P7r?(SAx5AN^NtrX`V#ogWAirv2Nm+$8OxY@}( zo7v1{^JFJ8yID*K2#7jl$bZ`7-vInW9iY31or^OCCpQ}h8z%+8%g)h?f{UArf`gZx zlZ%~;gOi&=6X@=3X9=WGGq-f0;H1!0pwIF% z#{WTI$a7=FOj7B*t7y=A+xX-jj;Fje-BP%8?brm39~Zs{40Ys)l{J7WC5s~qg2`IX z^+{3+R=Q7Hw{OT$4FiW=O6|s5*Z7~@VB;QWpdCcgyuNzrKGcX-e_l;uS_78&-m5!L zbw6u9w2h68H=2W9A1~HieGy)_^%e|>2+r9b5*^9Nh)Fhx1q{^=TIdg@ynt~2b2BZN&54l+z|8A1a?MCSr7Ci0N@Z_%LYP@x5UT zl_Yc^BvM|flf?N6V+kn*3w!U7$-LX6&7#sES~b3824Yvti2Qj)W_SavMK96uUPz7x zaO}x3tAfGBlxc)jd;BRbyS@U`%KBtSc%!UIh7@h$&Y|m29kA}m`~R=O8F}8nVOTJv z@2$yt^RM#z864mJivzR~=Z!7`*)L=7FYq-(HxppV|F84^M&s~c{n6J(z6fao2MND8 zL43K!p||G}E9XqG^B99HE7LI|DgBs{33b`Ooe(n*SahEoBnt0yf@Zi1&dr=8G%CHu zXVNSDW*k`;um>mk2!|aX-Hxv1^C^Jk=B{p=75Vq)rh++yR`c*H_i<8qPo1l4VZ%?m z_sB`fAj>n^$S)=r)=Xh^zyudmsr27;xIi=cvm|srdZO?ITuZA&j{&v6-h_3fE;mgR zoxwjtmCA9M%jMs=&61ZpC0F?a)slI4X5Pg|e|+1G{w~@93o2WW)GT*oiH9nCV9K=q z31+LwX(qpTqwnLznkm%d4c=Om4eQL-2)Q}A^tMIy|6M=2gBcle)ata{D22|^*XaJq zGovx_g^OBX<<{vs909d}_epn#{M!IN&9H8po}LvrRXede`Aub`uNP}={$!e=o}F6X7ujO+lB+7G4w~)*P>HGQRTi{R(g(yp|Cntt^e=*xGI!A>an4Hwu>!xN-=(L zNEP*VOGrG|D2+!ZJX)ICYJ`Lh6ESFa6}s4R(@B?0_}tk;S-NEpoNsUUYUE}XUiNn= z7uXie>bKNb=1&O5h@CtOZeL(gHD5ny?;cg`BAflrApWR)xFycIQcjijt7?R?VVgip z;8FZz5sAgR(--Q7CotWwPs5NOYB$;u=i`u`;A6-}I-Sl~Q&EO=z$q#bt;kkbzb|_( zNlmP{Y?iB%N+FpF$K+I1N0Kd{mP*K9QvtIQt~}t%g~y|Gaf&!Un#wR{`Eknnpnpo| zAYT2Vy`-0<{f*ZG;Ucw4@@HK&PH*r-dW3#4%|e}F4^Z78-s~O`=7`;fIVfjDM&Sqz z4L#v89u+NhVwBX#0#IoYg*KhE4f_;lp=swA;8E3FQWKT2vW-Pntd%*7xN5Ix>Ekhy z&aJzcuMY!zl+QV z@Ama_`p0)v2N_-)6F#MdLWQqiw4r8P?P`0I8&tLUJ)D#`8Hq5_c@d2$UAa@*kK(qz zsXV=qZ@U)!dPjuQ0iuSDgZyZ)4!VXkH8z&-L}8H{IJL#2*|(J2_Jv|ZwbXN+vRl8{ zn;_>+8Y6OM8eQ-RUNNj42EOf>9C&Av3H{g##(L-3^y#4T2ss%&?m8c7E<~zSdnQz3zI?saTg)+QX7UWq-kEWKOKQ zDy9nIb2>M}ccEK;H%_jbLzimbM}hNe%?0`GdIS`GevktucpVvv=y2 zcTt$l-2Ssd{wyvp6P8AB;s1H6Bo!^?riH5$%KRswShS)lck~iXAGM=>c~WVQg0Xcn#Ck8|C%vn}bx7IW<7 zpAJJI>hLgqJ>Us3Tj0)77{G!tXQX?(bnu=n%6(Qmi3iO(ibhKg?aW~L;>YJUyUPCrEU_*eMW65wx2|HDu!#kH?Zvo03-I`2n#0_`WZdlTr zMk_Y7c8?cQ`vTG64_~x#qMacIANCYsiRn#dm$jLb!lj-)+YgGu_Mg5yXu0c-GQmy2NOo#*jiT~KT(93}lqf-32o`u{r{AyTxKlH<;-crLuy?@- zF*qp-aZjg;ga%HT={sB0AKullo&){UujXuWf(WKwgiE`OG zT;)rN&Xt0z3gz#h*~>*aQ9_=*1hlDx4lzdpu^DnmFVDr!qm5US$oEB*tk-rAOFS9$Qx?rMtz{1OU_eq06VjCerlbqLPLU-8wI zRxik-*d<;Rp81A4<1VwC?ce=>|MqwGhep0QIKZD0um*z!U6Q?9ncK6QX5$4a`ch1)vtg5Ldz2o)cel3XjHkHxLzx-qbtj{4zbAWPR z(@RRHkth;!;heyeRgT0x!<)(Ukv9AC<=h-CLu5Jl(A7Ln+4#L0%a0~UeTwHpqle`) z$2Ln*bv*eXM;|y>RG>JeuxiP~IsDb=k&Ycva;@`J(c5RCCftRVK%N)>b8OzD<-*Fg zGtjjAc|Un!$k-`oA<9x$3rdo*RFBmXr^ zDWH9|U8A@DZz^NW#DcYE|I!x~jq>R9j{Us23wi}^hx}yi^dT22r?j#F+6z5I>*8P@ zW2d|WG5yE)1DJYJ{l~QN@=lQ%FAV3ZtiA36X?X?x?L^bnmhRZAs-5tl;6(#*`96IRW#!p;ft{z3d*^LI zczidGknri~(YPB?zDrrzfofWi(XEFUZ~Rp=nxB!U(TflLaq36|a_dk~jnS}{R{dBi z@FB08Wn-~uKP@rG`LQgvGMWN8Y8(akJ?mm&xjY8)YS@Ky{jc(Azv`?=c_Lfg z`>a+|Tznev2D4CFhV11tWSES#5c;QrRakOB=gn0nuB1V1ULtg7M8wMn^@L*iaDusm z8}3Mxc41et`hS6G%2BGBoUl+#)HHL+?7#&u6Zo`2AJ}x+rd*|}B)c_HEHKmbi>;#k zuYS4+v{)D8g0;SAJ9oxp=-!-l=terdG?rQ%avn; z*vbd@+C#y~t>U{!IhcKn{-vPw(+W)cQtB@Mf#3OIb&#?@4X*Hcm$mO(F%jMPpkA@* zd{IsRKxXY5{Mz&{NTQY)8@U*X_tN5a4#bf@vUH5yCmuAXZUWQ9pMsasN{e0>Oe|$9 z*Sv`Scm%P&J)HBm5UH$T=3PR>gXb2^$RsBKzCOpd>o~Ipblbm&nu4SE>*_Pn)?n?#zGcxdq+IWv9w4CdKsv>LXM|3aQ~Ta5k8s$B z4`D$wN{ywpKjFHgsD+|Ht`sE^!AJwBiIU;v0R&{C1bakK*1G$;noP-QWu?cd2~aPe zunEx3wH6)E>v1EL*hYT0EZ0UPX2M~iv=g=*(Q||f>!_KSsl%drd(ufFwB}!Uiu-Fr zNK{)sXO1(;p$#bhC5?F#7fwRCHf}eu%v9ta%}m+XF@!+{aEeEKQD=hV`>nOYc?x!K z$4t2f@Tr%4#7X;>GfK*@s%K$+On2&~r2*$s!0+NXQk6FymzQnu)ld&jEEWDTLE0Y`xdaeY?VJJ^OGdg3b z7t38;gl`u>-G~)F6V?v3(Wb|5p zh0X>R&&`Hn)fKc~KMWpZ4zcnDNEP5^WQ&}Flj%rw>ytB$M`eOP&%J_81qf(KQWIwJ zkhra4d)hIYgi6=;1Rco2zfPK5baUj{0yD5dwa3NSxFTtaVYXDzRIiTo)PJ>RuU%wx z^m~Kl?75T5%)7eMMJE0n0SBeHM?>Kq&rpR8TZA&d*5S`49muW6(pJ-yvqZxd4{jg# zWy0bD1f1zG4Fx`g7?}vEupF@oKZq^7as3wLg8_SI7RZk+M;2i{$#_kex+7OA^`VK} zT1AS04o2_~+8}`9De_Tla~b zvqU=2m68uHcF`eso*TJaoaz;SIvtz^ZxR>d1-SVoy|c%rx*)uBBg;l*?T%@>WzcNx zWM)yXDW1sOm5{ExA7;V&F`GiXV~=y<`UJW+q+U=eGI^|Uidrvzvz0HKJdrI@2Fe4& z9XYcit;s{f)$N*9E4yZ8`~mb!=%e_!CE zuyxq@eYWG2A{#oCanR) zAt?;X7_04%r`BcLtAmgUiK$(=dM|e>1^E)o6)tAJWFvG0yLoAM?U!?6hB86Yiv!`( zO9Z8%Zf~3lH+2@2-!kHru9hyUQDb+Ou@f(7TzDU(A=~V1u@TQUS)-guUzCkv#~Zo! z`3c>-Q3N29a3Zwy)A^_o)rt}OG+RIY&_IA*G)d50rQYGzb_f9$h;IzzF$^byg$jR6 ziVZK?hzZ-TLM}XKWi~F%5j$TJ5OSWVl38~H*-3A6|2qZZ20naqQD>-7dli-a|*3_eovXPfhsE|*;vYwKf zy2h42^D~S3j!x%{og=HV>XNRbec+@Dh%w%~IdQf7V<4s4ug`xc0vhYu>i*2FknGDyX#yJvr7!Lmxr1BAD8r=Plp-Bf zjx0~yATc!Vj4L^n^D&U>2Kg;E+yl(LzPP_<}pLN7%>nxAEQ9(%l zd0f8JU3$%vwzF-z%AT>`Y_sb4*d*B?2WNy>02$-q^$_6!WxsiC9i3VV2o2_iuZ$N$ z8ej;%UN-KFrXpF6y>snD65bY~`28bKI`U0BQW#CHwZJ(eIw{PoLj+=Hse8FT0yvZ} zCuBe$05#HUWJqu*%>LyZHtyUpz(FB;tXJBj0Ey!jikhLV0A*d*wXe;!FGc8%Me!WF zWmoOa&E|p8m}}hutwFaN4+Y1{fCsgvA6hi@ zGz{Ib09>RS;QkmA$c@bi)_%YG{&$p*Rrof&F{Z zCiJ6HCbW_!&Qx?@uHi%AAg zsNg7wosorf^PNI1N0)!BIM`kNW2WPhR$G4w9gGz?2-*1dn1coyI_4E`BE2=F3gaH- z$uUgk;imw`_t1t|DZ0lf?UO_9MD6=`86w4RMAWAr^wh;0M_70Q=XU*>n65QFI{`Mj zBe(U#yz5u2?4Ecf;Oc6lizHae z{2D-CFVp>rc9CJI${jU$*eT@N7^c?t-c0NCsxljRT8B!8i-c24cdb9 z6f&Hhr$p1|{?YUC5huGVhIvIf!^i8sRW_hdyFr((2P-KxbmaCYcW9-(mY-w`u8y|h zPW*xnf6XeA3n1bfR4o3Q-F1Hb?ij(fzd`P>i7_U+wh5b17l2I1FqLW7-0zpbg3fV` z8bjyhZF-Gb_AKcdxcj(iP_lSKTO5Nf~Akhs3MMff6^X> zJH_%?%aFS=>+4^HJy($uAjCTUeLp~)#xUn822>Lw*IYAnY)^PAN_Y!N=w6572Z$6# zMEKZ!BCM{R(d7DG0lC8huN$3S@BL)8N4V{4qpxddYiQoPW^h=lISmDy;mZC+6dvMZ zm&-8!RX8TXdo^2SFxi?IBXiatj8S_o=PtxCpGy!aSJX5`5sz(yXp$1qJUR)9R@*bA zo`APYi>t8;%l`)4An(=Uj@^i@=4vx0m13DRIi#^5^F4=yxyaLV|5Sd ztP7jj!~E-96ViI?Uv74AqcFUKMmq(HWr5#m6$S$QjD$pt=TKu7h3)9bUChPrn; zO{B0;y`kt5VIsT#KoO9NBw><7gARvQ_k163n%IF*BYl!SVxUlgp%Nf=a%8`6_3>%t z!Og%m%tqcAIv|9$>8GrPvLj7cY-(42WrD|4EJ`847=XE{0ggJMftXTW(xEkEeA~cD zLRO<~kn%VDibq%cAide(CCJ9o9?i%=)yP2dBuBfi+Y}b^uhintnbf(Fh88l;Yr(J- zOMASisHuxiCfK3+^nGw~dkKBUY|uV}9D@s!1%`&lmvNVZKfGIAcc!Byvk;B^59izJ zcT!(sRjQ0N7=C`KXPTe-i~dvPo9MJYfvQ(!&h7p*j9IAQu!N2(Q*f83 zQ~$_I<7YSwTXRrHs@TX^)>T#k@AG>Dh@r7a_mkOeocVfo_%a<~SWv)eN$b@BboU(( zr|5%2V2VQlEaOtx8P@6PUTitZVMO|cX{P5nLo zISkY;A+?SmmCcBA>(vhJMl^U^C`5{(96HnBYE^URKr#Ewuz%)?zRLrm9l!eTLV?>v zKjuYIy5(jRV0?AksOPIV^=UB=i)s)DX{Zr486a$Yen}=e(|u6YUM^4;1nZ{|DNHSy zpGIj2Ip!>W>8T={iOBOLQqe-*G_bwSV-1>zkf8E%?wXu=9mk6N!ef$*q;_zSYs`~^ z112W&v7p%1F7AN^-?BD(34y6i;WVmzP>aS3_pEKy8tOtO?6|U)Ad@%cA~ClHre%1> z9n+xzW%!GohE?(@Rf!@+U&1Jy@ql)im|&?vcZyOxrWh>~b}AKkfa|Bucexy;QsYR^ z(Igc@_ehizD5)kVK8_W#C2DR1M#(9hmu?BES}aVLR9FE;NrQkq;<8N`1bPkMxTW>A zaN4tUHWfb20sE}=6|Pbm$*J#@K_RYMgO2r$J!3t;ddmSuUnAN>k=(xF?k?(pgz)*5 z_(j$ky3&kwDH|Vtz2w0X|I#od%5tfP@GyZT=x(6#?2M<{4UE?_`>0a{9IMA?l5gZW z@9;*0IKP9fP1y>2Bb1g zr8G^aY#U8#_$T&q!{KjTAy!@ci9k`Y@04O*k`q7QkDNg#tv=wSEhs9#%PSr_2ed#{ z*vu^lx7|l=8I$QQ^dy{D10TvK2P#HBp2!JkgY>~YRA})x-K>cL+$2AkOdLN}nMBB) zua{A?6E$f**n#nb6@S>m zs|(qZ(L0J|s*RcAj?_$Jwcq027}L#0@VCa_0C9w=mmv5-E-*R?hT<~$coO+o5;-`j z03z9`?VEaT*pFIwIl6ykEZv03^hAU8I*L15wA!r30&7^3yJz~B zpx)IY*I^MFMCw?X4Gr?1p!;BuhHnq2nk!|Kp9J-)xK1%9Pv^b69i3Pupv_lKk+(e< znpS1t;G#O0T=+ZO5U$jpa8-;1_&bAb_t#U#VZJxzTWy5I%+o{&`$r-2b*jUKJ;&k} zIaecic}Oz^Z~vz3pR#LB_7O2}N8wWN1Lg31u$>ALCZ+t zX3eS)zh#$8sHrW>;)6;o&qfv#uO)(?7bss9ZsZFE7QKD$y}z_zL^Q}gZ!ub|hO0sj zeQqtRtS;~OL2Lasq^5N=Jkkt+ju|PCDNE)2-3bu{haizNJ)SfT`Md0>Q#ux(wbHnq zpDM~rz1t-9=uX_#2A~_;z+mEGZ@OqO$V}@@+ZV#L4)tekB`)Y7ZN8n-7)9}vSb9)7 zyT&h|Vt!?LK~pJkb)fi#b{<3N9VoucKXtOq$`#)Lkvw~tPGO3RX^M?$ijTR4f!P)V zT9Y2cJ05!4J7m|>>-y1K?x$ypM7Ese;REz`QDqU zNr>MArXz15p1FaMtYzGSpA ziG*(#>NEUP~$f|?IBSNxaCX=>#8v%@60Hoy#N+-0ns%* z?gh~q^xnyJZkBZ4#69wbJE|cbBYijHa66+3oDq+d!}+CBzY|V7Z~pQb+~KDgJEQqw zffu0Y+^fEw%4ufeS96hI2n#p^kY53SY^ltT(irt)up90K>~`Ma^~%p-UyXJeh51V? zlg?OZIyGzAta_(FX1d&R{GyxZFYi<-Z3l`duXhoC7Ib$1V;EtzsGy?c-1fcysqx< zRIj+{{#OtOHi-7V8pT&UYm5kSq^BJ5kZuzFIr2K3k-sH`MLqqZ?0)F!Q|R>2Z9VRU z_IZuA=zlDr!GtcNs=x}qWv}l9rsZ&ro6iR3+stYNmJVlsbWvN1^nrhl;j$M73eDmP zH0-yO8sxl*{rmj!`RNN;s_Wp0D~*$n8^d~_y*X{5h8#f!n+7ob;d8Ya(6%1*qYJ-E z%qR^CHU&z;LSBsq260$Q4TMqBFQtgh!^xyj41-3gBJh3BhxEn(3C|e$^0g4)?=C=OEq?NC`HH_OeeY6EO zM@Gu%8ZzDxrFY138IQ8nE9I7kod-`YmtjzcorYXB`8K7j9^$#^c&>|^shSHKp{L~@ zW+-oXvRB{=bkqvAj7u+ zb=bel@zCqNGre<#I;Q<%Y$UqL|DVv&6-Pg>V*9``gxgPn;1O7`ey z&O8}=`;(NyH&=wDzwA$3A=hGbpz~=WL|rdKDA_N!9SftCBV-$oXfkmi8C!irLp)n= z(d?mJ;RmPXmKzWMq5P9_P1{3`bOipZhbh=TDu;aq0C9-98aDB2yZMCIPdQn7uVcBS zW)xGxNs0W&s~mbT0_EJKgM_sVG185u4A+#&oCZ2x7E1a>wnzw&N)m$4>p+ULhh9C@ zMQKCn*cttp;qa}Z`IsYYW+dGjIsMOKp(B4|L%hk0)(_Ung&@bH%fkeW%%J^_R%!?^ zscur&u^F%rw88<)cKCQ>Tkh?Tg!d1+VRIqXGQHG^@ilaZ#u6k zOP;@jm!FzE2_bviHnt~cIiV5L$x$|(XuO}H;cchta;h^cC7L2I5~Lo^dd3ofd6NP! zKTSDKGF6bgq3gh@G*uv`IkwHPT)_ZCwC3gp{!1yYNPjO5PbmAq4RNhG$ZQmFvxjcp zHQ)C}02dm_7VsQBi=I8h6Nw}|LBu}2cby42!h#2;9dEwtXL|bK@&^+yhPMStUEZO9 zlsdLjx*j8XA`81XZJfX>PAxgH!4Y%!gP<`9gRAD4g0t3>r$PhQ(kyL|=E5i}0SvOS zj7GeO<5W2niexYw)M@TqLrhDnEIqrsBZ3v!|<{o4s zK+PZJJa20KJ@E2!Lh=-!in+mRwn(8a(c=C#qI!(GhPh#75d?SZ?3Q$Da5E>o%oT^^ zAntplu2Fki;r)U7iHu<1X2MPfII`*$#v%9HLFz5NJoKQwX2kX+&#T?HD;I5*6-vZA zxpFPS)ET?>Hw5ROL&yvl%#F`!>w;mx_uVY0mNJ&w3rnCvBP3Jk6hw?`ZliA1t1kz} zLX>b^k=19_tbsr)jC6+|g87bXuF%T2e_-=wC(fxA_?!VtYD})NH3oL+P&_ff^T!SC z77V}drA_M>{ClC%7P>z;Il11fp2L-Tc!1-Hyi|clT$syYy8X)0bbe7y zO6u?{ffRfmZH;79SmkNiHAgrB_1f4Fu(HM4o|L_28ln9INpWh%1J_4*8fUR_sQC$x z`ta6>_hhh1(@+1%)fSHOH%i^MdO*G%vxMk_$hfBC6&dhKstKi73fw4t4imBt2Z zxI$R_s+IHxBAuUUGdN|qzNw=4B+H+?Xi5UWeNe?{ok0=>^V iUZO1QAb&;?j*GB}?-_pX%1N+c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Fia00000002-200000 z001BY00000005{600000004La>{ts-R7Vt@1w<^0iXW^+`&vKMO2b1;{iK4cjh2*D z6h&)OBf2Zy01JV&YU{71HmhlyXhKsPZ9z>iEn@xG)JU~atCGIeAc>|Qj9NccfyRU? z%TDJEGs|1twOTCD_$HY>ckaD&=gz(J+eay-gGh7nuy!zOqBaUTNYEh+JFyBK2E-(2 zAd2jZHu{2xzTg8*oN! zU`wJRX!r^mJ|W&13y3j*7y}5KK-fS&AO}JYgd7Mt@&q{$av;MIMnOROAAP zSOeh~5PqexYQjce%IeH~Yo0PCD`$RsmXd1Auro->%gN4h*mCSKO43v%-;r*&q~}@k zm0X)$$<0b%V9mDL9We@HD=%9uwgu@9t3{ZIoo>xw9hs4wJY{lvzC-acCS~O;$W)T- zxr-c1ip`#n=ms{ASl`bz?myGvlQ%I$ML~dMdnBWA@X0nKn;0_~ma>&Dg*&4jN;?+!1W4(8K;pQxjuqq zF79|gGMexVM}po%Oh8@*dVX8ch#6(;yPBa=BYYEi5VFaBX7 z(Rhk99XHY-c1}QnR3a<9RC^GLxp~xi9zu%rM}ZLeDY_;gF7U@RQGGbB`G-T1!fd%qdl)ctUI#V!Y3{t!1eXo3IZP^YCUX8o zr-=-3tFHGl*Qj}8&3x}&>ir5CKKZ7$#Fne3o!mk#^@ZNO?KysysC%BJH;#H})yLiW zG=B}5>Y7)wIksr_n=W-@X>5dghwC4SpW}kUlF{4ZirN47xi|QbbTgxC_cqaT;~x5u zo8mv$?H<~99XoZWo^SKL-R#A`qXOO?#-ez<7X_9RTk?rM4~q7@7q0ynsfPYGf%KeR zsuh-3#n0&SlG`%GOm_Dy)oMyo)x(<>lgmrPgC!pmfK2LInQrj`_JK|GhkUW;c{lq{ z&Zt#%jp=Og@?SFDca?HZL!LQdcm?_cBR5S{Ap`%m*~4#a$k2Y+QWsU)5O&b_6_A(p z-@gLj=RL20_Dt%@Ka=X<)QjErMM&CT8$4NB>Vof&C-qyR)RidZXoJ@KA!QGO2QQ6D zFp|n5WxUrPWVr;^ksGo@?NlSFffM#1k`9^Fp*te^KkM@;H?_e#Gt?l@SNwiMTgs;N zSVRugtmS-6w56`(#ifo=`%SCz>VdykTSi`03w(I{-|%QjTTQA&=%JtuRI8obC(wb) zuCw(%HlermnIPI8|Ew`~huWz!aV-;NBo(Rp=VI(s5hJ-nCCbnlJ5@%am1ykL)&(%& zPBlJW7#}b0`FLS03S&`ps73M7zDfN1cIBq?o}#ZWoUZ?=4}baER)=|_ht=^<%^wuh zYRi^&=ln)zF}?CyHrHL+X(#X7Yv+0@`-6wJJX9S?2VLmfW85g3+3yTzcZs{s?ZGa_ zE4=ao*M}|?;8X37fL6z0Cg!ArAy&Q5cKDyJY<> zioXE>0RR7Z0peod073?axYey;j6hlvh&^4L;+-6WJ)Pr&L;Qmr-Cb?ca`F>P?0`}X z44gpBj-o{ZD1xaaDL+5Qj**SQ04RIivF<;}a22RZAAf)E(17?5M<*ZG_z+j$03XK? zR~vU%zxW_m=MXzaRppeKFe9esTKogIO`g@`NIDcCWxp!iZ8>I&3=$;>OU zV`K(9ccW=R2n$dVJOusyed5D_{)u;S3~>Ypr7KXHfk6$3nHU^^WH9HCKzSf72E?Ec z1gZ89LQ>Dj$shofYiF+B1*91Gq3RF@GBPqu0167WuG0001ZoTZULOT;h`h41|pkKApWR`#^*VZp>gf^)QQI%WyvTr%{~#AW()%7!jU+-$#+7QQs8CQ zoaXqM-sJ$>EmoHo8<%jON04n0Vn=**hH$IR0Eyi-9%zp% z>(WAsz5vz(om7~dPf$l literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp.logdb b/YL_dec_counter/db/YL_dec_counter.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp.rdb b/YL_dec_counter/db/YL_dec_counter.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..1726e5d2de7e3be0a9afc33dcaa357b738c09e08 GIT binary patch literal 19516 zcmeEsV|OM|vvzDxY)$NBV%xTD+s+-^wr$(CJuxRX-aOBFf5iE4Rzi*M*e3A#4Y_lV|U<7 zpKT`+3CG6xK6?6zNvo_EK_Q1h)=wUoiunc1> z0hXHiG!0$tD!hHDZ(836zJ18v^_ZJX64Zu4M12;iZauPm%XYdd>o>wAl_eP#Xp65rmfBEI>)-g_S+`G&qDzQ67oXTPwTU(hNFuCrS^ zEcwDdTF5V2_dESwpZQh4sUBwOiCuc%dZ=t{l2azAi&a$4M0M8`mkCJ~|13Z2f)C2W zL*~HDFxmRq<#F!x@%<~Ofa(Z>tiZ{7w{c;;Y@R;}=vX~>QAW~n&&LKco6l4|r6hH5 z1^YD5qwS>sk#CJ`e5pSnKE#R^)GbNfp9J*I9qBiT0}QCp3Sr9=j-$ox|7l z>>h(7OuqM8nkWu0pxz<>oWp_ZP_ty<{$#GjEB8{!D<>kPhs?Nfox6B}fG|rDzlB79=E2s)#+JKc=&C6ilUBy=()#pWwQH5_%qh^@ z7MxthB6;B7_Bs&50HZbOe2~#2kSEZL?`R16_iIa;Q6C>n*}zX6*D&pGPVfp`cE5KX zomTh0MkOA+(7@fp#1m+cZ1ZW6R}^^NZ(eiw@PxT<%DQJrh0|PwLPA__<%;=7%_aTQ zY~)aek!N9ygBlCLSwQ}AdkjYN$MxPm&-9nyfRk}4PM5;;{*eiFSHr#Fmv1t$n?=Uf zD<4bm&GYXt`B-0-=XeJHGLAb4WU|4n|tCm))iJ2R*7?;xlM>l)N7rvQ>u1D_#NbE1B5S=hqLHyPq8i8(RNVIK zFD*qOKCA=-7ThfDmN!ca$_LHtL|{3QLWuow|Eh|XXMxAtyT||x zgp|-ASUz>$Q;*I3?+q<{;lY{ZJBCxb)$?Y{c4bXj1j2$%-A+ru{r&|TW=5LtG%^9V zwk!Jeog770#lijs1-=VtU~`L>&Z3dp*9wxo=o(wtJaxC~vn4;^$W7(GLTm*)JxOL=Rj=es$(R3yD%PG0M4?hdHpD<8ve}H z^0x2ac-a_HlMkMy1G3}fIURLGDk%thT0J1*C8 zdb$wiNJ85Ud9o%wE$2Gll!nu46Rr85hz?WUvJ_MZQpIiPdvhV^n~b)bKq@%;_NQD) zWqr?X;j)ZXR6v`wN%KuZI%OLH?T|1>e ztHCDmGVfl{40R*t&L1Sb7vD?lh~qe_7b3pSzef!+$3BA(oj+!As;o$#7!qg%ql*tU)tiV%UN_{ z;u;U~T7KR;TlVEx;ym@T`HtFZS0+-Z(A>@wbNVOFVjkwN=ep>#CN@pyC(mhG@s&eXSXM8dl++e$);kPP+EDFt{SiOp(~hZ3jXY#&AFO zd7DNhhdc!BY3dUEl~%Oxet=nkX*#2asqoQ>nv4&+oF_ssZi+4BIKDU?4_h;Ho49Ta z+5Vjtn0~0)DZ|ug4KDcG0+Fw1_;N5;8)1eBrW~{k|0$(@qdG3ZW$h+)k)yM2_40+z z*GvHDUX*L%!II52;XFL8{xT#!Z`B(bIA!hJe@=Q!hm_`qVN+i}QsMeF>n1e)Pa0w` zzZJ4-YG=1=*>lAB07@i13Zy3vuTfX$5(qjzC9ps|2YN0{10FmPLxe3&Rck# z%~cGP?ks4GZYYT!HH{bwuC%9w(IPV&i%7!V{U4~pEf5TelbQ(uE49F({?4>aC zwj8x5{$>8aApMOG6Y$A1!FYZh{aKdBwD>O*7}f?{tpk>3*HfAJ~~ z@L=#gPi`Oq72%-X&P|m(>w2U#J&?-YS-|Ure%Tm3th|%ZW&UuAU5e5am%d1>3iZHFl=0M_jc*8jXh*vuSk^KaMl7Lz zXl~W-_dehKPf!S8xi=ZcpfJf#kk!gd5+$YX;irU6cHRIfW+B$N1((z)D_TUSC`KNS zpqHNz{GHvxPZ=$)>K&knPIcAg$@E10zL0+PiR$h`M0ZlJ2DMXUfCO{E8zUl>} zm_~WQ0yb$O%=rI4@xMY)dJsud7t^;HkMF3?1eEfdI~tL^(KY7+m4&XSM`xjLQXvlu z4~6Fe?q?spd4|W27xXtP4=eh6xzBWGpm(5;Bcp0ZdR_)$h--^(hmiQ)wmNED47(kx z^qK?@-7S8{O4GGk+eAKhWoVuik#e>!m(M47I?k!QYTsb=j;r*6Y6=%k7w~^Enhz?a z*j}5aym|NQ^H^R30&({CF<-b)abNdT58XaAl~;Y}b0)B1#GJx+4);i%gEHZfE6w$MoMY z_Sw{Kb|@}Kq6_p9T>ZKJq?dhpR5MK(mEd3K!BQ(8)#TEmz`bMB=&v#182tNC94{N#O-Ri@H zznhm(NN^w&`Tc9R1x&ja2|4tLjZz(P=Ch?`6Tt%gz1!(6#vEtYmw-9HS${}P`CDvc zS1*m;z-Xb<&U2K2Y*=iDsdpk8kvuvk>S$p09*;PSPF&nuQs?2de031xblh|8b{q_U z(}hRkAa->fXjz0!{z{EP{dvZsCjR$fD^Em>w%d0ClUmT1ig+TC;&bJ{%7qnGi}Ef- zO_gLiAG}uZ@@>R0LhLoK+Oe$w6VMBTho@(VCWJmt0TF>YJZ^cNX(F@19Mi~v4oDKd zxqDxvED^gpVty1eF(g(AliGRz*I&p;+N0fV36LGam2M_{KrRqT|3A(9ld^_&mT?ax z?Gek1x%{6T?_Mqc&A=YNg^oU6_-UW;E39iFx%=Nnn;2|v-qpqaDcBW}D*wo3k)v;{ zW;=_5^YD9-oa%a>7kK6QtT|oTPjW{~1#-7QU~yyn_^!ibV4)!LRAZ5UbRLE5nRngK zTk^$bGy#MqPQR1x+|0Kz-%1B5pe&-xv2|j3A4FJhupFR_yycu3uQSLV ztT8QfF5DF+{~_S-bXuK+sfv5{xTt(2@0{%h;eWa^lNs?UhEkQ(av2H+sTZz$57l)GY+)B?I_rk5cse1d+@mNPF?GiTNq0UJqY$`w z{;{@C&Dp@ky5Zq0v6=HMR`>IR8H$J&Vyb)97ZZM*A^iR$v-kb7v6mS0pLgWl@)+&n zS=`(%iCD3mWu)=P3QBV^H#%O&&Yw@d&yx-7rHhhjzt9f*X!6$+)<|mn#Gr&lanT$N z2~jMcc2=W=E86+&v>ZO-0LJJX%z;~-7%>aWEB-%5A7ZIt_G2SyNGhomK(%S<2QInOE)!}Dq5a= z2R<@3JPiRdSjQAqf*Nj`a&n8IU-+`_!xrAJ*a9al!(LQLU7*^7mLy6KiT z@q6bgIQV?$@e)EgHU&f2N4=uAnuXf5wU<>kH7W$@+Ii1mQ4CCq&!>gOI-;oeUZbVU zo#P?3I~f`#Q`JMb?Lo3h6P6mutOVSe^p)@DvC!H7%AREm7y=6>mFE!XKZLA7~CFJYtJhfSNtJsT^w2t$wQO>1q^9 zDC!cqx_7m_x@W(~ws%9Wnk`{_TUQJi*FdED^j5z1lg_XQF=QpXABa!k)!i_%hG;jt z>PeCY1!p*d!lnjyvydm*L`HSvFKaJ_ZR1!8(gs9L$ewOmI%3Ye)lbEi6zx zOV(P?Mwi9(3VDgWaYCC-uWs53Hp^CHtXvYYg67K9Dw?}DzUC!N{N4|5_J-%Lzm%M` zScwP2Ap&Zx?0a36cWv;qal0*-q<^OYO$T9ws>Do27_%!-YO6#K1|eE47yc?JyZw7= z?DZUH2pRe^!lHxJ+w`}%Rxgc=tEA~L}me}Qoy5~{kT zjRos6wgw|{QwdDF)LWUM=7}+B1`VgHuHg~oXQIS5N5!Mfh&-0m{8-(v;bn*-dT)d} z1=tdgp-p7cJUHGkRgK0W<2yuzhWVGU^{dK9EEBKV*@vf5@fuwky6;U&=#fU7-LI!v2*xUhX^6v7N+~#aY+RDV z3BM0%+p3w~yTLmLJg5vKQ(M0ws3eUk?mQ{3?mmxUoYK@?>tDGdh)&`@ZU27j+yp7v$A{YY zJ4>6!z|BS^YD-d`W_`&3{RlDm{Zv_2RR}nXVL16*D+S!93_L^&9vy=nlffr$-MoyyYJKU!^?J zVJ+BWD}-Kq3t?J`kSZ{HPnx-NypT2t&KA+O(FlhICiisU^#?`64IICPMkLyV$=V=O zeG1WZ(jpk)>a^^2gVA!^fgSQH*$aL#kU@{QRjf=PBiKad568-{j?@`?%~v{br2%4B}jcw!7p(WX8*qG1uAWP!bn#eH9Ia3(!r>Cjpd_= zot!$5+)_u>iqwghijZ9zd(|{{(ADhBdc-5 z=!n7fS^dK}TuO2X=M1sf-098#?gV`@i(1i_Lvi%MNzv!{4k$ne)97~-WhG)|^?DacuOa&HQ<^EkRlD%CBP@z}38Soe1!-{zDKtdVg#s3BLY80(OUQS8JJ z`+XLODtf*`4##=Ofg+iio5UJ_FoP$*RafKF?btG@lKKWe(a2m>Tz<-L{cc4SU4{V* zMEKBHG*pb*k@qqd;Nf@VgVzljE@I1wgGS?0P3R_lh_}h)`9=n{P5|IVHv6U-rUOs_ zK@v+HktSQ=qD^lzK#gY&kc&j*lS(w{3dVEE6R;zhn|V>>sTu7el96;mt4c5LIq`-@ z;@w@azZh`Y@vP+dP9F4PwlKH3?(Y$7+w~cDh6Bes!a{y$bEO4g<=k0ZLg+Y){j0uy z<>`i+BM;?mA`gw}n&h3ynxDtbR=SR6uiV#io~0V3JetpQ3GRf+i;@T|lSEYra_$S2 zy=LKidMB|FM5*T1e%0~;jWJ(;(}{Kz(5>abEj7xM#b?L@_2#!`==rVJ75b9mF0}?;VBJog~iscMn^p8qD zZ;V2v{%oVAs#7EVhJOKoXL9lYfvphU(($N~}Zli?y z1XHeRM_Dm$3(%+LQig8L(uXBf9^Z!*8O#oeh)`$L@F*$GQck2yU4Rp`DSd660S#M4 zXGDr;3BkcAT*3G6mLY7GFDd%Brj~pjT24^TYQie%6bLy$&~KjFO5Zj7xA;efXuC%q zs;Ur1J_`M(ee>~TcV|Ov=sca(1@mS;GCN624G1B;R+&HJm@DobW$vl~4S@(yEZqsJ z0<%n?DPU?n60B`O8*Yg2q;o5Gq8YZz5%4S07K^BZY+)S-6*_@eYjQHAS>VO`TUuqi z9gtru=j->(2U@^%Ed;J$OHcB-AuEuT0=ICF29E1*QBq>mHa^(4u?U1hk2636&mu02 z9-)U*^O?!a3r{=>8YcG3R(ip}JbHijNb(-EZFVmbKF#DJqr~77LPWymM^Q^*tpul2 zo#n`lizqtc`x&ZM%or&DO7u0>!F<H(DB+BK|x=bznjbR-lBoQ4506nK|Cx>^Z(#9!o}eeYMX4wL26~ zB#o4kB;@`MDa1{|e`o3MBrG-9Q&4DisNSnr&F44IZ5%2Z=+bjl!C>||tzo*rjnAXl zpu@#mzJlnb@w@+PtBppYAi}%rp{|Pc#2Fs4x$5p_*Wq>hQ-^cap-%5$RPYo*2b$C1 z9GN`p^+f2NJrY|#)jyS6GjqX-s)#z1W#-8p?}N9Qft1qVNyFZ?&Qo3FsQjF0&}$i+ z`VS@_n&-%%bFq*Y91{EqQ8vS6UKvM=riCp5_o+&zHdM3%(Lry?30{gh(%7%s#$5q3 ziEj@gg)k?AL40_1F?Xp_wpX2szY>uviln7Y)DLj)JWGYZ?I$zlyI_cSokro%52Eal zIqVLau&39Mq^;r#r_1{ebJ1f#a^ubch*F2A%A=f~kWDLRWv4H{DfYA#zBA$hf~y(U z-oSH>?Ku>To=gWDn>|=&O8rE+vG;}iLfni1+M0k0fbOJ>^B9iXbqcYw3>w7gKKvGJ zhojHZQg-U{Y|`Qm{B2VEC(c9S(H@>mC__&nfgbaTxybKRl{W_3u$aY|<;7TEhb@RS z5mJ-_`!a&c25Q@XiSGN68Z0wYAFTeMi+lN(i~H?QIQpgi+&j&ibNB8Qrl8EMgqK8V zGu)av=XmHmmfGqSYFL1e(opQCG`>?W9$GeR9VQJrO#?AL{L}p-qQKf;Oq9s|Z^;Nq zuT2K&+~Qz%Y`g4~wN*Dv#)4F?Ccnhu>ku8K%gG36VFUlZV#m+l=w;3cVh3R}WH0VPG@DL#6|M=r{U4BWNA zR~*yz^z%P#!KLA=4*-b&ETor=@*QDtcb@<4dmwlhBM)|tUiZ-fGG2g)8PvBP~R zq}R}cPbcZ0BjZG-OGy<|isCZG?d9VTKlEWG>!x)HY=km3`xohA%X%;LYFA7+h}&{t zPm=hv$s)=9h@~WpZ~wo`d(HbmoqsHfMa~lspUwSH!pMo`eYbG-E%P6Xy?Z0__5RWi z?iOy2Ec$=aa_$NT^0;m&pCLcb@pfCCOEl$%nH$#m1k42dA&7)FML}Z9f z)sLRvcY>5_b{Nl^td-eqQyFY5ZV!D4KMq*=aTl*Ym+6YmT}y1by+$?V@>3!QFtjAj z*m`Nc6A5|fV}J^Yr9vHd(n1b{4SpoBI@%K|^2Jn0%w2d~DVSu`^`tsLZIQj+n>(=D zGjZkXAf9!bv0t>CS>{$ax0Ffpx=G(ntmzusfr2EZg;5Q8EzvwXR1kS4T=is>b-#{W zh`pWSl=)?P5|E#7hwy_%yB5_d@vM~?tKo)2u~aDVdeQR|tKjdkyVCq4?VYUKX#orY z;l)y=&Bu6H?v;`2rRekAn?Kik-xAr>^k&!=euA5dx?BQM~!hH$0NSUn74dzDM0c{ z=W;%1W>89rnk*;ED0S@Z4^84cDl<7V6-C(+qFdfG}O07Lu{JCKy0 z3C=7Nr_X|`8B^IUf$!)aIAn)S+&g=zObyA?LXg4>?oGZ}3zzPjq=d6T*8cqQG8*^h zhrI|l^ruS4a0u1I5@t4Ux-JB~fmNovo&|Q7ITbVoD2i#GzBe+VB$d-)mMs+eHA@lUfgEm!hCpSys#Nhg;lq5izr4IJ(7l-R zsC3QER&f^ZFvSg?p{?nL&YvisSM+Mm>hD(MC;k&G7%Aa5H`!T+c4rQehkM~X7xNtb z9M*}5gad7Q5q235n|Tl{Y1ACb*BrW+?pJaD1j# zukjX${L^Joh^J&wYa%Kc-X(J2VYFYq6EuI6SK^ z7=hf9{tW+4GOSfq>A8&nD8B}ys# zoe7-Hk9F!k0L0#gr4%9b0pz*8z}-f%I-Bi9v5<3sJAMV*4nkRhAu^Zv9b&9rD+ncp zc>*2cFtV362-WBZS#H4L0g0tVs(!)a&`ck^j?Q;&>0;StTy4H??PfIukaZ{&fM%W<4(~$0Z z(*PWhG#HeZZE^;uLRkU2!R-s@GS6 z-go2?+t>9wMjZRS)#QKjxvzW?3y(H$i+1B}9%%X;7tG?^(cmpxd3+sv8GRn0U7R3X zVkgJd!v_tZ5`#S9xbnvr+9aCI+j7PO{Hgd8J|dU>`zm%e9YSgYylpiZp|ovRx!JEa zp5fT-%H~SRgrVthw3OWr&Tv9(g`43dvIqi2={+uw?>LF{X#+Yd7g+yN1D8QtXl*hw z2R3@!M=&^b!Zybz^pe`^@d(fDPq)U9`eYd+XV2s+BTPlw&k$=O9QRCZ|8dUNMI^8R zuLUqq^Sh7E|G9Ng6F{mstdaJ*Q%Q0pHNeKUMM!)P9-D^9rFf~avW?II3en%OX5Hkr zFWTnc2j!BY^zc#^HiS2kU**xEYwoNYXC zu$!7Wv3rq*5=w*RL$qh1c^`^omBYA$v`2tCN#17IzyeckDxdQjGS-Mh!x-<8tfbvMm~S(Qrk+W0Kml={R9&no zna4W48`B1;1^HQUDQ7!>ej$ci9BVE(yT@H^!udpJHJDIx-<$rbHU8Jb*;mUrB|rwO z_h>?@qeib^86>81xs_z^4NV-ZDtDv}h+F~aGp^t2IGXwJEL}GUh%qua8@-3>o|RO( z7Fe|N9@S7sgq#nxLo{_cNluf8_YXMFJ971UP#muiKMpTvrmxpPWxR4V`RT*2-sd6X zkawChOcLzWu#hPmY0>_>aIdv21}ou2jEspsQ>irPDuXHJ5a&QxD}qG0pm2iKzl&&i zPN3aIp_LCpiDcm2Q3R`s^lx-%w&0q}bHKH6QiZ8v4=P~3yH(>bI{aFfBOWrPS7Su{ znpY1KTi_EmSrH5hP+x-$&_;mzMt*5luyv`l2?nYec`bT|=4tG&-&E*)E>SVnyxKaP zs*eYSg&pOL@t~lFIW>%IGQB8rt(W05VT7=>b84D@Lgwqk3G>cgY$U*+;cOPslk-t+ z2qI>}@{mRhyM)inMlzbonfwe{ObO^0sdGHap3VOP6}8DnZJtEHMy8nS{oYdtCp$t? zb@wLWoi>qmUV!f~O;)O#w-=N<`{mgIqcb|cPj_{6)6bRo;YxgEr7YnhZWeHcM4e6f zD-^+w@R9-dMf9iLD3CEcd?{fUv;92@f$~{K!JfK(Lt1!NfN{9tWbZUXb|z;NMlLs` zFR#m0!vQb6*jf`nd=YW}FRd!gQHn$$iY|xj=I<(3J~jN)Y%xnP5-$isPFE{V~0nymd6 zcEJ^9jB9`73u#Yv8^dQD&2UxOhlaQzr`U~tSM^Pa5*Q88KVh^jf2;?RCTH@&!bM#V z89(PjW;R>L3t48l*X~|A2G1=W3(Xu2j+IbXyd)`Tv{e&f8!6E-R!Yrlq*%4MTW+YH z3~INTp9yzTz>x@E9 zTJJO4kdDnRp#=`NcpoqC+Tx6_FO}1UTBkq$Il{ieZt}~C96L=d@##p^B=^yWg<4<_*83#OzHOgm@?Gtk;WiD*5vL0M?(=91tm zX4Oo`%3aNr=g?aTMxk-jnc%~arw}fthppiry3-x!p%WY-S1ut3!+B^7b=)dA;bJl{ zFhbzYA_h%pGwLfqSGp^y=W*M>G6YY{Gn85|1vgP;z}adh5(Nv-8`q%#V`&MBqRIf~ zh>Fqjl_E=mHbG01Bn3lJWkP?ZP@HIlMID^=c~wzZJ;p7Q+K~o=^2J6b?%I)vtL_xj zM?r%bU@-_s-OYn_bT!ee&IX_jzLtav?Kmz@-dj=pgPhDwrxPe5Jl8-MFDies`JL;pxK+~gNm5g zQ*RsmCpX+{w1y?~AYrV{g z_jmB}b-qYQNUp#WiA9K#TI9_-n2W+Y6HOc5$L)^~bF&1xEGg5Ehyu=-EptIZO&2ji zV@?7CevH)q)0JpyuS*rW!{(U3sZkR>g|O5p3Wj~wu-ZuokcI}HNW?N;kxi%{9ypHV zX}m01!x2Z+Gc_)YOHhbmH_9HoCrTbT2X(6zgjlOh^3L~Y3^8(gx7eKQt}2zBTo&D>3wrFNWIDK% z-9ov_vov3$ld;4Jge>GO{*fL^ssJH%UzGNVMDX>H^F-x?MzdVDiu8P)ZykzRO2~%_ z8Vl{nz8FZp90%N4yAkN(CQUjT22xr#^6699k7o&ZK= zi-qunmLU9*;qqqU8viZ;9*f3l3kZq^#z0C4LMnz7i^kZHi9KiXlEIka#Zw+X{H&k$ zgpUUfYb%S)NN8+Tdn~Q5&?zAm0?cBx)@imstKDCpeE1X$8Kto+g-uEt6V_s6l7zjz zk1+s&h;Q=F9#x2W5*4eSck5x4J&K&zU~tkWRWLm63&}&bTAoL#FrtW` zB1*;Mi3?+pPD%6}i|AqaJVJdFT^b;dQF+%V=U~jwVyoq}X@Q9$2;lCf-AsXPirdMW zRL4Ye$HwFw0+T@yoyobk@yZ*H_J=*Cyu7Jy- z%7_h2p%XWnbGlRDQuBK;A%|20wMscT%3$Uo4K&cqzTekP4P>%4SGWFZdCahvK$i?| zsy<3@{o(bmA}^K_60-ck9|Nt;c*?b^Dn>ZMQCm$^84zj;7HYUIkm?R>DPnoTB++o5Cukq(;QWkJQfeL=0wv@QhO(9C{qw}~Gy7=Bz9Uznl0ro&JZLU0 zdy$2-ai?#*xg``=RMhi-E&b z^tF~XS%%431hamKMT2Azozpn1gVWq5AT&RsGR+wZB;z3@y>4hTow5D0;Jjw{3?*z@ z-?(E4FHbFlT--#lT%gP_PupWO&2SpFer1ZOiz-ISpLQY!m$5v0wwSs%~_CH56lA;Gf9_vh%z83BQ%p;oIQaYVB`?b${3v|tpFX7l81 z_5WBvR29++UUexgv5EOxeeG3aC?Gny?Vvpr;gSDcrE9y7eouau1`*Sv@V^V?=2c@p~9)EQu^;QU_A zEErmfh@t}xrXyge!|C=JQOGug<`h#-A4}LPwoGmEIg5g;+3XYzzuOwx@)?}PlW)ZB zP3u)Rm8@hsiR|+~AdQ6i1Bo~oO~qs6STJ`@sShj$G-&mV6&}><`oOMDUQJ_vc2dR0 zE~;a3f#ZmDLP66MwGasEq%i65anvEWiQ5lM77Gd3PltDP^#g>r0l=d>Df}4+V~9_g z;w%zQz*L(LA=7L!aI)E!TW~S$EKSC9g=k`*jRi{E1%JLcN*e4RXhENCUg#9q`2;vV zqw+9ki;Znw@B@v2`c+aWMEQ79aS|=|!3a`;vPc!L4mtId#;LH@8yvo0FhCu+X|Jcr-%rcuqq?*t>V&3gGGJr{Bz#qb!(r%LYGs1GCWZjjfd@4#uRFvd|?( zUb%LaGQ=1_Iy&R^GljQZErRXe8yj?}NT1tSuHnF#s5Dr5&u6I$8K9a=dX3uz;kaY> zj3=#wa!%`%uQ_ep62rOUmXFstY5$K}+g-Codab5I9BopYYpi5w{u=%RLFA{~0e`p_ zLVu2d!I)@%<{s9uqDxZijI$%qoLu{JB8dL@Nird^D!gRpIkGF?zcAB$B?zwAzjd_X znh#Q*MtBcXL~MW@dE{M>ej5ty*7Qe!5DSLIhqpv~i+@I?nDemlXx%7>BAJ^8bg z1)lp1DW%gn9C>Z0xYPMaD8Qb#7RDkjPro*u9Grx#X^_qhKP_?p!#i-_`j6A~)5X1n zs&md*;q}QAYAB91#ze1!sojr`j&@(Nf^!10i*qh&VbR7sXr8q<>A*D7QjIR#n>)0f z=#swsxPpF9x^XY4n@I8wQ`B&1R~=m_3tHgqsWa8`gw;dEXD%R#EIPF8T>A|_N% zPe6y(e4aX3oQDUr*RYH)Km93+Ca1@%=8_&$hxKB>2cB-VgO4_mV2kvHZ;X)Ie5kz< ze}ZhF_5tCg?&}+_Er#5mxr76KKeJ~_@UsbQ&{Q&mng1{iyl`q_2!^D7-{sacT}uH; z)6Wp0w|tdhPqfbOS}~O`5vN)k2M6*_V5fG`_2A%Q8e+WtU!h+(&4G5Ta{oh0;Y!@P z=<*@V2!@8~pYh*<-|a{aux-<(uxnP%E#22@oel2z>X859A&B=AVg2%8@V;1KyyUzV zOe65X>Uop^*!95A3akYrUaTxvRp+1?IHH<+F8`v_dnI*_7giTqtIuHgs#==%A<4^W z3JF<}F^o@zFH0vjfT^uRBb?L6OT&Oqv+x=~NwGsXG+y($vYq=%^F5Q~`0NpsXuT;$ z(RZ+S1wc$~PCuY69b* zTqNoqz2}bwE+k^07~tOg3<(|n^wev%eh0u^p#6!7Rq7tjzFM*0ZhfKa`}zA)5i5S&5TqV~AsWU79lRH6o>;73&(t^{fP-6@_Xdw~ZYprRYMi@rnppb~g6x{S=RCb(Rs z5KOgVpmzR6Og7fP722mcXbKe^l!DBlH8@>3F&}9v`Sst@$EMPPwgoWMqtU*Yd(pJ6l40e|! zq}ipN8gFD*$kVJ4aC2W*>YVhx8Ky*KVepMpH!!Cfs_15?%A>_jq%q?nZhuF?Nt8YX zDc5g56P*izDGC%Rwc7llh6sNb8AvCkjV2k;2ePtEJa>$#Cz1M5mWb#n>Vo1rz3Sub z+3lEQ0uv1D^uvoFjg{0{z_Coz z62xjTtwo|%rl0Uf-cVws!!93DBBXiXsPqGJ)!(qWgSCpyIoo(-LcLww14eH0xe0YP zP>FlxiHk^+cfV+UKwb95{+c0H!Yl~owEwi{UfWDR|^@ z3av}Y4pF9BL*g*B=U)S066U{m=?b%*QJI@K3_Zn>S)f*GEN#nV;d-{I+qan%V^*z= z_G2C$NAV=2@W84Gh!OGM;LaNnNi$x15|P@^3It|#4{I1?khF2ggrt#!EA=lDji*Wr zO{L<_hR_qO#8!n|kJ%F$k6ESLU}F@N8^>bmYofBD{=hxeLoeHiKbW7=YB~tg((V=3PYjyt z16fWZN?brRHN7DHZvtgld*Z>XLuvESHs(*l#mr(5Xx9)$<4Jh9pSVy`cLwtp!u$$j zDi~@qmJ-c;qAPBMHIxxmB!MvMLTn(W-3O%sJ;W1N{hY8Xof_O|7NbkwWxpgJ7W#H9 zZL7h336o*B(^My{SE3S}MTtRyW&6RVHzNkxQ_vTO&5cu?E`aQ;f?}p<(Y#K7z(4iS zX=yk5$Db(OLTfI_E6rgvP^QFAmW1(V+5E06JVMB;KXb ztg?9?$hlf^uBs;lcKa!jG_@axM?EA zaSUS{ES4e$AJV97;_$kZRsq4OOp{Pm06JQB;3 zrV4cD1^Opb2B`WULYmwKxeUcsPhzVM5jI^E@$EWd%zAbvhNaP!Iagf5s>?OLAz_)N zRW=`O#N(cBa*SvdAvyQj4s7H<^w&d+eii zA4*oX;MakE4fcI9t^;g9k%UgyZ;P-v6eUh%SP{X9Ntyg%7-A64kKb&Obz3I;hg}?k ziGUt08mKIhz#ZqSTPBC7!}vLqD8(IN z|8L!Tj*uIY`VY#v3D4iOw*PeqTHF4bAHSfrWi{=K`?;X8eTDLLC`mpRKlTkiVm|%x zbZsE2mZ7e(kcg^jR(SB%W71aGBg#RSO=ux4=}B#*v4`ry$l9v>Xz}qL5X4_37@Q5F z19AQLbMXFoM6Bd#C0kSBYUuu?SW}gZSh%zCa5>1H@`vOS?ME6cs}Fz9UIYwUmW--IlqN`bN74>SC8sT zYT~}zMBsVs7w=k$_|7SX?(`AJf3<{mxm_Fgl)D6bgNO_HS~eplEdTc z6fLi-gNsXbztIX?-LBVt-{f>?QsJeKW-X5OYqNP&Un;9=w>20jCLjswdz5Q^CDr}| zWl3s!q2ICueRZV9u~pjgahIl5S=ziUD!*o_bXC4JneR}YrHAWF$Lbj&I*C$@=LnvZ zM%Op!Z?uw3+9q&?A!YM6NJ&>RD=FyEwtsf!h4j2Kv57M5hQ1of;n*DW`+n2&ILrGw z%bj=WCs6^-W9k^Hu^4C4^jpc=;=Ej~N>@u$Jyk8`pes2QzDX&X#?kEK=pD_ON$>BD zuX%So&DUW!L329$c$(8Wr_nr;e>}};mIBICaF#P_Jk9Bfr_nr;pR2}@!>Om~N-+S` z8*LjIVJP{M%R-uy?(>&!S^*kAY~HMKXoIGI+3$L4Toq$<>&P^%A2({%I@L#4atcgC z;PedFpGv5naVf*4r?FSuCSE!AEdT{QyRn_Zu2PP3SVB72X?N;PS_2rQO~g-Mne*1$<+Fbqosph_e#~PoEH+>QkuF21w;jw?QK^GBe65= zc9p=bb;-D)7j^%X@FX*bV^uH$nI@{m=zylu|#7`}vrLCHk4ZcLzg>zLv_# z&aR25px`Y!I|>YUb~C#v?yM!`4~fv!Xr+2&I+W}?Vs6BLg<`~g>gcM^oPn9qXmwN+ zsA>>;iiu1o^C||)k#q0%=zG`kgrao1u*f;8^2>n{NxbyzKzt$_9=5Ed^tf~oZeHXp zU+s1~HOjZx-A;}2tuMDzqkMh!+ti3bNxT3?4)DJfu2}D(TREojLN*jj_gitdWhHVe>UoEShRxcPv z1}jK>%&Q}wg}c3u_lda$`PB5H$nTw-gv1a+94V77g3yR0@RV9oDVcZjDbHl&7D0B2 zz-Z1csPzNAMg3DD#coty6cWMfx4-IoJ6e8H$IFunCOB6hDT2SS*rev2fJ6jmLq{;t z^+#R|_l?R6&Y8V@`A46M4eHs;mwxmq_Y|OwmNy{B!bc!0f}_g39+1^b?lQyMkQF3W zmPkXG1l0Os&^l*j54-MZH#lu|&F$Ze<8Zeb;q+qQbc70(n*U9cfI$D~}ZqOjbiHEx}`4P~vH| z{+!2B3fq~%$+7oDC0`SI|CT+>9Bk7nP%_uw#KS-V9+1C_LpGOr7o3ZkkSpQt{B>N` z-GvrRUoM}!1E-<690iU(d(W&sOw6_6LN1@H#ieECyKdUs)Q4d{=qG1lLTh7zcoYQP z)GXSgrEQm%-b;jx|-G0JGv>#-6FFn zri>ElN;Coa|0EZ` zUgupuYG0@`AK)hptQd&*DED?i#7F8)=o5fZ&{tjWwbxb000rL3h(S*@bBcogKnux^ z7kPTPRB#4jhkZpGA?hON(!$HX^weuVFhdB~RCM9d50+P^&pt?g(?E4m)7_fe}Rmykof%u1A%F0kex3WqwoPPy}(eqz3hF8r{w)Nh5+ zm8xx52mxZ_c5r!#J`CES-#0vYNS@V9zBF|vsC;^p2F{6rmkjof=`$+-s;Io9cKoj8 zyA!%meY{L6E*vZm2N!;@e9-f@L;tn6Jcx#!tL0(PU9Qz@)pDt{ob-$=yC#<}F>5KF z%JT4aV5kA2wAU5UZ1vkF2_pFzUm`M5ka!eCG>q{On;SwqKA@ES;pM3pV)WyDYB<0g zXkPW&7hynahyf|c_|5z%&(_5cu3g^k!inNb6N^^{Q`?_Rf1-j+0=_qq0BsZ~Gm$AH zU4nL4qvN4#Xj!4l$U?tQDF-z7LUl;f1Q^Fgk@J^e9EC-NRoxSqCp5!IhO~oTkER`q zx!mss*4G}atdIju{jn;k?Uk_$nRm#7fYeqU`k(f~%C4AO2E zbs7v^YV*OPb#k~R$wQA1%bESMV3ZzgWr1;+SqNGM2(E2B*ea(WOfsf%7+e3YQuiyb zN#CA(>H}3OKU}dsP;iH1se0wffU=q?Oc{Ad!GcgzbUCX7iDjK8{zKxbi0dE{*)(>y zkht!mCWJ&@PqS|G%YvNtOZpf3h$#&Ob4U%9SueMpKu+n8D3bdrs3%-AZ%hh?)v2ZJ5t(NnVc7E zpRazQ3;!Pg0RR7Z0aTJZPXa*@$LHj!Ai_lyHBl?0iG@T$q%kbqg2>$g$KeAZXJTPx zrHK|+CfeC(W91jn#+VpmV@2UN(8NL;3qOF3jk9~Z7>dbecYgEVd8wd46abpZ%nlw9 zLbJ4-W6^5Gve^stp?P6R0a$L;=Dc_tLt{|AVHm{PbZnB-sZ+_V(!3keL?8rF3_0K1 z{`KHZ6(L2^DAKxMl`v%SJ$?7|j0Yspn5Q{WQfZa@=ownEj z;^JGAuJ_h6$gwql#+XDTCNNjZosZ&y1S&-cxnzTth)#<{m+kX&r1SGdkmT#ZYor^t zB!X~+uGDy~%tYiE^paV2tZJ9)gIT0bZsu!DNA`*(U8v|9wWL~rbBlUmi>;qrm0m`L UAc|bPb;5{r*HqN>2LJ&7|LWj!-~a#s literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp0.ddb b/YL_dec_counter/db/YL_dec_counter.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..0402766025903a6c5e2a4aea470c9fbf73e549b6 GIT binary patch literal 51925 zcmeFYbCYFF(=XiJ)3%Li+xE1lZQC|>+qR8q+qP}nn6}N+*L^+DiT4A%|D1?BcI>Lk ztd+U5a%I)3tlwTpKtMp1Fu>oX05FG8v0ue5K!Ile<~nL z@PF&Yv43k<;B#$=Of-?XYIQkzr3v>TWd`3{6Gqd~@DMCsUScZuOi27c-tK_Q@bJ|B z(m&Y-Jwk(bGJ|$Uz9+D$XiCBeKm2#4ey@F&6%~89mmT#QZiehyc(-3o-Yco9e!b}~ z>i01ZrIsM^^O*y;u$~KkQSajyvMzsdmDq|aAjt2UCKj~IG7VfLc!IJL4HlW^sMJrQ zT^b&$>3A%S(hN^cNgt#HzNo#qoqTD%i`F)P13AtaO5|6ff0YK`^W#j@UVeoF!N3gm z8@1mgVomEl;Q%#^I_cCYbJrtX?CUo4&?o_liQaVdl1Z6b^=$prP0&&Vr7uqRl;nA{ zJBG27*lF{YNN?m=23Xwm2S|*MzaykYV=3k~`kt@jy{Vlhmn%`;41`dE%&?OlADU#r)*=f|O~ zW5?F$^+%3SDwfcgg*51UVyktISM~ACN1DwbOUa11h^H_?F9=E|LZjzGl`-@N6n!*X zg>cLVB0-3oR@#d`vXZcB8(H$euy@NA-#+0a?tSjB{@UE0!Z$s1^%VU!-0`Hze2N{u zS8o>nT5xa2xa%{weLud4zTdvtMO))nvZ_YmHF>(?n)@mb_|s1Zvvew)lB2WiS2s>8 zMnEpZqoXlqARzWox&VZU(7`aqCt9jmeNwmrSbmIT8&^}wr`>sbDtSxR)abu4^7z*%B5Or!;K)}y@2 zFkd^*gv#9KvYv6jf2yS;3dcU>7F?(4ZldOFy9+BMg&^xia=JmSL;U2&V+hgqQuOQ% ztVgK@Q!=hsH{3hfWd5hQ)xs>%e9u|zw0ZmrU!6n1I?-E}$vpf>La?&BRre zX0zV9%hkhKZ*sOQ!IP$xzzT<8OuLKHqv)Lg;L&jVMTX&`~$IX~NQ$x3+C` zzJ5Onqr;y?e+!xnDZT{3m=h26jf<-NjwV@oLk&dF40GnFl;Qni1gQZt*EVFVB%4sk zy+kuj+j`0U=+>ftG{Kj!1G^rGB43lpK-d|x|tc;ffH z0Bc3S#O!*6_wrM&>mHKn@Bst)4n?5nSwaUz>DEUd)(!UE5b28U>*c%q+-fWr3%qwf z(p1AP!Vt=1J@#8ZjDpKw{}BT0T{&)~P(@Ww3O-zO;UL}MVez-Pj_r=)sq!ysZ@1(q zd9UIh6GA_bEt%xkG(F}p?APaQrKdJtCp!jk9>ss`4*^l3PLW}>Q@_yN#Mf@Txk*e$g8dcEN)FPJPM`DQ(><@T(D`_pABGu5lTs~+? zv_4DKWZAXba(bJXs?UfjAu5d3o6TX`O*FNdYq!X{2_`ffdaNp}XZo7U+B)-|iz1B; zs72Z}m)i^0O$v@0qeT#tva7|xglnHjlUz91xEhchJyb8<4iAsLiRZncN~8*9pP+q% z@6RJh$*rb|LLh?F)*|pG$%anzUFXaQTQ{;TyXob9D7>W&t4p9W9OAz`aZa)n{M6S` zE&;>C9|q$G9}Govzx5i0i{GxL_zq5QZYCd^N#rz#IFX(%MAl=l3AXJ@7GHT)Mxl(h z#gp(cnM$3;Xf;V|kGiIh78CRU`@=`M?fgFd+Bb>vY)t313K8IAUq7FbERHYx*ME8L zG!djcUa?m8r*C5l0Z+RyR6Q454|k<2Sn$|o6kK;tWvhOHp?k}zxJs|(e>`?l7ODbj z48R(PNXo5G6X&wV)oQ^Oo>5(^8#ePb$%BwdSAq*@U_Vqpo?cw_a{d~$lxV-*;Aybv z3Hz?st?idN84L`i$WVRU)TWWa_QG|dZQ#>uFwL_GMXPZaI-X6gg`*)FAv~7pQ?ro zKID8+6|qL|KZ(Qi&<&Gd?X<9MC&RBFZyEjB{&X1g#mTN5zQpFgv}WYuYdGT;hy8p- z@j!3t0g8yj<~Px`SM>br%to{s#Ucgy+gLb9{*rS&imJ0+b~x}!a+>V{>SQagZe8wD zjE{#*$99H_aZ-rCCn(-%>z4q#I!y=w;u0yQ!)lrYFy3%naD>E4)ZkSM*f3@GNM{hI z5S>RsftUS_Y$i^M1w!shirG?UKJ`hMoE3>t9B+QXAavhz^%z=g+YZ<=>@Ju`IWhdm zdJN^a?Ux~UHwQIVX}5@Z_6@i=!LWlP#6mH6TNI+D1V{^xvsdy-*O4BvlVCtkY%F6- zp4mLuG2yI2LNtt)bRZaKN>Np1bsBkEd<7uSx9!(3V{vbXo5n`9v~I8ntj@3=uYm#} zUQ=C0lWwAYvNBnYahLzh|GvVxw|`(Z+$(=HfD8X{_+ceTkhP4j+;(Zd{)gFKjWK1c zK%@r};TES7pEQ+Uq^MJH4DYC+g$0dKwn*qKUk9f5nEok@ea)$x=jclQQus~faaZfwe|8S;YFqOsTl2zO)J(2k>WacRep#M!WyWU{t6 zlhec-XXWS$I-bO^;ZDhX*G?c(>{1Y8mw#9_a9b_@0{UuKGHbEoBs?iI=UKEAVugFfHbf<>(rGy}45ev< zR;{Qw7Vt`S@)5{)$>Ut^x^44#pAf1cNOq2e1LRfF3xS}a!+nsC+E`3!$W24q_P6V= z>hRffiY^)kDhgW8ZaaAW1 z)3K;8@BE+^P;{qW_d7(ano3T#Fv|uRc0+dy?4dF6l_*#a#}o_+pN{J_quUm4F8RL3 z%HR6PcoxGnIQ)(eqvET4Ts%VVUjg8*6OOZlwMS4)e-?e7LUrHD57UP?a9|{PYsEVD z#UELQ+}j_>=3R1QVhA>gy9{D^KRP1d_e;-*kNX*uk|7~ld@maGd^~r~3TMf;vM0FK zIf_h*33OjypSw(pNk2E&SjAml5BYU)pES4OUp*6yietE(jLdt)Ge~P1oPgitn6S^{ zz^pWm-ZtcKM44W>UpaPG)>`hR)=8emej9O@lV4;p0uDl@$`f;1V>1#zD2~{0hBo(A zn`-9!8W{n|EcU+^fwcSUO6y$-9Lcl5xa@f0?_!yTCtsaA4z1R4N8J2;>rQZrB5Z!`A#>u@&- zOXkz>PO!?eVoVDk8$Rfn1t<_mno(sh$Jn) zEQ;wT&qmdiH+1yDXsr2o>Ma1MD(q5;!nD%2gl`HL>35##-=~wOe7>%Cla#})x6A}& zTKd8r8=4hg-f#v?-=j&6*$CyV41&BDS;jp3HJ_Wu3{4hbR0A*cH*mWTST1BHHD}(g zTHhD_*n1)Mc*SYpfl9w5aUD0;e!(+!b9wy}jgaJ$%EzjHzJf^G4Ac7g{z!m}DO=WP zDGT-IQ>u>ndG^PCzG`E!=8^2IeCe>?jRx~YdR za2nPRAAYsEn4P_(HqU_I;ho;_qax%h&JtN-g+O1X$wzKHo(?73`z7$@fqV8;592)s zpFHjQ9uH%Yh2*XiqC}uQUMi2~W94%*k#_W^kpv~X2uraJPsaIFl0df+Pv_L@DVsE1 z0p6~-y0{5fnjoaoNxNfx!qG6@@nUf*>uH-EHd{Hp#p})JQxFA(pR^Xans0`e&vt0) z@E9@sG1X)9yZqGld{ryhIoDE7h*)IN&HJKB*mf+wZwLu=K}9!~`~_JUFeh;GM_&GI zrUxbwUIL;pAR5j26w%#JR3s{#kihBmk79@~!aw{u0dDaNk{|SPToibT@LUNCX-3Cj z*l4U&!Jadv0Wx?XeE;wzATCH66JR@jo&0f<<2sg7FpbZ}FjHD6!MqhHhUapIeEx?| zf!C0*Aj5PG^6$?xi)V=k>wH_0Z!iLp#dW+uxbq*goMvPcxJUojuqhH}GOYg^_8-su z*JJ;UvH#A>{~wkM{*1JP^c5BAkPEPxmoMzo>~4?Wn<+!7tKietkTZytz63Kj<4^m{ zmu@Uo_MCD9zo@y~z4st)-ud~^>HXeDPo9o(PweU#a1e;N(ru-9J!J_nXdXm)Y+l}& zf+|Z>qY`3#I`MPvt~+n$=)Rg0^^v`3oDa@!oPS(JY3`JY&UMqMx9}XMzu$v9`=l-8 zMNg>3JJ1DvnGmmed}Rl>O{!vPu)|EIO163jea%AT2AL(WJ_)V8B#yoAT?6(aKCgBs zV9SEkv&K%>PqUu)WDthUQYS@L^F_JBW4yVu-G+s3!qq_2KvIEsaJX2DG`%)2i$8O1 z@bO7Z5IuvfZq}DwspMbq)fs~LR6hO=JkQ$$jDET4t6jBW?2oE`GS@S3JMYk;>}RmG z6O$n#v(zd2aF_Y5V5C3W`z0A z>O*X!>CRl;PV`ArV6Zj%DSK?AP4n*Erv8ycHAsTh^YDAQo@(EVxNPIm0Y}i72&&R&E)?s=GEy@T`FF&>)M&g; zb`$fe=}w&yIH{+dHK`HKs|9Xz=qS%1&y?~^T5J=QT?#uJi$Bh3S6}GfeEDqug*Ifc zYesl--05>PqB@3t%UVqeKMAOj4y_*d(82fx&*2m@s;t>;AIXm;COZ43w7b>as`$xd zb0#d2RHlKGawC!N(d(O)<50w#pFI<95qrk?-6@>psHX4@) zVgZi_wI%;Q%zugRPKtjl3=kRt{zo=0;ID66!2g&X@Gl`4AOsndmuqHb2g&*8Tb9e= z8dnZ*Ew>Z>ALhTrcW3TDV}gSxg!o6H7<~4RQ+Ryn4f+2t|0TXVQU4iJFX4gjx9ssq z?|=N_$NeAXzr=r?^j|0aHP7VxvxaRco{NH15U7z^uHGii{DS`{|n3%W0<{^L&TXok1w`=;n zXpVf<{~m0*o~#yqt~aH=qod6SJJ51_D};UWtHEPyC{(FD+aArnn8tL_X4k*F*-J`^ zHwo|FHmvVP+S?0NJMLp|6#J||eoaa={B2V1W_oZesSs9G5K~*N032S3A*G4`5mp(zQC z*YSOdH-N&7qsMoM-7+;Ymswp+Y0cv1{ef&GN-)NdR(I*W8|fP5w!e3YAq?jzzFV1U zagEb+xHiK&wg#&EeS*jK-s{@uZ943zl`loCZ96kes2USvTh&c!nHWXcPj-K^{#2Bx zv#FoqdK3@jSPE+;5_*M@cdVs;a`q1+QzJI7w5f;ixEiN*L{W5Zn)@zEg z(b=pbx^;r;8A)3ECinSTzkk2Ks5rc-XrXyD`7wWJm>m~e?q0%n*HE!I@lwkq!?j81 z$E2Ar-buf;H7>R;3L?sJe=Z~5?CG1t8u+3*};7Gw}BF|63Tw0`_s`S79n#9X{&OzrJQ|bXp$^==Yk6x3N8{J%gP-AYcLwUn?~8 ze6EtjcV*@L{zb*`Te9n6b`B%NhV33sZkXsw0scr{ksMx5JlEm#`+u`kql@eC^J9i$ zwl05v{m`0mYz5}i@e($_*T6_#;}A72Hu)cLWp4?7>wMvkeFIGviNZsKy=x!`LW&)SA$zbilHf8n#6vENOBKw*7Tsniawe2%-+oDUR7 zO#cg_-K?cjLkXA5Gkzb-Z4GOQ`-+g4$@&{O{STgoVhFQlO+W>1n9BIkwuLKpES%*5 zOd~>GEJtNsO3{u@O^JhsWUl5}-Cb`SI= zC$7ZMIGH9~6#xo&CD_D5hGbi+iwIBFYBE@^s}W05){06d2NxhYbrIGx8x_q3Gn^$! zm%-$!O`9vHZPWozp-|%0DNfeMQBZIZR-#`NQw{% z2V9|%8Bt;;)g>aE=2v|2nG)fclIR=K1pfj~jbi&(dFV}FT$v6R*h^<%rtc4lz-K`M zS5bJ9DT~#LYeTJ^4h6t$e`4^b1vh=iucq6q&iDP=aC|4lM4i35Tz@iVNAH@SY`eu_8td&d6(9rsdXQ+2yh z9%{6&`Q0~4$xpcH%FJE;QTcouS+!4iNaveA+Dow@66}o<8JYK@r}PKk^PG4gKObAYFwaC5 zkX@9^yqB?4{0skV zF}3jYow{ET!&H#wdkeWA-PNXasQxE+PUycI#Q*N1|H(i8n`-=%oc%W+`+quV6$R_f zWzB0K`SdZ3q^T;{%qn=aMIVv!U=D;~r!%CW?~<^F%p`H_vx&jlyLb*3cY_Oi8?* z=^vELF`up^9){Gybvt*=L1RzJBf1kGH8oqitzP)t*pOWT_sdzI zBJ0qXie=-!r!ECrP=zXPl3zv zpEW}A`!j&k#m*;rCc__H>nr?{F6)KR!I_&_s~Gc8#T%l1%pim*Cy-LAySlj8 z;rvmfAkZQCV8x!_~cxm zActF)U$<#tG5WPrkJsG0G|p_Dk5rbR*+DkX1lGX>9(p8~?0Ubp{XW36I0{_0@$mpd zndJ8b90S3J-@}E))>=26+41v=Asg6LY)I`Y&ClEN%i|My&bn3`kdgR#25D~3WK~`R zy?_C=D`McAKjuV}&Vm9nML8#tWGA9nbT zu4?_n>%Np7n5Fq8@T0lfy4kin;dOnpDa&Gg@p26TrI~JV(FPB&#(Sbe~XDR6DK8V04M=r_>39cDlo`(O-@^59M`uHq;(#H2t0%-7%&n4$x@ z9gwQ50%rpy@L7E33ht95=kdVs^9|CcPw3bDH9t-JjF6z8pn-hcx`O=Kj@T7`2w>eZ zrm7KB`88XCyWuL11O7IjJig#Upn+Iv{oX-j^GZ9hErB+|*Zu`O+aY+#4gU?AoA8$sY;JT`Vo~t5wI|@&h`bJgXl( zx67C498u0{ax8gn1aYB9-a)Q%SmHvBa1S{QC0{+ccp-bBX)X%Xvd7%_0Pk$6m%gR~ z!?pOP0{yz?#)*Me;kiR8+VN6Q=;CZTVU<=*OJlF<_qgSQQ-(muZy?mKz3) z4HaZC6F`PJn&*0w+V(l*yn$$^6BVOXj+S_T3*Z@VLGsTqMS3T8#v7wf#8!v~HQ@=B z9SgU}3#vEUlaDvqu}@qmbEPM|YL8_dYdQH}F7KBp9FDrT8MR^<=Z(MewmDU92Jnpu z{7$JW)uw3Hgd&2oOaOnMwhm;^f(?@GZ3qYbs{S485Z@&Ds`M6fkR$JJ#ZlmpfA^w6Z?IvKHQCPEIG(^HY-o%nc zQ_j>I9tqW4!@Kz>Hw`y3T)b=+58yoyxc=3#Zu_>z_gK}P?;X-#oNw2Pxg7aMz*^uk z!-#1hbLykiy=DX9eAc;~&3jE3+7)o~`nco$gFrq)j!WlvNgdt_qQm&5rK7; zwOKdfqX}P}U8vKXiu`U6rxxjWyx$IGL{G9Bn>pe-dg`8R;F2|3He|iEwv&IT%xqF5 zh2-}vHlxL$s-VZ9Di6zh6SRg7DysMpb)lFvb zws1$Ed@#3qa#U+8+-!nUs}{d?l2K*OY`b#p82U~4QEk$T@$U_-FO+PG$bKW6@2Rsi zj9_XbQeKqEoMu7SXDydl3_bbV0%feBA5S$bsPZvrh9r%>AgA~m* z36`QUB6O4n1*G)2$ixIIO42@1i=6;D4CS7Kip>Nyf9Q!(<)5MQ$?98HC2?bAZWFHe zbf^vS($((!pDb8s>hg&0++f0>grHq_AieZPYwaaAeytm$f<9)i;!L8Txs*x%*E*y> zQ8lz6``&;dlm5Q`9C^rxAarjUYZPT}-P-)tjISh4d(8|Zh)`hiH5Y)$tD*L-l>)3F z&3}ftr0V3rnG=Q=;71-7P6WxTK?xb73?i5-uT*t>mjn5$gl9SM=W-qdA=82BQ3T8C z!!zw|#Q|xDbH99^xxT{np>5Kwu@$K&CCk`yipz$Jw`_s+=^& znAINtf5)FCM+xau0vafoU!sL16Ab!ODLW#&5#X$K|5G@aC7&LeM*K?<3Qb4xSs(kj zjIDBQzKt6W38r-*2)g!2PZI(oZhh13dz@b6=8;#kRagf58U>E0oseft5=L}f8}aN8DjWSS$p2}Pmzf6CjXR`WdKP~*QFyYL+>_YB{nFVDR1wJahC$Il3$nl1SWK$Pyy%6CIAJXB6~rv-~7Cx<$4N^J_e7hLBajI1ie!I=k)Iq z8g7nfX;TO^#bi7v8zV|K?Oq|C+jySvBONkFNQY{~`ta0sAAt$&d!znT&N{}f^Dw$pY9fehSzzS@BS}5@t*LuE@!VAkOT0>JH5ub=Fu72XzKVA=PC*C2xfH;-cS8*MTu)9#5Cr>-FQ_Uhu_P{-(~sB*~m;EKW{u z_ju8c=#Um5ph3}EZu;*d9X~_B=yPenjmy(B)wpTfJZ^g!_-^NX^e*B)LYCl9*qtc& zV3`A{_OA(x^TuZWG}1`MLsw>4h!huzMY~_9KMxfWb;4AMI#+0uH#V6rA2B4GiBQB< zW*fBRSi$g!BTsVlmAa~}f{i~Uw}}!Odbb4EEtaY|tG^~kh46zdqOJb|LNmz8aFsJ` zp=H0Z3%l2L*^@^vHQ|ZdM^tCVhQ2Q|`IahkFIz6jK3yy?c27Gk)oF7t z(^QsyP*_ytg}C}ru5gf{&AYh$t*7F&^s~XetoXZ0zNq+N|DYsWm3=Dy-Bfu{>Q?7n z{Q4$M%Zp#XwRnGPISB_Ev}AOn=eaSb(sqN7*UBs#t2(EBB{kJ(xn18Yb4zrY%;U9V ztzuRumYJXefGiJ2IJTe|lt$e9L`qN4M<_L6V+tl}!okWWYQs%bE(CEpz$orQ`GIP4 z6j2?>&@hNe%fl-$dg!klj{U*yR+8(c_cxmr(AJCY0}}X?%zGmer<|Byk?}4pOXI+s zet8+uA2Hq*#3NsIBn{}y5eK_5?cqjI1|u=1EVIH;YqisS9GDJ-9dnuWHxBUL4Fhwb z*)IBFDj)3FNDxFSnF0f}U3m0Kq0*?6s?3Rq0qLPHBtN1#1I6i-k6V@N5cCx&n|QW3 zwj}iCINLzi^hIH_1D&FhWT|m64W=^Y0xa&PQ>Zwp3%!mZ#)~e7g;pJ{)btC6D3q(2HM95gvPX@h- zM?DEgo8#=$R2i8lB7+$Iye_ink$U{N?&4_!!QrHC=uhL}X8BBN9nBkKPZ87jmff}# z{7XW)>MG=Yf4+`7O?gS1Rhn_gG=Dv=@#M!gHf$V0D=h$R<)0N#FH}Y;7)`uQdfe{! z^VJ43myOdeQ=gwIT|gVNOoA-&AA?hyGyYm0f#lwL86o$jDp$?$AF%ghDSA~#-Eccn4BsCR+%C|Y3|GCdOLO3OfeN%fIiNFq|0>G znk|L*)ggtUm@(m6V2pv(U-P;ul3~!icDn#(r@XLEDZ@c18Hnw$Mwn?rjxmBZfjsk;BZv*S=bkqr_W$<+9~_M-sRa4I!t8TMLZTx#re6*k8h-WuE$&ykCgni&K^JXoRfC_At7xCpd) zRqGypp~HlRiZbG$0zajHF%KN^NJ~BHD68Q>Dp&{OtVv3?i-s#l*1nLNcW6S$GZXhj zoKV_vO@pV=NhgN!@AO{i=G1c}eKNXMRGKQt#xMiR(Hr!6tKy0yt-DrNkrs20pPGu= zJmhCg(v}&UfNw>j;ij}&@;VK+QzHGD+&xH&1d~X5NHG(Msj-W8@Z*Jw%w6?$m14Zz zg>pfwIUB#a-;r}h;83r*X6&X?HLQFJvcOk^jC$viDJ99cJwD}H#Fp>{Tf}KV-?k=0 zlkhb;Iy3O4e)>&vge_bJe?}2 zSms>notEKi{$xX(%X$&9dIRGTBH{Oc_BrtGH#>N==o_chA+PD7S5HjFEymr4AayX9 zGMKR5ogs(%^K@2{gtnV1lts=wgI0nnQF`MeJAt_MKIOpmkoTE;2-LzE9aDWoMlWV_ z@eLml(=F9HBi-u!Iljn;bPTuj&cVLlC;D-X?+`HKaFNuSAqi}zLGVNpjoIHO=4%rt z$yfw$t)q6*ZOCfPl228m{uqFvgt+LTuQa{x3EC-!&mJNQN?!YD!Gb4TwJfrs2I6@5 zFoOT+GV}szF?X}#FF`=)&^ZtbftmQIl7_GD?zq^Q@=GeYG2++x6H&@QtIB~x=p3NX z9#+o?8yG$D>^E1MO##*p4i9uIuw85@?Guv?kP`}%4+c}x>1fI)L{YJsOkglG9}1ih z?~v7mLV6K|-rvL9bl|mG9n2jwB=fHU3wf5d6%@zT$)?-VJpN4*7%fVaOK0`#^`;#u z^jcy}5{IndIYK_5xB3#DQMEkqDt#UE3G9(TIY&^O+B%~vL;;xK7{+FOU98hu{-QWp zb-zaLUjY3WT%?bL!6@wKy(48rbdXD%z zTb;{j%5`bGsIW74sq+(;2&XD5HI#@`{mwyPbS>ELe7DdF`r!(_DP@pW8&wj`1 zLQiceehSPEGTDzA`H_v(u^A}K-D9PpLZFtBBZcc-(ItOYU!gEult|9_B>di7sd`2w zuGKTEXg#8cG$2efMuy|up(2q zekxKwJEt*fl34X8M~)WhQI&~2nG%IxObFXy`@WUbOiILN(-!&@eBY`=)WZ*A7{$V7 zMd;(snEZBs5)zC3yyu(tY`+?Kwwh3rr{@&SGmZO>t{v()$8_K&118q%Utoq|Tx`ib zwG?Nvz@J2-KZnD#xNDIhu@lyWwox0g6UZG-LfIs0&)y$bihw#|PF@lbL}=j!{Se`N z9YtXHXojq)VJ0PajyJ815PGz#}6d8!RrGl{it`b=By@G!@SGalY_3%jEp~q z(Xx&}T%n8wCxME?6Zs7R*(t5k1+jY_QUmYQAfB&jNzODG<1FbIbIwq6rk3{jbwSZ) z`KWFe;6AEKD~jeGht_mMoQaG_XhX>qyTOgqjf1KqQ4C;uB0MC#i5BaYqV5L@c?D0f z;~-|b0-cu_JX%@1Rz401V}utCZ&J+@71 zaLE6WH;*LZt-6QQm=iQ=Q=hz}DtW^U#+>3!3rZT6qT;C^Ufojw+9)H=sztBLXsSyH z)5ElUs(4_+DqXFlFiHOkB&OyXb6}Nre6Vt{3`mEV|gSvSC5h?9)Q32qC1DB2>@r^I!qOvOadt z92dup*vYYqw4U&2F~Ltp>&5eTUXM@?MTccHj5bcMkFRg@8NBu*u{n_J7QXMZ1)Vq4 zjNqLS91}5C+g?rkelXgYpqq5MnaGl}Jx2JdNSHe^AzeSyqygFGhFVS6d1L*d#bIPi z$C<;Hb8FHWufc-oS*xH0DpKE*FKTFBqY&v|g}sI^_aK_UWEB~i@l10va>pNzd`T>o zBJ+PaPcLGm&zV%6kTJ0i_bS9R1fXX6P#>p45%?xx1p~21#9hM>ExVrk4ovK~P(}O=09RE zi7}@^1~bt!1goeDP9ot>NwAyn7hzoy7;Z2eZHrf)hZqa~xC8hOtG|csSQWL-{@KGt znK@Fb7ozd6k{U{FSA*_(sn8jUG%yyakxZwa-+)+-+c3#r$~7>$oSOd*lg|^}fs@|y z9wLj%1e0(7Yz#{@gE(!CVk&y`92o)e{ZdjqG%u($f_-8Ce&Iab9CkI@e25!Iy@2(I zb|?puO{7YJw$7c`|KgBs9o?to4{ckh_cauH?M-wY^iW!g0j-(iqc8&l?Pej+d7o(Z z(0QN5?7~eh?qDS;OHNWzY!W(wsjbOw7OdO(KIR$XOCrB|)o7lMVXBnk8R>9mG2~>@ zLd2yMu}9V&~-buclyQQTBHU8aYs4ERuJ`?0d;R+(Io1DYxWZJ-6Lu<*yxNX z8%EUMr{A2(qo8=+>1V#e0UtH)bJ2|Ab(9#;ya>!wKSo%~e+CvC&vuGdag@8k0YbOg z3eDa5(A=rC2^_JkPs$B>CW@~c0lvLz5wUkbe|I1UtW~C61gB#xr%{N)xBR@Zm-TBX}R>~(#3?Ge+7fJSOXZl1PX`4h+L9P+U!R&~dWORZrZ^w$Q#;WXrB9WN12U9D} znI{hip8v8f!LX+ak_8nKu-UY53sKD8 zzF^Bo*!c5Hr$vD{3G%~PBK59MzyJl;e&PZ3i&iB?wPqFq{X}wL0vZlqEvaa4rzb6c zqFdwo$*_Q$X*+`&L6hQ$Kf>Yt-y@>ByCBUt`)JMHR=)I0wK$y@fRsfndr-cBCRr*38XcF`* zj`m|5+UNi+r&5GY0hcI7^;PXF2oR%J)ggtDTy7>^#5!&)MUX7{_%o?`{osN#_@Q{H zV`PlUq)TmiFK#jwibl0*%b$D>{a~NKmtF){Gwfj@>Ik9W=Vl2QacWwV$k4SK@>fw8R;BO852KrT`j0<(d%QAZNV<=`?Bj>b&Hfl@w%ilz8-5*de%)cU&?dD84g zm~7bWOw;!r5$?wnmRT5csN{x`k+HT#oLY3Af`Lgv#Ih#(`PWZ*H$0P-eQaQ^vF6~h z)awotJ|q#yK=rAUuG*pnEY>>LtrX?dYv*k1I`gX>(0}4v(H_9ucmw8zLOemUQelUb zll`9#^r{dSA>EmpjQ078TSa-~Ljg(kTA0HFYF@aO09-f~1{DH22YrwT{>`rOVwS6p zp95H`AcL55S;e*%iXOOm*b*FRcmBc|#P-EzV}(&0~J05yWCD<NRPBg&tAnb{}W{L5rmGrIz`wnF6fYwTb ze?{m%z)KjWi}=(#vgGZcK}Q{C%)6%fdILg)zonyvj1{{x!=mlyWL-#SEFpbPG_Y$j zBT5dhKBY_01}lVHCgYDZSU!1*y%i)PqD`v372r_O75|}z;h+Lf=qUC+)DDb@!VzUl z&k*E&aF-UE!MwC(8sjY_V|%P?+gn2Iz?hD5++`HClLAs*fbU5~1*A%|!Dz9_r!(WD zrL%^26!ulC^mdcLs#Vp1FpmTJQjeq+D3NM6aJJz)@N@ljL^t7#8|;bB9s}5RJJGA+ zX}2Jjp$YRO(mjg%6ta6V;?_ORfh>rs20)8hOzDnt@dB@}j;Lh=&)p+?ptI%@h*Sm8 zVH?{B&sRUKK;#-+h*?kDz6LgvKDu*P47->of0%4A!6v%kk9^x`N0eyMxdXoBvpWK9 zdCC@F`vx=Lf7Q!;q;wPLZF|K1e2Lw=)eMIHG2`obQXSs(EO#6S%a0|NlC>cdx9 z9IFmyykRnnN%o4W(VHLTq^=}ZAVya>+oE0|*=tG;hHVXc%N>e;p{?&YG1k}oi(zuL zjZs}39ovyLLf+K}Btsf#qxXKLgU}!;s-)T&9eZB-URWAO{wt)hov~i{y>zQXFY|id zC;an%Igh^*$^Ico#tnZD`kL9TiY>iyHQWHyS{U??$J(Yz>#OZQPhMk+y?tcs@b4HT zNJn<~p+^vm@Qc5<vnwJtO^Wn8t>@*h;@z&p zLewEOWrW_*XKc0@b#H(yLAR+7^@>j4G{F+dA!N?5#eWK;jLxaF!Md_E~6+lhQudV?i(G`>WBOZyw}96|~Cr|0iaHW-E? zO6KG96Z7RF>3N~2dD;yVoe1A+*Ri1zFE#0l1Ybhz0@CRhi|NV!xf^YC|7mUpkI2^G zT)(S9iHatIrlQL!UWs~2nSn+T>0a!SWLMtkgG{MeoWcz^@_?%Y{Ya4KXg9_DT>ubz zt&BwxYV)dw(@;Mmqi;78ONFNnI38(Ad3rfDcP{S|cmz+CGQ9mTo$-*d8{ZG5I*>bF zXY&(LvmNZN76qX*+FaPF*B@ekw4QY=XLXViFPD6Nx6^usK}$|I5AId~DB7rxgc&8% zhlohJa0Fb(YLCHR>U1#N)0-?7w2$3XSLVf596M_Mispu>0*%Gc7IRS$2R^C0NX$$znCUbd6a@HCzz5i-OVdAnR-@Jd^@*@ z;e6%I3WS=iw=FnD=8E7SQh@;1+xd> zX(2aU-l(1n;q2#*Ahuk$8#? z-^eQT&~PKvY)ng`=Mk2IZ*FI~BH(Rd%->nA2oA;+%$RJ}cih`nDTz{yDZZ9%^Ei(i zg@!cX5}ktBi{tlx=X zc_!1;H3vS5Gv;7n&z7vL2>v0~9A0ML{o}4(MDT`a9eSEFVG@S z6#;)v!5DY%F4d1v%`kST7R}ZV5VLju&c-5qwqxy%Pg}5d$LnA0T3aN31EQL%5FJFl z_**55>c#K$#yexNb=+oArTdM;l%l!$e&=(Uz9+amF0LMaDVKSX=#p;MFKERz=hG)zf1YtM@~%g(1#yPeCVOoblD@*~P_XvfhN3bPJ!BHCqKc=WO{zjViZ7s5ywU{8T^H^CdlBgu827Hpon91&4p?}o2`ZW zeWEO-GuAy3@G_q=&5%4JmY2C-@X(#7Z(A1KPwO_D;*RJ$MKw)w7~+$*Y_yEMaB88G z0r+wIi%SH~Xfnfa8jAq9rYCUb_f-POUZ#)Ga~qrQL~tOBb$D%5#EqV!z4#h_1oSSQ zkm1Ixl150^UU-Ks)-;rfU>5TotOXl2;d{|V+_+4{jon4uxE|w1zO0Kr%a?9{CnA&q zc!XJqYr-rg0xCS8i)t9AG#Vb~@z^9@?zuPC*$uB3)K9M-|7A>3JtZ@*EAmW(CRp z#-W7({7snIGi#Y9~=FF$KBl@;7c+mypa4^k_7hZ`7M@J(4F*O_c& z1u#S0h4QJ$wUyBP99xS6*fcfTwGKv6HX6j=HN%$6w+xq%%%^IV*o=P<-MNIKrgG}F5yoGM$o8}n1D)x4?DvkIQeBSya~DrB`l07Wbj@0%yW&Q z`=~?2@b^zeu5(4?|8Ke$M>#DIZxcOZZxIt_Gj8C#Amy0D2Y;;+Q|QQPt^wz{E(PFB z#>^~7CbJbzX6VSJM8NUED9UPKOl!de{tOHLGSrfJ&3G+ajG71^w^@AJe8K&T))U-j zWhSR}r&OC#J(+DQm{UI|;wr+!qJ$isq6m|5U}SAU@9GTeZlCxLQ-16@KD`w=P7{O1Du;1nY|t$a}0! zt$b(?UJGDjwnnoXyMwC+b{FyR8iod5A7rBxyt9$TjR@`(>uirOJ@{-pi`b2Z-enQm zTm$sW*I^0(UlnOI7YlpNVWY1IZeV2@GZY~THAnD1v$@gi$~4!&Is)gTn4U&4|BB!q zQFm&`uykWMe~Zem2%RP*HDAeh{KT#|uk|;MZa<(?jHXMA%%dwJ!^MSc@NfEpBCohP04S<~Y+k zl)_pR$;e!Mr4&HwnISaOI-ApFVlxiytKGI>mgag!dasB}wR(aOm6nBy znaoG2kgG+Q$7x)ABNvt$uGN>>10- zYU=9uM1(uExyYW?1U}aad$thvEWZ-R`4J`)!P5et*M&Wmti~TA>^YH@1<plTW!WzPuU{R=EKJ$V^=mpi36&G@{P6TolMgL6GG z7QGEq!+POw!?9fW%o@tLL~9SNFu2X8JenS6rQ39yY)fA^)sx9I?OGCcXgQk!=qgpd z7F2GSg0y5Q8Csb=PKLh8X8GP`+vuTYlFgR|Fo^M4K1)>to3mONa}GLI|P^v-U*+! z7qt*Es_1uou4j6%Xxzfm8^tf8*1&@-7ha=hx$u4)CWG%zPi#mENbNyK$(4n^%yJdk z^9jpU19dFL{zht83xM^R48WGc9=!0F^LPBcKbBHt55K>q27bqK88b|jzAuvjpyxeN zEZJ;(kssu1K`|jrvG$~y(zx+^>pp=VTJ3S0)%=bqOUisBqQv7)34YrcCHpx3rZr<; zVz~f57Nzs&h4KBgY1OEKTmuu>Y)ifwrsyiwA6ZQ!=+5pCz;~U}%Jkr`*RkFl$-`zz5$wszGKLr)mW6L#VlsNwERPR=a=g(@VSZWz zqlBLx&3vcP^k=yuz*`|$TOgftDaJgCDb~weUwI#LgHp&!8#j2gF=ov)S0;0Y&^*Sr4D-5_`pF;zmeq~Xs(cqFpuDxmiZ@kLo%Fa_wEb@$Wkml`En=_fGF;`eGyjF{@5~lo8 zt~cGd9(h(=!=-bA|B1f1^P^uAZ=U0LY$v3V-u|Q@L>_Rx{L$Z*u<0 zb7Pk9i{ByP((x)4%Vo@ZV*GrFh$J{{VH}g*eXYm_i$+lJ`Y0o|T1%EJZ$9 z2H<2!7wIwP-U=c~D-lU3FWHjm8Be?EW-aWLo~J}g!4)d%;M2{f&^=4}ls84LnV6C< z>rtjBO74Bi>!H=Ke9FI4Jq|;Bi({(CrAR%-$SZwQom%|1wfGoke{QoWlX0HnHk)$p zH{6~ep|Y_5CLTv@&N6B+icl3^}mMy2>rD(Puz?=Ts2 z<~7TES6YZsf$U|ftB0_0_y9pP)E;~~Peg$AaplBq)+@VX1jv^@4kyE5iZNX=OPEpvbhRr?F@}#< zi>{1tnWF1EIE~AdWvva%%6>D5&ROJffr{nA2FzJ#pG3CWTpV+&yO|yWJ}e90aK%#a zmy}rwMlI1-5&=U!$U9+AB{Gwl9z3jC3SV<5vboqEYn4OQ#d%7#9HX|#%cM6KCy7=@ z{B~(wLQ2;I%lMts3F4FyL(sc|DiXa5>#u00sKSA z$ftwlT<oJic=brLOb{V542tD_Sl(8b^9F`KnA6W{rSIpMWV=_f^b6E>{7t=(c z=X#MM>m}#!Q$;R`d~?ptvAATj@o=V?NqvqHDU`uG?t;$AMu{6SUJ%Df&gwC2%of3k z*rDcm*qeD%1TV5t93=004RaZDOj;JD+Oj?uUT`7W5$39aJ}vVpjfQ^JD3pod71ZN4 zTdxho+=`w(ahsL-v&i+NK;w9U#?9#r$khUCD$r;UXdEZ%eyd2KUu_QS1;FoKtARV1 zOryC`ps}@RL5vaVf^^&k&1}-%QNgyqrKI!qW3Ho2Qy7 zmC7>aVf+tejM-Cg0|P)#rUtHMGL2?kRu;bLn%N9+KO#nTqRrOyAE?VW@RReIsRjKKcxI#n8;{}dma{ob*gt!HIbvc~vtQTiL064o7`LK5+Z+hfe1g`Q7YO)FnxbQvw@dtoc* zQ;rm69pMD<+vdW6rG-fOt?-LWQA-|;SJTodmGnd~gxO;ZUGZ_n+p?&C z&+D0iQ7cTrx2UnvG5~**82V0Xvnw6oL0sn#^#H7erH3ha7XeEF;J*@x@5y04i0?FD zBeV$4W*!&8N2$$JTdHZJSyITf5vB7t=iob?($S8qmoaVGSlyUEF$)1sVHVavD}m2k z(Svkk_89XNO97zkRw0HFT*FFlH2j@%7`0d}A~-6|mEp5Gs~7&d7Ap&YUt^7cI3L<$ z%+cxCCPVBsta~T}P$Tg9OUiJU3-798HeRVJ^0QL zkwUnT41HVv;8IGirV;Ft%49bGQ^*`e3et`A7~|ehO^G(;y7atDY3lVI7Xh3e{9TXK z9+!f5xM91yjY_ZV`py%no~))bQ#~$4>M6Ru^Q0>pzo~S9eZ|=CJu&usBOUuuW{cQ+ z7`CY7tn{nD$hQv0K)n8*m$?)liwaW!u6!+@3*V(9#+iJaP&7V_1jp$2FT%1S=*6O_ z4G5tOzWYdwJpaQ+DaO3c{1iDaQrZbLx*~RAS^vw|zwrJ9#%H}g7;>Ys>w`3o%I8{* zp&CIYGXG+F@UUtrotS20q|L?lBu3$fjaqLdvCF2}6dYWzk(FK_%;Gb^FXQ^)ZW!lj zBi>WH7sYUXM?JvXf*Zt^(09Q9N)BnaeH_1n5GD81=1ZsFF9=hh;MdjNU0%*+`@Asa zqRq0G%NR38^eMbv5!|w@)sgAB7IV2YtNAN7_QPL`6FqD%(O2#(a^2n}nT|}4wJnc4 zr8P&&hD?t!(&l1&tW~Zp^xlIoZphYg>j@d3f3C45WSxD|KcBLuxRx!i^0gPp~SStZx{9~0NIX{92x5a0^7c8bs0v5_#o+fZ02-_93 zq~k9Ri_+!25QdGh0d{3Ijo>dSsu}CP5%|b^A@*IUh0S*_#61F^Z3KVhy%47ge9jX5 zIZm|BE&?BUFT_OxpJ6N)zzYJOBL#o96c$PzZpifDZw4_v_!OkTXD-VXfxLs_UQxQM zDLI(+uMPsA-B?W{xFSV0Z4I2o z=H`utKh+z-Ur~?SEE@8Ox$4~=F(WNHLnCJEY|VFf#0CP5BgOpy^6m(F$vDGDjC-#V z7>-F>ka7~L#!O@DKX}(G8~fq&4g!s1#A@mvQq2xAV+LWaJU4C^+}MK2)WAsObC|2q zj9@&B;B-;1C&Wlt?-j9)W_`zghAIC$_lmqHJcW2~v2c;L;Hpr{Op>#n@?qMixRfYK z54j4k4C{9ySQbmq%WRZtrc|r>pTc*>vK}qFgWct-fi;*nHJWa$Ed0G2=_%rR<#SY_ zbhA9!LEuAUez#efenQ5br*A8BNsweyekF3z{ZVeSG85e)e%lmzPtHZ6A3Q?j>L$tx zL{iPTMC~_Q)*~VpU9)qWm1!?z28omgmj%CVE_$1>+iVKmN1ac(L!=bn#gu2%F)133 z8Dr87=$U^{nvl(T~*z1m53LWQjWo&XXl|%sf zES1&lKB=ae9!jN@tSp!E%kX79*cfd)37Z0OXUD71#O>kgUX@J`UUOf^-!$Kr(DnUP#&I(r`V^5LOsSz4v1$< z-#jgga$BzQCj}z-fZ1aV4Ut04MRmWK*&G47X)cCSnOqNr-n?cxPLR2HN(65Rn`OI? zN@Xawt^3Bf7nWXxAs>s%YqbE&vzi95r)5!@`Lc@M6G-pDvy$c6!u1sW8mw&hscFAV zxozFo$GwHsUEa-t({9F9esv%MENY>(kc>L0f?Q<1+bo~=51_Uw>j*vPxh(i?W#nD% zTM0ccid^*j!YYqTSfQa?akJ00JcIjLOmvV$5fai-zOZw99CAN zd4i=x&<6uhsHf;2y!$cu=4B3M_TXKD4AlrmFd1W>W@Q!Kqc{{f7M4{5H>RwkRLiJd zV%2CZQC4%^qu5`}g@>iv8*~I-DMSk0L9p(aaPwAG4Z9aDQqu*<|q3eV0v~w~=W)#D8dy#6NAKaZ?4;JIfnV}HoS~=Sn=ly<@#-z}O1$fXrPP4CR^t2u zU|q&}fD&Ub-Y?2h@Y)vRa|92vvhauKSP{~L}L`g#! zhNz&R7|0-!6v>K$5ncT3>R;EeW}+Y~3%H`}ii(0L=&B@10|sYlEyo;UZsd2eRk(Ea)J?c2Xor%s(Zb#iDSwfuEP;{5gopQ`#qqG4aHUsyt~ zx1^LCb)>5D=-gpWAWwW%6>H<`dalyCW#w9@kxbg~m1#;$i{|Io{a<7(E%D8i(!;$K54W#Xy3ba_4u(Sr9PgQ+M&7)7JoN=rBqSlR}S5@96 z@$`l-8CN$1ewyx^h(LADZR@o@C4u1sI(*4WcwX&NKGGy<=y`D`kf-`;(#Xo;RFdTN zTE~%Kb}65$q#lgOcWcI}G>6DyS!i5lC8)<#PNi@r@>E}UC#`1td699&GrnZMgCQj> znIvz7K0E1fJs8-fe46XohFkM?R-;u=RkDC$aKU+`Ixp$)Gf9VkZdih>LJ=L-H6~w( zwnQy4ogtl>I*pHYsQ{|g+SI7&8LhgAN>iI!HyUzNowJJwB0|!jp?4fJnDfmkohEoO``z%*XuxWeJav zxint-Uw;)rCPx*aMHG=-7f2);H130^^5Og4ekpQOstY&`z%QlQRI1^KjD*KKoI>W)*2fjD9TLmz=-a=b}GIsF#B(^V8(jWm3f3>d>|O8mT;gc~~PA zeEd>hxgS@{US?6b1)FK;fBB^n@>Z95q#|Z@q}RJ!?68i=Szgwc!ME~xk3=KVP^yDC zjqHY#MGSQsnPr%dd`?L58fhRBcas?@iI*Uf3+gfp)`+PfbLBIcH2x)&dDZho>E}%i z{UBFN_qI`pOfz{%9%svae=Lwd|{hE^|^zo=+uG|;ab6}zOGL+R~dqoTDIKWpy~AS|)prBA+j(SZtjvVcq2Gi^s%r^f59gq)W&pI5zJZN5s}Z)xz6@23nYPt?W^DW*S6@~oF=_Db5=WQfo& zZOB|zSsTly&^ehr%DkzCUbL}$Lmv6YffO7boNE4Hf0d^qJ0&EK1LU!SHzZ&CB;>G! zd`xkG{8D-H=t0(4?wh~XnaET5WF6-5jhtTl zCO#S2F463oke3)z>}T5sO|Q38YG>}>psA`|5;DHArfi2T_GPV&4ZA=@V; z+s{FXCXdp*Fwy*5LbA0i%41C)eJs4!J1_N)pIz(ZYc9A`hSBT3WL~dPj#bs2!V5cv zPC3f~Gokf0Qmt!Diaqb%@HM-4!c#mXs5qWB|AI@5gG;d2fyh(;m zVpxw$585vcedC5DRMmaS*X}khicj^0jS;#dz1}Q&tIN}7hdvwVzGn9}YNY8%H7#+z zwxO|+inY6bsXPxgLYJJ9ba-IW;YG;~w@kj?DgNZ$7he|3vfk zMoi1et^}!J4LiaaQ|BbvViZ%Sc}|z`U#gj=znARoktKB5eZP#qyF8CtEa0v*m&!4h zP6g8Iy=F~+cV7=n$I>y4*I$x0nybpI>NLymC9JoLuX;>p_pY^4)M*y0&Tm+FulKh` z$tLHsHAZQwirq2ra6VOaNXk1u6#djg*K@;&m;2Jt>wJ1WP9=?T|AT4XeU&pCp;H~6 z)aaFrRO3&}NJp@P)Fy0eM|!P1A^+ooeA9KC788R+HXD-PcGpY?{VcJeEq@JoY|J zN%HE3=4D+$(&0%Sv^+J(otBUfrS!Vh@&%-7hvzqP!ASLe8C;Iq$ln53sgVb(ih8H+ zO9Du(i&K)de9=;`$g?$n?LxwlDwm?yCto)=5NV|tf=tTib<#qsODNw=Gzp!is?HJW zdgyZ6vuM8VOHN!*Wy`x$n}it_e8EfgyiP+KBh|H5TNRos8k|R}i&KrZek$ zQxnbVsjkfZi+#;kk>~G?Htk6DXhR;6un|_T_tGTKYmyFsB)$lT3;oFX#>qB37EaUa zHG8Zh)lrGhl_pQ|wTk?$r$tpAXf&Sg@-5ni^^R2N86Hj}qZy5`dc9lCz0fo{%gjha zhcatssSJ0u4Nhd`jSEEfZ6%uHlFSD;(xR%?XwX#EsVObkZHl}_=5MA_>y*?!+)^aN zhf64PK#dYNBTw01ASX;`01R>#f-zm(>vly^>*)`ee6Gi=+KIN=jtyBngntG2apN?6zMS1zX+shVxAUN5(`9;#(Ijp;iZrD#>%Cga9% zb-mL%P3gs5jPk&4Ddg^ilX3kgq)`M(-L z?ZI;;GDoCKch!g5d@}{B{_2sbnC^9%M=I&mHcFC5QeBW&j~vjbyJb96lm%yWrWzY& z70TrC`Og%u)fz}X?OE17HE3k_tHsOnY1;|RznPCT<6DK2^*mAf=~khXS{U1JwxcrA zT$}M^TZPhBlKf~Xu~TaM&2R#vsl5)6<_;`9-ODNAEaM6NU&R#3XX*UHT6$0^OOwReQKqf^+B7 zcG7h-tnt!3*Jehr7_4Qyt1(YV=|1;(wdtoTpS$u|OD!z2UXsWRX2ZsEpRsL~U_Q>7)!_-mIUKlWF7D)NoA8tVE)bCn@z1Yh#~+y+gr z$BE(&D(5WEOd*I`-8{98Z%XpywP9N)9qy1q|A7l5PnGBDgyfhmmqPdbaUS=oTH8W* zM7|Z(h()jWaeO7jg`ZzrIjkvYtt~A7FVP-1I_aaT@ z7o#!MjW4O4^X zl6ckSsj59BczkeaOm7h+(wuMog2IRM$JCGenx01f{fpIw^Iwt<7q-=pccz{%YL42N zk!CcmO*$Ok>c_(x=`LR&OS$GQk`t>o~9$!7DnT~ zX7~1LgswB-k@LBb+lBp%RL2-+K(i=8q7Gk}ba+kD;cL@;<&DV>pONMwFPC*zerf34 z3R2a+YNK00TGz(ptsq@H$!hm!R!vA9!s-eFl~%yEnj)^pRy;5&4na2`oD z(d^#4Q+>gTg~*u|wjRFnVgI0CD)YPKwH~_cdQQ3Wgj72;ztCD$Cy6|f&&N{j;34zW z(1%50yqdmhs+a)I^;i_npsGVcugjw+Z#xQ2%`i-!k`B;m+_x;u4OtmSyOieiMhaF{ zb2W>c7gq~TC0shydS@p--|>LtsbQTUH1&A(dLI%u5N_qXm+>hGU5C0a`Bu1bcACWo z?l{1wH=uE(s(x;q3&KCYR6>8_S&(|@SNK*t zrbea`P957ICMt8&HgdYlyk|;xx1Yl22>Dyrd{s493F^5k_~6pe-=HrwWSabse7x+1 z2w!pryU7C`$YRw$_c`c~(nyo7kyAEp&}j0-u zb=@^@D&b1W4)ZR*!%Q2lG0n@o!A>={mvMHQ#i}b){eMku{ttEY3)* z)5tpfgw&e7rq%Y7+an);wb8nt@2#k6QO+v>NHugz-FP_3dL zcsQS`Vg;#_Y1}tXh`aMtntV20rWqeX6&EEOpRF!su`Dr_?F9|3e!)a^nl@YI+rhX) zO5A(Bwau3!dJbolIom3!>>gEzwaVPI+J4%6^yP0Jdi~u~r;ce@52W0Ea*ar7PD{wF z)VgR|R8_q=`I=TM)|K;5k`8ZwTJZ*roE6jPGmccp3SQT0ueWtAdn@N*_9<+YPT9TC zI3ojmdOb!0{Ze_ZNpbhRoY1MS*UP`UZB!LI$LlhWR2PZN5qYW5zynlcaf>0K%J~M7 zr|zq&p4#9nU+R< zKDvwCD)U6`VOge@os@I_769krM~xjS3ojzFXzXEmDD`2pZJgh*@U}fHXPULRt&UVb zO}4RrO81G{!?LDvc5CVN&TfqEj#O_+64C>z=bc{f4ars?6P9(Fs``xhik2`dl^b78 z@_fU+$P=p@sdi0#a&7)K3mwmDtM50?MbB$B#(U*tGvn+uRdsbEtX}U7<6LNHBk@*{ zZ5ukQsyCRs%hT)a-pDB<)mDk-f(B>#zGt$H*EDG4t0E~ayxmeHJXzNKBMX=6XLhpZ z|4#CZZ-3Jnsoao6Y}iJ(ze&@BwCMG^{Y~}U)ZCTO?Qhb2>*e9kQa*i-5Y$Vg$=u(x zYif(;k&5OzqLJzjli5q8k&1S2UFKeIw^VK%-l&PH!Ok)?qUG4?jXJlg{G2zZ>GkfB z(0Sv+*GT_s7y9med@r8U^m=b;=((zV{JF&9%tpyJQu+58ohHlN>*cw~d{+4<8#2px zmqawU775R7(2P{MMSZ0Ca(PCoU#B|d)eV}eIZ^_vzMz`QV40?lXbkE|;lsZY3&$f8!-B>Ur`IRGinhQCpBy@f z(_~*oV;)vP=IjfXa+S~>8y!6dRsZXU9ubx27fC|))Id|havzRVRjoMeYopi8qs=QB zkK&8b4Bp^J=&~}+-W(aJSc}f(zRGt!K-E`mg4fdG<_4dtsvTisG@MHV4=#;+GZxZ% zPo;6Kb8NnZO@q(h6Cz2`pvi0gcWPLR%!s8@J+J4lHG54S>0UI#qLGXKT!&Tl#l#st zk;c~p6#Zn4y(Z~r^7cFY13~Q4^!i`S&3*~_mXtpZD$TO~I`B2j>|4!PEUf>R z_~g9vouo0D7H-J%iUq3Yqf;!ho_~^PrlOvYttGr#!jIC5g>2#L4`Jb3Bnz(%Cz!S5 z-2J(v)vWpdN;J)r9;@oXaap*SVZ#oyg}*C>EGhiB&qyVP(1;iE#5x6Ekxw(Mk#uH$)t|E?WG;=x`ub8sa`}_X z!(m&{3!fUBIm$zNIo;U9a?RIUnHqQbs!`(H+=E$Fw|da>)X=X;NZ!A5&T@rF zedR9^TvbSna}IsgN1Jg!W|iViIX@;#(;QReycGH!P9RUEY1x-lQ<2gNzYd#J^vzw zo_BX<+xTpf@CPaMza~BBwY&Li4y%f>$5=c+g|)wQ3?fY)c{?!Wi~n^m@>Kn7lW5*k z>q;rjDYXuvBHK#m!C5KNepY#;ImurKp?@TWeu)f2IGJ*;b$m_cpF6>T5AzNVs;@jE z^yx&?>|evGQ1w@QB2D9~WjIVQsqGV)4D?>ypQsv8b^zUvH~*r8K5B12>v! zHE&A8m(v|oB=_Gr+s7}Z$!C?nJf-_u?nR#JD{H>CQF4wF9wt2wXQh1nji(}CUaDY< zaE7*DiX2}X{#N8H8SsrXkEC@VXFGvB)z>yDe;uFnb9mC~v|2JNpBJW)(uG^^buY6`>*8JruUgOg$CxQE= zG0l7?PtSi%Hg5I?(U&iRn45u zHm*$eoX?p&Qsj=YSh2>Mc*&VOX>?^ql5h>7bdQ=kd4zL`nutm>JLRv%6LLig{iCVu z{YeV_?aAt1lxRMYkRPPbU+s~Sr^=ky+}z`7*-jR^SlYUMTniz|B)mXz>zH*X6Unh-hpP0g8t-iBT33p1NKRwah znKZWl($2+WdQ@s3o}Tz@lfuf@a$NFtVzRLkwugRhBbBS_#$*Z2Z}sZeQk<7+<7Fw` zcX9%GD$R9C&pV{tRP$uMa%<Hl zgHK*XSylTcnhR3uTvlVPGS5!>SwHdlYl>;6$)(nx66YFYi`NR%3#RhqmdvR#^yXAb z^WxP0SuW-GyvOift1rl_@R9GrH0-C>%V(Z9zrrxmat^ScZ;X^G;V}))RrT-dcpRMO z5g9CSOnv2gdG(ZDIbM&a)ZvV1V(Brhs{93t-%IX}GSvgFy?hdH)-!zk($Z$~=Jke^rylpVdA)^^PhE#q^<00Ir{;_C+gM#2(ryus^V1TD z2;r%1G;O}u+Z6)4G^YN>b56*@IN#)Q&q-!?A1z+vqv_`L>TNA_7;Qcy%o9bLZeCAq zZ+PonM?Lg^hxb+UG{@YXX-F?e#~xNGf1C$T`1E>tMq||)k%pC9AnXV6OKJ9ySHb~R z&Tn-BL%NkkQ9r}9K!#n(FS%QS)Uat3bRy*;91p9l1u|^B<|m)J4!e~_ zQ5*ioQ}cV*0#Ti)Ho6u_$?vL{dd_V_x)w;?e)RuXk#%a`)DCOF7+M#@_?o;H$f4G1 zKnjgTUfc77nutn6Kh7^juJ>1YDsoj?b27e_MO9kcb6RadfQI$0G^6py6gp?Q`=!2q z?62}vy*#UihL`gsa# z^Q7lRV+GfZDW=(;XC|6#8}}tWk8cInUCE-po9y}h$(}cwF zf{ZoY0DiYJWA`WI@`SL1#xD&$uPazSrF%a2dyf?N z_H(vlSYP#5d1~nUCkb~MVqSRtG&9nzepJ<}R;MLBFB+>K^Ip!HshUN-EX94ZWMg@S z_WvYb`F!kOB%9AAQgdxjRlO^P{>&8CN7K5m8i~bg!6Mhyf>#^cC&eqz9Uq*0<#PTN ziRO~T`G$nlJk67SCMsUF=8ws9Y)wSf*uN#@?1bEzVp^NPG|sO|zOq(lB|fzx)A+2E zXma~7m#e=_zH;2(o+Laa`QrQyCsX|h&_LdwkgUVJ&iwY|E9>w)4_clY`adUMoUQ6) zN|P;o-$avj`1wSW<1R%@BdnPo^gzPB6HOl1%4zYD+Vp_N;-_hBdE*AnNOgZ+CD@>8 zTVcCWD#un&v8Vy2`JARNPBGo5R?urKzAH40V!;oj*K4l-8L4L1j9z{HEUmGBv+-~~ zRh8GOP1Fk8P1CxjyHe=iPceN>qRB12%~IX8OHI)l_caqT%v$U7(mLv=B}=$A(VUYk zVZpAozB$Q!ZdzBlV$!qfP1UCVzmoWzpK{8N60&Qp08&09sg;{o&raT2Yt|&YF{nIm zOl87vYlR&&Bh^01#!jgfH_B(P#QCy6G{ZV8X~SjVseJMZ(k~>=|D19P zHDj3)nuhh8lq(fiI{klR3VoT>A3QbDoRTbIs}%a5l722ud^S#Dt&(VdmykoGAoIA^ zV^ojVzoruM$+PPI^t9^!h?FaH@A$T)=kcw&Uv6nn8@KuE{;!!{r>C&GRrhu4?N;5_ zwUNur+>#k))%`xHjyqY(`B<*LpfNr+((>JkebOrTj~0`+az3A6d0Ps-=E;1$FXfBV zYeZVsHP1h+s@DijjKx(AJ|oqIDfF#VJK^vY*0Kr7bwM5(Xtr0Y>a8jC_ow#iYg1T9 zq*_>tu|~U{D^S#76sy}l7v zRprs1+>-Hd@T~Frm&8XZ&xXw}muPBDTH}*P@P3;3WSUwwH9mR8T^`l1iDSN`dDxIS z)6A+t_4HL(ky(k)Ff06d}o5)ol25i9kyo?ukj(d{23pgVSMEg#bJC6L$hg(Xm~we zH-w&FlX_A)b@CW&O&m+p$I|#lrukgzi62#~3^ZQDoOdxT@p;i&B{b!8t_%)3rbs^B z;%TXkop+l$51z_r`IIa3DG={^q8a*cct3`c|`F$8ZlUFk~_W_M} zSKTL5&A3fXWvau8+)L%mmGcq)Do;hmSLaTYHge7QWaiw}jB1{9DoJX_JI7L@s~Jbv z;ejM58@$cfVPROGyNYNdRk>pS$#Wlnjymffw)>`KUb{>u8< zEA<`fy4C-==W=xFmB>=!xweh-dXg;93aLGxX$~DCtQV*G_sl0FdBiN!(1K;;k+HO2 z%grS0AtKRY6`7O!OL8+`tTfQ850Inehv!lfPd#lPK2H&d=O)4j*Kf-`GT_G-duR7? z-1Oof_j!F?_*-;qdqZ+=rl9e~7<>uowcHVN@AJf`hpq7)Hf-m2fW&3?Kz~~c>@G!rM@vYm9o+s~d=x4H z<+S7CtWKl)2 zM&hO;u^`(>8Ce2ehQ_pp0^(dHqtBr@>)@lr;F7(Y&w!beel4ElaA4lUy#r z*Tu!<(wso54I`Ixf4$I81b6HUNpuFnxg*J(xVx^<>D*+0a&do->GGzanJolGXSWMl z>`H*X-et(ChBB~58t6PJzPQQF5ye`#Njb^*#sP$FQ}_|E##ew^Dmz~psu{J+hTqmg zLnzWPt)(^yTuMp88wT$#C8nTAk4=@5Zf-RLVZ#%C$f<80XIzvY|G2rJRXcW+ZHa`f zs{$Nlt_F1YJdIC$Twrmzv@a0|_iFN^apX-e59{R;eNbxcQX{M^&ZYdAA@0ze+o$!g zo)C!q^HND*b%*9=`6)gx6ayX#2f2$+N~z+L)l^PXPQkxfDpBnV|;TlbeQ=uW0>m(TB#CI19%{hV;uJR%mp>k^- z>TkHH#euADvRvPPddh7jF1Ugg+KeL4T0(<7v4-MgSh(FX;J_Ofw6TW5#&JDN?h@KX z@*f%0QgJ7=&09korrv=Ax2Mk7@(y92V49CHo&2!T!WSjpJg*+;6N#qJlQ!VM9B)p& zVdFGb4&+xtD`nigb3iN4lOLX6584@vtxT3=(@io~zN`I>HQgpdvU%6~#Bg9P0ehf) zF4`Hky@3qSj*kQO!u?7q6iThq-hX5iV1Chz)>n0z4;#o#i5B~_6Ga1OG>mq+X+M=T z0)b*~?I$=eTr+PRsKMYczIGHc%o_({>TTGu*Bi&T)*F*iLz4Ep%FXk(L3;7F@sKDn zT~U1EXKXRW$LGu^Z5_1_&{xXMv()6gxts+h?8A&h93xsr8~tYNN%ts%aMSzYiJwBt8}~#>#P}p(uPC>2hC5#+EHT8+Dfd?q%PDQVKaE1; za*KyStGIA4tzyEOTg!POY4|b?F*z`_@6Q!+9aT+}Ry)d#W)?(kK=|CWOp(D`iQ0yD z*E1v*P1hnn`36^7=9-rHdzRde7TRx0LXl?LHs>F-r2D*~jA;`Zos1G12zM#139TJv z{1BHiwlrtjb`I^Ra~Z#6?R?wOv4qwh<8}`1wCys?JK8QoJK7%FyvsWl4w;s+WL^2; zIk(;BokvSRvwhdm@wE`)Fl=kGot89TK~jqpnrJ-?n-`E_W3!m?0lqsy#I+6K zz)DwaX#5<`H$7-;5MJ=*1P8QJP)gQo%=Ines`d+dmZ69CQ8dndrreqndHXm&eHF%8J=^$X z#TywvAU99s(0KDEg7vk54%8j=a=8o5XM_eVoYuE4D4{viv~YOPO*?v*(QY`PMGCJq z1T^h}a#Kn~6wQFf_Io=mwgPRjy@}yFe`WCTrN@GHd}R9(iJ#gRaig6=x|E&}Z?1>Z zUI0bwp7P28lpY(X((;d+TwH8=e|amp;9U6+&HMXLc<^?dNWxQ3QsG}8#mxFRZ^MLpOF8ciwCV!kC7(K*q3zUKU}Ca3Yu#TeEKNM+-R{9VK=bw zA{n(Wl9l`nEtv@Zgj#5E0WIYvZ?qDSckH!0R#&)hX7VwHQ*z+hLVuaN*k@~)zc3$HGpRN0G@Rknf z<*4_yzcPp|G%pfoo?jI|>YKNNVs1XMiD!#X z@`G9p@_{Z4B^0eFB#SxWO`-tMGeR0Z%k()~dzrYQy~T#4MGt#fXpBK?ihy#87&7SE zXipK!reX!OfiyhawMFQZ>gMt=e}Kzf{imbbneXonLWX92L!m7eGH??f7oBWj|F0cL1`QWa&}a=bGNcd%a0WL->x~(jA{| z%$;w{PgAMAP719jKRjm+Qi!+l(adZmV& ze?42Ql71g{y&z>k4s;UR_ecbaghe}yS`9-Bjbwp-9zf5sG~U2 zK|G+DJ|r#l`+H=nDoYZ}b5vb8ePr$(PR)1hi-l+RfzVNf?FJOAK5J`qzbAM?QPQ_<4zyuPkE( zUekv3xm|ur8pe^N4@x{4<5@MN;qSx;=t_bXK99~LA2f_S)OPVMtnRVf69Fg{v)yyu^>3 zHPyy`ON)URyvky7VMxtQ3>^#YMA}2$cE%#ZXtbmm_i_?bFA)jnSozWOL~#9ZtrW%e z-}&kL!}ZG{`xMBd5hmyU%mmbbRSN`L=x4E8# zV_!0)`=pfi`1y^vs=a{15tLq0p*vTl zwY83R{$Y8Vv+gucgB~w8Pql6Au}^FEt7Vg;)IMnE)S$Dso6bHJ(9aqA`d0L?fPTJ} z_D(~m4``9b*UZLMcfPtg<{{5n?Ij2~zT|(Op-@_Mzzv6z|2cW@f_#%K)8`j|MA8QGNH}Sx|C8@a~vUaZex-CGnO9??#qRQ z#FLx7ibDyz@K)S z#+)}U53Qi{)|!E@=ZVQFwFv>K|6$LGET`f7A7&GG4Xg^388x19KkD{|UJ_{cG4$I5 z6qye)zW)=@ysH`71B}C01MTY!)i0L19@tNaWP1!~dArDHUmnnlM@3&2X!jL17)4OzE*zJ%6W?=#5#f~6>cZ@--Jou-|iwEA&)jw z=d@q5kmDTFHw@(*zY-%#8u-%RDJXp*P|k5F=uZsYBcP0qLi_fBo;nG9i68cZcBV>A zNhv_d>*dOuB@$)t2^}F^KTDy}FQbT?uRNc~7C(Ibw8x3$OZmEsFeetjlsFXo{gNQX z(n-7GhOarjX}O8K+;jH_1(YjG=sa)jKONo7Tz}e^%~3DUZVc$ljrM|o`Z@>rmb|cV z@q%3b( z7thiY%=Vd`aUoQ9Jv>?4D?#uE{YzonTYZx zosE9EuB|!{?ui=1gcp`M8NFe&eVnwGV#@+_sOZokyR+Qczv|9yQMuI@gSEzVnJf70BwC_MEJ3vd>0m{e{Xt7Yx z=No#Ra4PBL{ZZ%(Dn)Z&2K*J2)B&M}DX7mB;95}fHb`e3u5GO20ZYY|P*q=-psyB1 zq5p^aDTCIyPmx=7$lKUQGgp&DEIH<3VZulvW1Jc?TpuxX3rd>L6#hKR2p(?>=a?muO{Fo`sVJ|fd>mEUNiDNB^{gAZ4@cV?hp4fNwn&`deN_cug?7|=3(II{xYsBxU5I^6AGB5EPOL*@WY0&Lz za_c|z={=dbt$Bi$@mlAg<@;3RfGuKm3s+r$X^dq)GmkmI;c&Tmo+)uGHSkz!)v@p$ zO9{59j_slCsWcWjS@Kd6tg_JNtoF9dc*YsO5pvdsmfvDqX5RRs$qgkGO4=v$h#GqE zJy1_}J@`JX(ZhZ*b81gE#cAzr4|g=Xsml_`Xlx%N{G% zMb=#(mUra`x|6xyDgU)42l_liAC&)aWc7u%9C4i{H_uJ_sII^)(yZNZy;9zb>zd}e zxA{G>?@H|8xTQVWuco;Smv@TRkfO8-Kn8G|uI)#u1dUrb6rcsF?FE z=wn8UJO%YuavW>^lhM*r!_A2(V}J7ndig^6TK%dL|0cC*|i{#zRjv#vUV zicg=Z*EQkzLgE$Vk8M@4ONLYbdD)herdtf}w>&KWzEo`98Tz z+>RHY8TBt!ls#9_9AmWa2^@Sc*9QkE@jB5o*&eroQeIFRq9KhN2)FAEl+ceBpo}aW zFWSeCm!!Ju$Ne^U4B=O~Lg9XeMW6EnI1WZ7)-`FgB%IT3BQJmN@B4*4oYyzMjA&@t z3hMvM3=j0agJ`PM7uWY=LP~IJZRBrT@~4mUr?2e(FR_12)C{7dJgzCf9)%xN-Ycrb_9E9`VW+v4-{)D=(&cL^;giiy)DY=LOh>hIdrrdA3APyLCQj_ z2xx2_IIWj;UAd^GODPx8;3q|cJRcZnlDDF| znW3>SyRV@a4`@lzedP^Kg#+kLMten|-PzE~1L`9`=La;e2ZHZ`#({4e6+818qzeP; zrzw4YfFh^w-(r7L(Sz^Q+AE+JSZFT`=p}~k8PE&ILAzVv@Ezk2C3}SkP43!2O35Pc z&qNuZy9D$~Lv;rj`Xa`+7`lF-z0c4Y0d>AB2lNj{yIMdWF?88JN=V%C)J7pO95+f@ zrQ%In3GPqp3+O@KFPb5KV_&b~9P;<1;rmsSInLCdZ|JK+{2b*w)kX8vJV;jhRq&-O z1Dz&!p}p78%>sIhp=Hbh4nH)MJW@E2Q{a1WKv{ne`qqGc+R%3e^b>|o1$jw3ayI%X zEkIE3XBQNjsnU-k2FUxk{E%)_q2GtRH^`5s25-cop`UU+jL*E$C|26dXL0UkImB69c4(R5F-VkUR+k=+%q$RXBN&f&PQi=+QB+LZdOvX1A4NdB@co6I`eA>b_VLJ`eR8uLtJqEexQB1p%=BHzZX}{ zdH8&`$?&y6>u1@h`sT;LS7hWgyP>5w1L{4AuLK$9EpNitXTry# z-`Lk{FKIo6VqM5Cz^n&Pi@E8S+`(o)*fE<|p|kV?6}xFDc^59op( zLqcA9J5xnoRfNo$MI(a8vzvQTAh_*?$ zuH0#sJ7~qnileQ2+bH&$Jzvm=DtV~AEw_nTb?oEpVd#Z{LwlZowb6b#(E1wgm|NC1 z+SuOlHBvVQ4%->+_X6tutSIk}MjP9G!;WpE-)9?#sUR=8r5lUaQs~J(7LP5^E+J>} ze`~q*A1J*!(3b%A-9gDwpt}MNP)05?Ewv~p`MJ=NQ$b^B*BMF;TxjVtK>N73>ObCO zpuIn5UwSj3jO@`~E@L*Jln!-;XXT8 z+DKTGkKM#^o=(52*U8FnYnlDVF*i@4VfyOcucsGMN{z{wKgCLXj%F&zODk?;(F$$5 zg=^Fq$9IlUGmmk#qK}wOHF;wm z+RpU5@XpIlHF>9+Jxm38DY>2{Ih8S<$ND)IgnL=JK^gBMuRb7m*=f?;4-$8p{D*kL zFLTiN)iW%dGiNT~`Zsgs`==Tv6wPm#o)ldDJEXdAqN?u4YhPact}h(n?5^sUQW@8O zwQz}NIXk0^C%PG1dVV~l$p;$g`LW=7RKqp;{h+yeijAJ0`qf!aPd_PT^3pTPx}f6e zXOyfW=~TvEe=9bOCP202K{}2FWgHd`3s+kT)q=2#uq0ZSBIan!+WU zo4lm_nwIi$KD(Pe*A~`-o;@dp7(=Ah)}@f9$-=X~fz)}L+?@Q+lirG#RpksH_P^qK zo80cI{Zr7U>#v2nxbD#K`$%xz-dy3z&5EGn_aJkaLdu*Jn0duL`cuGS|H=+!KN;YyaTvuAk_; zl5;>=N6T{;@vHd>m)X)AB?gPjt=0wVebc1^O798UxMqfxG@zwd1_#nd1|Knv@dHav$cta*TR+(Ldx;TywD|8vBQL2nJ{riR`T(2WeeC!p&adS5`-G4#QJ zKHJcT0=l}PzYXXrhCUk56%6H^6}8~LjT-uwfG%n1-vjzoLpl1SaA1lDJv=p_e>a^i z8PLBNx@;fyobiz4mo8_xHr5qgqK$<;XGpU5ckNIzWG;(`)W zP%*R`iY`C}tf5Z(Xh3Q0K-(@uTxW@$W*MjzK(+1Qu~^wqO8z46iiWcOt)RL#u71)V z{5Tzq=X>Q=THbu;rLMUnv^x54koL6*>L+P^FrY_E*o7~;;`Xt8$y$BaqlC4t*TeP0 zqG0MSG*CwRaREj9aJ*mc;%AzmxKh&=Kh(mG;wPTB^>GWwYsLqJLggbY=jin@;T6~B zT!p$yH2V5jRb(JMS|X)=(zQfXJFaiiR}u6UTOuH&!Wl+!uxPZhi`|xMW`seEqDnjf1>DGkGIz`yAG05+t5Etywk0+y3&y^W}rkc)Lh$ zo_hwAu?J9k{e{D~4c$GU7aO`qKp8cG!(IV(zKnzvzU`-%wexj(zY^khkOW0&F>ZSc zMBTQTR8u9iTSYsdy9M+zL)Q!Fy@tk<&h9jH?Ld3Kp&JJDZbP>Z=ywf$c0hk==o$h2 zwV}@l=);C?6VM+UIxV308MqG!mw~YxQohvE*bKEaXc@Z!WlRW^(XnDXzc6(DfZk*1CIMwdARHJY zD;yXv1f_g0=#LG3Q9yrS=#Bxs(ojm8!r@{=DLo2GX+dbO4(OK+ePclX%g}!c=%);Q zXF&hm(0>akJr6?b^s9Q9X#HMn-5{#!$gnSxqj)_Q?|xd z@eD(k!l~bmo|@DFyYmG9_+7H!u$Ij1O;Z$Iu+5bS@m)&q8uEhUPL{A2@7h zGRzEUZX*&mZw;3AFQ~WWV!PUREJSoY3oXX2)1=y0r%Bbr{If|$h}ICxC#U~ykOM%s zk{h(-7Eo4tr*Z!A-HGW3cN zS{^?`UT?#EBhY#+eSSdOOWN03XkQGp!F4zRd=HR!iJEwY_aqcdVk*;?j@K+lmoqP%fx4ia|d z?}9CVNg=+aM4C*MR@)(FJ7)(=%{GaBz20a)7ie?)3|cSO+jHz~fdk`w_=)w9*DbM6 z=KamF)I+h)>!C#sFESZoN#Nygdr1(>_3ezq`|KiplgSX5h>h(~2pCR^fyp0$~FuYw|=CIM3m*BDA;^ULw z4w9{FF&?I@-q>jG4IEs*QIlR5KAG1)kQQ5a`t9fAZheXNj=UY!tuN8?efLn7w66o{ z)|aTR7S;77^i9{1n>wF)0sRNcoB=2`WkIPKLFpG2^aqAgQx=rE6ux3w& z`?@t+QjRfqvV=S*dX3gGoqqdm`%b@_=V^gpXFJNRbp^F3y>L)^a0$aswcIjN#dn_T#MrMW}Kb$al}~1dJc%|27OKB7ec-` zT=a(S&J3uR51l4eJM*r|VM+p@moB5tq|Ek~La~(F$?X(cF>~dtqjHXrpFt!i)KhHHOOvC5Ex3hx zK8fEId5>Aj==nr#-t(4o96g_WRHP{7z|oC-5@q~Y!*z69hjX#!W6ZDTgjh;AXLpVH z^PT2b9)q&0aGuC~665O{?9zm!)HHGHI!+RU|7u;1`l^XCPD2UI`Vc4<9Nk_S z)lRn;N%i3C+lLvW_B{c2g#6a+^-@jxxLusD?eweK>Gsm89wwvwr7gFz#MdUo9ZEh6_W8iUON~yynm;B|zvO`DSiXpB;k)(t+D{kd z^h*t%rPSC*^hQ}y=4*MIxApj>1-lX9)TM>$VL|MTARQQ!B?s{IueAJJ-piMYb~jhw zM|z@As>R^PU2l{B^qcWJXV+f@*IkUW`+X?5?$~hsO>o`5;riR)`qGB$?}F=g=8C-B z-J8VK!F9EU>(zZ%kI5?Lx~;@W^9?R*Sp1e8(1QNeP*$sI>ViID=;8rogbWQ4 zr$Xy!++Xx3qg}C2>nXt-zPmhx7Tl)0JXFsm3u_+2^7oJ*a>@-dkghoZ^tqB{KyM1@ z7KYvuP)==!_NM{e#L(LVI>XRA13KN%y92s`p+5`gdWOyl=(>jfJfLeE`anR}H1rn% zeU_oW3g|Np{dGW}Vd%pFUDeP>0=lxHzYpk&hW;U-%NzQ~fG%g~p8~p!p??nOQilFD zpi3C~w}3v)(0>H{)O0{T}&mkQ{g4P7Rn ze=>BrKI-M~ucTD&%3n#Iel66Mzu3Z5YQt=5t%}FxSy~|Uv&7u1zXX)_2q-H-3hlCn zMh;6GN?S%-cjOpaLG_vY2l`aFaIa^s-d4i3gtLmc5<0D|(gvG9tu5@Eo7TR|P$Zkb zGDz{$mm%tVqEn3664Pbnhi4p7o?))DL>AQpG}8>dHK5*qizD{FYX6=)-c3x~~oDF`7p>c+67egc8?G3#o z@b#6!7qz0726Q*$Ff*VY+Jyn#(`ef{oF8a?wDD)+r^I-=(4d{q2HGP80X=;h;Q-=Dl#isg%UkB_ z;o!6QeA~3}z1jE{O+xFMydcQyY0)lO8RLb+UUC!AW+Aj&Ewpw$Y#wNDG1~UHwe$U- zAg`ZLv}xe`Q{&s7LYoBI+l;oHtV-Mtu(-8{wo%|P%Q%#=Za5rf9L@>y`aY2L0*8Bz zLpd`O4u=?rbq2Kj;VV(JMdMeD?h+56FAJ^N?-;siK!0lJ`T@Pi&|L%SUtQWbptl=s z{ECr(i)rUTdxg<%6j1*{Q{*tqXybRAes1Uvfx}gXZV*t9#hL+qz-YG%sDIVboQ`66;fZl9;R}QFulPYqcora}~7yHGkB?I(PMj=bN{%1p%3+NvWT{)nS z82ZeB(rY5LH3Ry9q3Z?oXNJ-?C^Fn_DD!;|>CWmeg5)?CiI%hi(jA?P02np}YM)V0OGD#@ufM z@aG@a`-)>hJ`U49G7|S~`>IgdiiNMQXa8|PeI(}}h%k_0aiKw$IGW_^c4_GqT3Uh7 zM#+4w-7upwPJ3nGyR-2vV-?R#|OCubTUTW;Y+`pJUaQI5lZ_QKRs()Zy3awx91vqS3sv5%Ic(2B5rAD z95dg{&{$S)Zs-pK2l_#TN?*P3r5_I(IkcDdj2b{YTkgW)DZ&r5y$*=;7rr_+p32~7 zHN=|1Yo~S&GeazVj5Ln?dmRwVbuaB>EwYEifLN3(-`Zl)*`(%x&L&k4olUA9V%g^5n91;kz_-2s=54uIfp(g4h;2D9Q|}10?Y85V8b@!v?;owt`9|yW zHf>B}k42>Q(4u5+>yd-!&Df6e*6UcTB=${TVmiA#Xvgg#^4;EOqdm0u@*Rz>*WHZ6 z%+}Ci|E<0K>m1^!@T-jP&*WKba=L_qy?r)>c7!0Hrw4Sh+o|m--`QDPDYw0)(4uB% z)U!vLO_tsl=sqdNpx)Q(R*Y&sW`&XFZnWd`L+vX&OaB;J@0)fjMm4{6D@Jv#L|m8I ztr%77SX5VxK1E7`HRYy6X#W~yS-}P^>nEXQgin2e()I=&hW7hLOM9wtm}w}jw}R3$ zfiG>vg3<~EWmc-7^rS$`Do`Y29$?P2F|@xM?QD4#zE8I>{v6O141FY^`xzQb+P?@5 zt*fqn)4WuAU!;t;X}cW}s-12}gw{jdjtJF5w7@?_Mm zpwye7r7ncF+YzB9?0=eejzndH6@sT3`i_8hJ0etPjKpG5o%N|6-Vm&$+mEAG@+-3v zdK4vRb-Te-JB-zkhv+2~?J#Em%8H$WcDun;XMZ&9tQ@pMuM3@Zdl6L+%q$e!xyZD` zXkbB~eAaii#GZCfw*p@E5Z9OZ%7OM7g^2pNa-5BF^n9_mVrSiM1l4x?Y@e?l>(WBC z^bd-tK^wf=rDIx=AxKKDTSCtA`R?`^=(uXiXUxa4Vzf&O)qYoBs2;j9sw<-?g+^Zo z(!K`nj!<%qXJt`b)#GJf`+BbVTlUQiaoa&OgqGrN53lEAJIYr##kl#(txl7jCb1-D zC3%jeKJIK%b;f*eX;02SO)}TKgoMqRn9_I?89=z1<8+i%Jz9YBEym;j5x>dG7h8+p z=2s8#TU_0*u;{lIKL-0jxE~cU2-jUt=)1xIsr;LzjIWe$vMwhs_yL9ds37YJCM)yg zxZW>!32&O9_;uI#?MMI0qPrd+Wc`52>aOt%s{U=(zqN+Do`vhK>eu7x-_(3Us7gE+ z7dep?6hR)4yQGP8bXV1!r>D#6uKKN8cYUwL#9j4^rY_A}%~c*XX>dgvP^9TB1TAzH zQtWm9SxEeztADZ8%Mkr4vRm`NnKe&d84^o0m&QwpV?!C@-*xq5N&3KZhOPY+W42d!EFYtOP5o6+IrMqfQaB1GLfXk51LNJbn)k2Vsb!I%- zHLa`PcpJ;E>4SydIh5AaSUC7@;r5=+ERn15oo1npWsl0efx~*nA?`-;F|gP>WCokK z-4i%WH#E{Zhr0vqhDO`o)@+Z(U4eu5_*kb=^x&=4$iX#vMWEfuWN6>@)V`l3_CyXULY27fVH{#;-b*ekBjNB0qrEI}7@anT<}!5q zuGQ9~MR^YBY*Mw;*`(?rmVIs|T{+oiC0#kGTIuR;)k9Zzs~)<#TlLVjCshw!IjMT+ z%1PBjS5B%PrfNAETZ2BI8P}<7X8pI=8y~$r$)3K_TkOt;P%GKZG&wV9$4{cTFrdB~ zue~oF`^Qcj&lNe`LOV0?^|Y9*k(|z=G_5ZWTK6<=U+Wb|a(ty>Ok+pmn9YK=D8}QT zBQa$(yW4N9dYC;(d9*Wc`;FniN|a8&Iunf^CQ85P_GxneQ1r{wztnx7yq7PzpCQ53 zUGMLwuKRtN`SsfH1mUCeQ22Ry!}Sxv)&1TkDC4B z?jqG*4c80$u4opS_pG_9-GK_&*TNEgT8}+*X1LxZH{me;T{L&F`EgfWL*{<>Zn)~` zrMtQm?w2$vG4R;C>u-YVi;eSxCY7G}<(v;`xaz3CyS}2~8fD(O;TltnH5h24ZbwDt z&W<`eaw}`MBXS_Q=vcYQXHTb~>$x9tc+~xdiN{M;tlkQ?@oJ;fGQEZWW z@Ba7Vr*K%=H2L+w*Y``&YAdvU3jHvxcOPRrYruh$;YbUu{XCIx2U_nBUK~(A)$%(5 z^&Uh#ujNKd`7KGj{+dT*xWUlUCk5R{Zpg+WL;T{df62G~6u9;nmsx6L@EPLLX9D#; zQ#=#RzwaAk>@u{6HVj|?I`4Twzx$bX+P|$^`uxc2v+D8dy#9sYs56g6u|7EX*N@A5 zKj@w&L*(mU{VnI2LVJMGmR*3Le)?p48n=HTxO~9?4hI_FcI~vM=ElMLZa3@uO3<(8 zx32|se+#YMQfCCdcNkyR*A!d#H8bt8Xb-JDji-mu?zGUN4E{yqnCB0*ShUNqZs2>L z@jWxd;&9{e#en*^71}kqcHsMS<6A<*c|ZA~jS{~me77VtX#95Z9foc#%MMG4c%7l` zUsawSX#MNQ&kyL2jYIq%@y`rx|K9WS0*C93HlC5^-(_x(+g5?YPmII50i9)Nd)&4R zv^N;-Isv`U(Dt~se~-AGL)4^y_j;`mnty@0Jr?aJ^|XI6x?P6NLuj{H+@2lK2Mvwi zhW2k+Zx(28HQM+U=wBGx9=G;ydbe|UNeJ!x#y4umQz&xyrP0Pyo*puE+ramSt@8S} zw^s|a{(b5f1k}Hg9X08CSS8T0(Yv>09dX%AEx=pQgyHFAgD`8BgSjqpjq7Mrl z={q~5{8J?5L1TZ@`_fm6YvJoXz`q3!OBsh@`lf3bZRxiVw)X={Ya2B8oj|?s6nm`R zvn=gjXuY*v+P`)5vmtIr2tBT+2XuQwJDsU^I-RK=Cfd%nHaoj9Sl@FDWlXH(LLU>0 zId)T{)tLdQ-uu?vz9W8pbB&{FRMQ0Xqaee!MjLktKh`FF45sO9DoYhoT# z)4#7{*VeOg9!)~9;ttWWjOm3^v* zMX~JjeWqh+y~cK`&;G{t&L&oZ$2!(q&_50}gV%-aZRI%6>n-T(TRFt}W3S)FQuDUY z-Nri0TS2kTYHvY%4cRVPdr8|~cSq~n(e&Hi5^JxI%lr^EYQT$DX^- zBF2`2j}v@8q>#6{+UwYMi;C&yV<5xW*~S*PsP*j)ZRZf}Y(t}Mx77CBa#x7)bmI_v zR8wg^0ah}4+o(%7tr=o2^zxy-EQ#%PpO%qH4&i_5M5Kw0Sl`u9P*4|D}X{}j;W4gJFa#phq;t!rhL zd66<>j&{5!T>8hLKHt)3}~0% z#-884E*hlnYF`f%=Y)N>I?n$3TwPot*sa)Cy>>cNJ#63ESwEloit^7&306w`ZfA&E ziEAgW$#JyPnQCd6HJqK!R9C(ZWTJKEYYgLRp%KZ|W35ex+27)|>C8}S_-dB8Cdc>g zJb5JB!RnT%9bcC@(RzrXF#^E7qNL1IN$pIg?*t14BpE-7qxRzGn2Il9MfO-$2edTbs z3rzJKS4I0O`Sum4W$v03>UM#t20QChJ?vn)Fs^Ct_8_Wux;==hhi(U~>ftcUEo0en zGfZF1?X0Zor>u5#n2~_dcX@O*ucqHsp{>!CeX*45tWVqSacsXU`?|8P-f!`Jka1t^ z==&Ah=bO5-C)Bd82&MAQR>z(d(5YJU#_>4cY2DttZQs?}ZfEVM(6n=y=zXy>EQQ*4 zaK~9xA32Vrwku28(!z=DC~xny_hZMhld|k^BNWfE^LOWRmqMDmXNG+2xx1tg<9de) z4P!_Xxw`?rtelu=zF5@ta`PNZxgK-NR>q;UHIQ>N89sz>+=bxzqRbOQ>*zNJ`UTxb zZa9>j1?riL0>uN?+(DvQEg)afTR(_bJil-v`+0OCYUq3l`6Pb7@qu94ekZ^cr zK>b|hxDwY-4&FG>-aaaa_MLOj2poQG9NJ?M&uI4(*4s~=ZjVL#$>OU986Gwn+Rwv| zXSDn2@$uAYKexI)Zt;xvdn~k-gA9Idb$i_68SQ?0eEUlB_PE6}+WqwSb`DXKelB?X z3DxbfXx|qQPpE#}^bmIoEH74#b;q_RbGD$dCDuNFKJT=PS!l!bah`3oai+p|*$va~ z+fm|0+)CNUn}6A%l&PS8wnseigZ-7bUN$IUc=Hp1O6>&dwNpFaQW`<)rBOMV1JrAg zGecS@}*XUhq zMYZ!}Zmm#y`iMa6XL;|oy-Y1NFC4l)uhv6dpI7zJ^?6kf-u~_SyrY%0v8U!e_pZ;Y zI_vtp+Ll|8ed)3E(Z|!*D`U{(?JX*Y|1SUl00960cmZrwJ!lkB5T2Kqn7>PMm&9By z|1lb|O2T0yNH)9g^qKu*-7^G{Cs>GuB!U`}LM;TbF=7z~8?mwT0x1NmfS}e2BBqgm z+E^&0Q7oK!d%L&0W?}B#d-KiAH#6_e1nh?y0FWn|j^P5mD88_y$ECqdZ9)1T=hAiz zPFlE!!r)Ugz>St$M;Y$2C}d!1wsw+xQdq8uM*wvwLy*Vc;*7BAB!fawLwH>G9goh` z*9duZ_4oD8d)gLI_MpIhQ=IV?o?H(@6aKz=_tc=H&}NP!V@JBqJW;Vr4)SsdpuQ1h z^WsA5H`?}~P@JkwkZv5_0Fwy0U%E0zi4G*;$q=%LT7U)=tj!h!$0(hqlL?eqkhGPm ztkh4H?KwiLqU}>*KjtTYQ=VZ%(kx|>VF7M4Xx?`2km(poEK-z?ET)4H%Tblj_RHK= zvK>v@?#YsH>Kju{t?U|qXqzfaIxIT~orwCn{FeAZD@wJuSZOw{l&<&c5h)2+Q!3W1 z4My;E_1yXt^`O6s&=+*mvAGaZH|OMRxg^WJ2(BGnxK>rcpBvK;La!;k09#BK$ss?q-aoHEU)r;^7(s)JawZOz7@R8lIdu^G&EnsQ-H_A#E7n~ z$b4DL^#SDYe}ooxfMti_2%i7iEZoHf+T$4V#RgR~7B?2DoJk*~r#C|i6>k8R@ZaF7 zwnHnmh~l}^E;hy!+z6Lwh#bed^+!h6(14<(jGVF`+<-y?NzWlf3Onwa^+mwz2nwr2 zJP;VU>sH+>uT*xI5k(^@bVvMHN95!tsyeD_OX!{x(CLi;U8tn#NA_4)PSa2M$VQN` z@dODv5>kM}T?^6K0N+S=cUC$924FiE;^G*l`5LoOLEJZB^cg zQIxwP?G$Q4Exr(?oltXg^x60tDx;jaUAE-Br@1b#jq*C>=<-&jvVuv`EvDR+mwx~N G0RR7|6dgeT literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp1.ddb b/YL_dec_counter/db/YL_dec_counter.cmp1.ddb new file mode 100644 index 0000000000000000000000000000000000000000..cfdff0c2aaee0518a0c6057c688fe5870ab84ce3 GIT binary patch literal 51628 zcmeEtg;!fm^M6}fDBePGFJ6kf7k4XA99rBh5L}8=T!XuNad#&W+=D}K3$8z&_xZkm z#P6K<g$(Zz{^MUh5j&c1X$SFlC!ciu`scci#l6a z87~v7+W~K-1+bx<)xWd zum1G^hrF^v{Fjyu{Yzb6A9^9R;`CiUA7X!a*OFuC@ui4-`CYDg83$30;arlY2({rm zs~iD^X>&vOGdv^tLqfSfcijCI#g>LAnkpZyK1nR5KHnZ6f-}-<@R%Sjf42@{Z64Pd zynr3BOFN+8aHVZlJGFLdq_z)@#Aa#D=N+GaS_?gh^S3OigAlK?w z_EI?!)%#{w)uI6dJD_5Mp4>Q-GOUCkrj5K+qvX6yWJ;|hhssT@go)x(`g<`-q|A}5 z`lPiQ&SO2i{3@E}Kpj<2(o*9`DF&viZSAw{v|6+mOITq%;X6xek@2rG*|;vh3hAf! zS?K5Uu{BG3ypuNH`tOU@x}@7`n$&H%o>pMl1_0gS(K;dR--D?{%c!TGY;Wmqqy0(J-6%_~c%f9zNX=iY1r?_nxW7dHYy{Ln$Uh`v#E>%8_gjct1SLT_rVi@AwawToq) zIsSAIwD@bbiE9=uvBKGgK&!`FV~`$04g9IM_l?<+{6{Ii%F17yo)ZS7iZoj(S?SPU`ok2PULOz_lV~hHEU*mjmsmR`!#VZ)`t$C{khU{PU&Szs%=8VtI z@;cCu?pN`Y*J4f}_2;M3WZJkP&Kk%hUyUcJJ z-eN0I_~+iT3f>GC;R(jJ^E-96I;OnI^pDnBo=%w!2fQ`Ft~)_CPBa?~cW!I%pQ8hB z`BAh_LNTqP^xBOJg5E&ClF}#Be<4>rm_6BC#Gd1`Qq~E_k);+LMF0#0j?BzjXtm8A z96TRiyRIg3p#bV_uFAsORm*REIde1~*KG=~pYPU_hG`3ygR8sa5}NaJP0QkMT|E%P z>u8;wjb5EiA;8z>@Z>Uu=Zi-RzZeieV~_dW`;<3t)l43?*^vs&oXg9FJRP40IzY9f z1tn?xOA%C+%_Xl2V?gcN_X{_&jJ)XbEj9ynO%I@aav;jP=Uq4AES)7)pv zsdFGxn7`&KIFq0Ajo)Iu@=|9K4uO?z)53JWCtzsN?LKqLrv@HZf6Z1zVs%`-lP|Cf zodjqfMHA4#Pi%9#Q;cWC+9Fw#FtXOtl`^#WVWb0=o; zR!LKB$-g(UZY%R=^5ECI1}PU8WK5vqY=le0ncZYYp&HS`48i>Rekj?T^7}G{!sk|U z_^)3!!Nrx+&0tH&G>f1f>D{eJ$OpybIumBMdSD-bosIKCZ-a=W0)<0KbUt7$1CUW% zr|?X!DmJ$_>Uj`HprrhMF8= z)BDcV1_2KHJsa+0gO3n;DJYf)CXsSY=|!0r;I!(gx1g2F2`lo01Zk5!%xRTfs_=bf zFoYFxB$3;nP|&6Uc8gXzTrO`Df&nWX7nl1kB$fWwFrm;uRjmW4e@vPDAZO{;BoGLHLD zRK1Kwri*luX!S7el|Vb)h+E*njM!vHItN9~6~*mU zfkc&quYlp`#d5=mH5ZkjgG3>s@coP zs9i#90#LIWr>h=C^p;Pp$|;N=La4iQ8W?YljP&aP)?v4frbjYJm9S-^>y?;x``*Vr zqt#u(pJQ+5xV>5LSFBw>KPH#iT?iF%3c5By*~zZ6lKv!rs{|MLAj_|u13C3}u``8Y8*=hOXYcebf|}%`+L)#CvkgU^|(D z;b9q7cA5?{C_}%sWB(O2+;ZPPqOYNImk?$t{J1;w)Mlm`<_kl_H}L#%1G#G#IxTlO zfuKCklr_bz=67E+px6yb)uu}yja{c}OO(sRYw0+Y&LNH6R;s2dMPL%Z7durU0Lv_K zrw{ZDV$apN1BGJxM5#g?)k+;i_sp}BAG>sQrZa%~?6mDynQ@k0N&GOM&}q!nAqoa(MO6n;_zOYw;7`NuMjC zZ#QVD{!+>H#~eW^;Z<#Wy~G`N!qp0>hYrMbI{L5BdAUY@&g$HqYe!LHjMCgadIzC7 zDeoH-R_tv@3MK(9Z-O4>?_pFe5G!F zk{mvYiHcrqEJJib+o9W2*ye=R#m1^@Xq9LP23)g;mERW@JkKN=XM@?ON}1UUxwiIa zs;k+1Sm30w&;B6#xv|8dWIb@!VZ|z)qp-Bc`-QaVMZ0Q?K!;VT`Sv{_!I8wK)x^=R z!#)NBRU+@5g#}Av!IW{+nsdRJGlHa>{a@EJ{bj3+02?X={+cE@XS}F&UJtDQO@5`_ z_{?h;pVo)V2kgmgh<+O9uJvk`>aT0$)`z`^sVQW^T*Q2brcrBOkO)Jj_JKYC{SH@w zVJA!8`VGxRw&%}lx}FC+`=gKe*GWczJ&A4s9gK1XAjwk z8;a^a=4fqg?~9Lq&=6&$#EuBJ3A)qNc~3g8f4^_VYw07^FQle;4*R%ToPIY2wMt60 z-TAc;$VSu4xgX4so>uQYiVmUR69~X?wx`qTk1I%`d%-2T=w}Em1~1d zvj|nOzM<#0O@W}=(%yC9Y?adW4pEcUCx#_3hW*-JW&I3|w*`n0H!8OX+eCNi?N0SB z%k?D8%Ig?tjXbeVR&|fU`+{LuH$+5!%jQ?C7vbw^s@Jx<7=tvcp`7`@9zXt>^RgV*5baC?~J(!q89yE~*Xvx;)XrkX4n>=G{a zG*E=X2#C&wOH&ysLw-si2OKP{$@Mtmz+l$IYG^uOONYBz@MsamTh}BwHxe6s9I_xx z0-<~tJT+$U*C!cW4YTLw(e*4Ncct>y9WPm5d;g@a%RddqlF`?Fqi-cYwKPr-odE;Z1 z$x^KoJw5KV-R~SY%eJk&lX`YfBBe+VKo#~ITFEeb2BJWETX`U`{cOqGBI@<(SZvG? zqja>hOXKrOfcIV$lS>n;%826$QsLjSI-SFBO&-n5(vm^|>egmt=HSCs=C<7yrfaDo zL)(WHGGIe6vH`30me4K(kXJX?U5N`be`#)|^TaT8Q;};&gIl`ys#JK)&z9qEDkFSYf&9NN@pPy|LPtLwlrfi<+I}-3 zLqDtAa&@-1-ge;JFpY6Hn#);cP{o2QhgHbBF_h>O3|JizwS7n8>ewkT&n3Wnak9VF z6Y5tZt3Jx~!{{;e`LikyTqcE>yeqUj$NE=*G9MjDJO&|-Gnz@;x4kSUWxicq6%a-% zp1Im|(co>C9FiU%i_kxHWRXayoTH8ZTTAx4M(qD;{bR5HS>k^_@xRsa|5=>ywEYp= zR$TsL?Z^at%9tq+%4pcix-P4$`I>*pS-_~3s1IHj3o7JiZZlil07U=cgr4o?@=NAL zrPpaJCXCf=;YA}7>Cb_4Fp-5uYk<|a_{@(qHYwgUu&cl>QaV$w)(7|u#ojE;8pN~? z_X$B$+T4cZ2IUn3p-g(=v5UVtXa#f$n~sS+B^QsPgh!WmgVMsLI`lBjmN4Deyu2Z# z{-&`+fM_fAJ0<-c(paT2sD=X@iHRPsMe0SHAdq4C8{^?Hoz+H1y<&&gby7Srpeb6= z0wSc>qQ3alqBpf&^q$<1&YzV%T8)4I>|#eO5uXDjWNiCN2-Tqb>@~Fsje#!`WYc7E z!hh0uGy9#g$ig8@W1{WfzS+QFiAnlZ*{RWvY6X-JY#e{`R6DMEY6ob1Wc`V>CYxVP zGIT{8rdf`gzN#05m+MVlfTT=KOxyu$%Q|^Uhxev)7v=0fz6dP8YAPx&*E*i4*aO>@ z#lL9=ldBIAS~45iJt{U_fb%q(sl0amIHco>rB9rfNh~}dZuH9O5uR#bW4^*Sm^`+o zM+FScg@Yd&%@Mn9RipI3dYMk16L9ZPX!taqF`bvUZBFieF`AWU&y2=Vmn}k^T^4Ni zxH~;nuPJz|Nl?g0j%wcd7aVcF-W7Jb-O9aM($?w;`t;4@6hlNSb)%1L+D4b@Q``f# z*6PB#|Kes{A1dq_LFeKxBkx8zx213sn~Yd?a&mBtH=u#rN~5+uZ&!st*(xU~KY9d& zqc~oS?PysomMZi*uj%F@iX7?&VMJu+29|cQBs%9r{InNqeK-c`py@x2A_N^FH@0M}*MG1PRpb8N=V}+Y%n5nYxcNMiy_@<9p5^>!2ep3}Ok7>05<$ zm0mj88lTUsb?v+U4$hq{M-0BweutuF0z*v0%=7+0DiDen?>v00gC69f)s}Sduj(e}NM3IPKVD zXZKUliYjocwIv9ndEA!2sC$i8PIP?4&ux9!%7(XTJTxcU+*}Enc>MT7 z*7#aywlc>jbaad~gg-$!+3dlcua7WZqwuNxhxr>}k>t%MLSmjz{|LK&1HMftb2#mM z;K_ngd=sJl50k?FTZ@>ls6Rrm=!=kp#~y=@@K4Yf@f)OC{f(5ozqTgxJJ*ve=q0F!Y^j{;{|J(KdjPySv{m+yB|6Fc4tQ?3@Y&OvQXr{-8uk)k^@)A3G0G-m9mpeq7VG0qDt1ExAWz7@V539pMgx1 z1H|)!<>hnyN20NZgJ?_3SSQ!B%LY*?w}WjVY-k)M4GR&RP3MW%)I@bx3c$KV$F|t@`*2XAQh7~OC;p1ntNM>C*8Yw5bJ8%iuSto{yi znhsAu$hB%GP z=N9~XPfO40z@6hqfi6(OT?Y5rw9+YzQ9~Znm6Ds|?WEcI2!d2YL7Rc0`Gdi!eP6jg z84s-Edd}31V=#iNhFbsOZ@APZ!YcZgu< zS5ub4>1~=Z7j)JNJtJCHij_ytnxKoy07*$-W=aHy_T4H2FGi`&lfm&|S@|(dx8qJg zJDc5_Y5Q=Ca!^3yuR{w}Zg~)|i@)D1%-?<*Q$CJ{BNx8`QbNieOB%Oc(hZVK1`$$T zxymj{)^<|AF_&in&sHEP5H3eMGAKL z<~K2nl;2G4>9%D(D;m6RhGUO&bb+uqv)QpGvmqzv;e>ZN`gEo|%m>sjMa_NjxSFh_ zhkF=wvO|BGAs^T2<(w_ZFsV-Jc`~o?*}lnXH?se0WG{@OFQ$|bzNPNQ;)9QPG7xrs z%4txUWnJ)JSnMZD80%7Ynb>-^uwR#sN`6>l*Q@wBPSY2N>_hDlXK&G)qOZ`FGkN5q zuNDh(8RdFs%i)VyffPPK2rp!MvA`$VZT?-i!|8aT>B+)w2z$tp=JA79Lv5{z7!i8h z>_alb<#;o%B|tjm1B^EH;BM)+V1Y14)lTrMaQ9=Lt*Va}Cl`a((<4D#`=xOt`*GUA z1&`c(0)dVm<{{Aao&O?5eXruS7cs|1bCjrGqNeSg%n!VV?KC|h#_!aLeo-eN6Y*{>9n@Vk#5_ahJyvHD?b}qcVfwG z;wpqrqQP#STpC@oqpn&u=i?XEuZ>VKYc3AAlR1M5uZkS@(9T(iNFu+nZZ-u&+%TDa z%MI4@ud7~jw#Y)X4bE9MJ%63fmImkf@LPzgOW%%}icMl`q$%?lY`I@_M@)?{-MTN% zgh_V$3!XYIE2I{rlDMcXDk_^56V$Qc{vWC&m9M&_v$!)E0vffE7q&w<@%U)H;DAsK z=^XiQj(V|f1XEZ+p*&={Q#wA|$$ktbtF48i`uk)rF0M-l;}7hpI&xnH1MG(*bERu0 zETb?qXD#pnyey)TTM-^7(gr*mPfmVH!z;`|GZCP+iuwx%ycyt;s%%fNiByzQa4Q#= zVB1OS(AC2C^SLL$_wth=*j#7NcRyAk`k$J4_j%(T##nb6q7}wlz@at_QXc&08WSxc z`p)n87cwW~tyR&9W42yC$6Haw`bU9ka|Z8ln|XWwzT}1x!o+1d>c8I+enI&3zdZAQ z$@t4Q=6^eu|8493|KIy^d?0rJAPe1nrnIt|5&kB>`z$6qz>DFT(IsTo{qXL}ehu1w zUpFdj?@qtsb6xa^zA|9rZq41w+yI;BtiCX*v>I6fwc90peO$PzaLoi>fIQ63uYWus zttfF_^E{RX+;)KLfsT-@H&HF)&($IuO0nqlHV${R_t}0FtimFM@@uE=PXHQXu}Ug@ z?e`A#zF0MB6Jgv{omi`mOq|~h4LwP6yQ`yGd;4TWJ57+V&UlF#CJE?ku<$5lOh|~B zV?dFy4`yj*QcdDiK7VC(V=XV394?{Xgr*(bx|5&aM!5M?!k08yXbOr}*$u4b7<@(L zG-kaDdtw=;h$b?or1_&G?s_p-GnLI8AWXr((y4#raH; zx;LLJY?y}lQbSNA7WGc}Qtf|FW~<)$2;UJGZo+*4>DRmIiv{sydna4fU22lm2yNjj z^_N9w*qG&YiiAGXH@TR0f>&#sm_nAzc@6_gWp&4{7unc~`24np2P(AkNgPk}$c43} zz|-uwNM3KlgFGW`T2g-7<@wpo0Wy!n0EhD22Iq}_uPtkdwfB-rWH3?>8vTc`7`#cUqP6=^*Wb9MufI^b}>BMFv z?=QoH<0Xvc6h~72r`=GduS(W}he?|{*8)2)79mCL9d$a#Kfy0z;UnLt?6#B0lsF7{ zwes2`W^n0`#%rS(WqmqC*OFEdi5@mhd)owcwQjr!`PI_GUaMx41T11n)+GvuPi^5m z+vCUs#Dca1Bsx;Zt$GKc9E}meJG-Mg_lH8qy=TWx(5`YX?FKTbZi+gQa@Ma`uc|Ijy3dNE?`nSK&v=J7 zz!Dpe^(4biZ=Nt7#)p#b(mMcgl#fl!Y_2yDgy@Nn1kDKL*PTBmk+5gx8?1)3l+O% zkWJ}AXgMJ89=v{lkVs#xEpbF~z6=uLf@X2u$Naj|cxlt#Rz&PgSAB%OUl*C3XpHzk ze0FQ&gR@NxGU1qd2XhzL_+}IVJ`D|ab_4$3wN>Ju(SrM>otR=WK11TAS^LW)lpT-0 zP{$61IO!FB+`EH(jq!e#p#5$#;@vT&J*yNT>_M?16;b~cIEriOnQRcmyqn|~stpUL zmx`gbKd+I(2Rl2^N8 znCn8=J_jscidb;~cOFTe@D(^4*FW?18#K@)*y{!8RD?HqmgsA7XcX1@ZuEXZ7uA4h_!SKQ;0pw zYwRmTS~5zVc@sp|M>j={#iV_4NJDRP*HnV`B7b*|l;}jDopBB_=nh)Hx5~mHBbZ&o z(n=;979u;&&$4yEPT@j5Hmtc(^P7^N^C*XpOeniH|6-`JgCf zJ?*2IeAf0?P!+=CrnPwO`+6BGp-hT-i$Fry*v^)UM%8o|+D-l^`$Vx?I29s1#4zF?j>3^{5Tcbh!g1f$zQ379X*d-JVpQ62Bo$u%`e zaCbYLUfEGOZmUYqMsEzM{~1KKN`OLWXC>GVnA?WLg}@ODMUK9dfrlXo*mqln9P!xaLXCy#eR-7nynyk;6nyOQhvaHb8KF z%OR^Zgv14!&k4_W?#1W>}_!y}F1CQr!PZ zM^oA_&9?ws+l(q`dE~N#PC+kSc0Y1>@x|ANSwLJLvaxldc*8}(p_`Z_b9{y!FOCB> z(P>8ASlisYyE@yXAs^@($ox(?`$__ucpmDUJ!mR?iWzK2l%~#_nHzAR`D75?Exqg&fynM^-%GBnta_--F zZOAIrza^Km>7rn+d&lwk_td7VR1Ht0BJc9*=M?{LU)LUwA4|!R0{KJbIq}=m;48Z* z@uvz0#y5G#^NYL_MWGH68scta6Ip<5x3_~Z5KIAofaOv0xgyQvrg!+3K$)@JhTNAv z^Pt8CYb6M$Z57AL8oSbxWNBU?jQeM?!FhnJcQ^DUY?LW5uRw!<3Nh?DmQ1}i%7aq@ zrU47#Y+k#)8ipb*Uc@P10S2JtE8xIk9qf0mO9T*Yn^A*v@#~SR4dF1aL4^j*8`QsY zelu1$EkQAC5ATr@TW-j&K2)uwRSpc!3_)i>8U!>usqf8F&_wf9QQu}8HFbi&vu6`y zc3#6knlc|VYaGl-Z^h+<8~g~PfbByAgH*$oK=8$lGghM#%+_bZf1F(n667~t!%P18 z{l`-=7^*k*R6%sp?ap~th(ycU81fmNZ9)TY#Dmm*$;8g?T)) z23I#owouf|VI!(kYh-Sey0xuB#ZIna?4IrKSE`$Cfn0}-4R04r&&{TrvHtFwC6GpF zq>}Y=GP@QI-_TYA-|AMw5LL6eN(I@`wsQg(S~H_GHOm-Ih>9NFmjZbSwwR}%C6U*+?(0ba3;=5&$OOD8~YXW zt(J=XZG{+98j~efPJ~UhuCtv?D4ZFj)~wK6ky}18Vo_E|!R)g|E@YPQ`q2;K+^oGvgmbA|fof{8zrTdE zZ726^{^xKFnIa{eK(N`l<(J2y8@b|__EL6MI5@R)dLnZ(9Rk=vwM2X?Dpf2%D*Q)Z zx%)FyHWQ+AX$o~&2A&|>eK(8Ow#mapd2sm4yVwrPc`_F!NufpI14!v830--0OmfvI z<;gnviV>B>M~!mZ*r1Zbu@1t~GhoE>(ov90P)!z&vR~zIL#GT9xI%y=?U+4b#t)2K zNB_chl{GMJgK`5OdTNE-YV+A?&CljvWUrK|ukr(t2z$u#1A|}O3e#T^2Uc& z-c&@5R?x7oZ?j|~Ik{-}8_-&n{SUbOy%nayDWQh!+9NHu9^uT-^Mg@#!FIQTDD#ZH zo>a3thEeu51GxOjlEBnG2jrq?Cwb+sTY<}q^eENL9ln$NLiUK)^v%U3Q7Ov!OUF0e+~p>1vjcy<|k3r=L3ai3SqUfO7M zD=>QzUhE`$=eiXXazZX%)OeJK%L_vVrj%YT<6bT+Un-ix<)2?_Jg*2$HGcMWWyC#6 zK%N2|^#i^ER8mS>Y8p;KX@4DXA|=$}RAea<9^@qFmF3}qMYs#?_-T!gE@)$iLIyhxS6@7hHm8YKCCTI+*G^Bb;V&gWGlAIie<>1X7;j(4xkMgfv3en=^Wxggu zDM69m|E{*cRCT^yZ`%zEKpO^5_&NJ~n{<03C1S!uYv_7h>XZVh<)%?zk!>G)f6>3x zjMkw307LaK5u?}|WfoC{M)z>56`w-vMcY)$Z3UeK@DvV=?h7Z;J=Z5o-4#kVn>u9| zn8=GErJlvN62I5h8kNl-cjKhmnl-s5oSt!g5f@nE{m>}6E_8isl$uSa`EiyyKxTeRcUoBdsBya`ah4(O` z4heF$5RQg=GGm5a8mrOjB}}p8hRSr#=DMEmeu|QqG>ABRvmTGgyx8X!4s z@NJA@iynh$SzqtbFEF8=;fRiSQ`w`9S|u)=NCj6Lsc8L`P-rsAb~Uc`W$=_+v{Pem zk~4rS%U03sS$bg6Zj@mTnxp~OtqRng##LbqcN3^K0w3o^IC?T!b@)#`*ym53q)U=w zKFw1xdK{!Gb~f@Bs5E(C7N` zxa1*>>w(1%sU|Ey;-IsRel_!mw)^SGo5v>FYU?96)LLt>aE?3mPD0TIu!WtKLSsbB zrET{_8pg@FL_c#@9$LMZtH`j0;? zC?nh)X$G_VG-`+EM-lf>wOq!^rFm`eQrGg3G9duO z|GfF+o+Hf6z#9BV`aUCs%Kvi(jVa}FOA;BzUtviT0`|be)9P?u?rNhW{FsB;qC$MY zw|E9tT2&CUP7s61ki$pp@TNcWINGI=B?7;gOAu{dYqGg zfd_+*VQ$flDoi6Fe;19}gdFG7Et?DmR&G?9uLKDu;EbFTPwB)a5~~iXua#H}e}6=p zgHY3jxjw-7xsC-WEt^RlGKdH5-x{hLE&uKM50tlBxx^ zI3oz1P<;>m(^7Dm7YzYHz z&X+Z!X{l-(oN}507jBg zXL%KR`FO+bnIa5$lu7Ls!N`WVbA$3H*1urWgNo|Hm(=%@tM36o=7R} zNJ~EhZaNA(aJclOEhI0;jp@4h5V9U0CKo=`H5d@t#=*j*EOl(y_QjEL6E(-h%uRyN zKUlgbcs^bLx|x==q71!&tWhR&y$^2YN7|x=lt3(iPC!(hCZ^+7>Eb7YQN{yZBAIYE z3ej9kCJI*Zjz8=Z#XhI2z*2v)0;RYRC#@>f&G^u)sk zs;1r~SkB}&xGSm7f`ty2LgR@3$*R;q0*>y;b4_saQ>_JxTzI?ABN-&;zS6zqnPoRw^nMg4DCUHkhMz|4vg9XQxDP`AxEuN*{tl=_Q$C`ad_b##g5%43Y z=4kkHXHGQ+Tmsf)PA$Rr;w9XuGA_R%#J>^IKu2XnM`AQP?L`9wHC6$DmPd`1<0_b? z1ED%Xrv0~Ad@%K}NjdJQz#hMUch9!CN8evil8lM{2!W$lt0z^TY4CxkeJV7xFwV(9-kkhKZZmbT}sc9BcJOGM3l zrqHg6Fg;m$t&#Yw7B57-k5}tL=eGsTl8x-)FYQ2DLHtXay+1@) z;=qlcH+d)sG;U4XJ-%pVf#K`eswl;H|0qAdubcUZq5sRRIPhB!EXPXb9l4v`UB`Q| zYyaVI-MJ!jvv4K-?>H1Hh!AS$#Utbx#V{?-SbTV#uBcsd@DW$W`c$M;E%R%r<6i$o zsN`p(3LNLCmp^0f^Hdu#{`j`-UMCr%b+5@pf4Zk&a;7^Q8RG7;<0un+Oz=VInW8!_ zNsR8nVZ`>rX_nY9w25yu(0BUmbdpX(?rNmjgx?3RrgDAakfCeJtva`8kNpigo&88Z zG0}`5t5IJgz(MUtOB-8tS`v0{h80*rjTshGTFlCioX(%yOhj4fD4Y3_e55X#J$g?R zhI^h2%Q+KlK9|;5Z6`Ug%FltaW;pWquesZ5IR=FEKT@LY1>;FnIDDS_Ijr7)s_-1M zqNiqO8-LozTY-@uwVk3*oNWnC|LB8NlZ+2~X6!dfRr>T3I#cSyu|GjVl2fLhQ*CZ1 z#bQ}C-o!VVtxqq|%Y1sC@}pdEHRM4C?eR_}lxWsaW0kBzAA<5U4w;R2q~5`c4+O2) zO1V*9VDLLpr|VN(giN$CG`;m_M;9-*vn zSZ)GHYhEUnd->|F$M%*{j^C(%(kQnv_P(iXednbWx-gH4&r4W~66&7T)7Qb*+fRNo zsWN}-l`$f>+a6Chrn969-gpTASg(7qh8EnQ+6bf0G~Pib3QXtD{kw#J*q0zTpid0K zuffv+^JM;I?sCSO`s?oOxuR2ncTBb!+dJ<2<9s~nX2l<3>XD$*M@uY$dRKZB-CS=T zYPmR3zgu?ufd1|`+}vN!@FuM1l18#fmu;4soy3%8?zX8)tOJgtl|k?HFx)_w-u)|H zZr=$!#+rkBrkh@Y|9vm5wBIRAlEA26+yk$_Gt;p`_&xH~z{9%z)1NB%-W|Lugy;er zJ3qsU(R(v=j)RpbHehZ<+sCfv1TR!8VxsR7bunnv5r^+)kkKbLL2ZGo!wjRC;W5X& zRu-%QztzmfuJjGhUP8=mzR&nR-3`G;;pf@BWZTIx=WvY;W$l$z80qIJHA*Y1#*7)DDB0T{&gb3fWv4vEX^9Rl)Om6bV=_Zh|kP zo8D+*wBaF<7U|>ceC-nTZGXSLxagj1;;}7au1$A#4tEz|xY43qb|e)?G%o^O`(=n5 zbtCVBAr;$8>?V1uh|{poyrjiDOnrn82hqvmPYsqldwd!kws9!HFJCDXsVJM;)feHN zYsx8`lF+IV*ZLN_Bm=hP?T_Z8>75fy$6)DQcVfx8MZhw;8q!Y(%Xq28J%#7aE@i>|Dtoi~UTt0kiiG#D(wypW3u9U{v-1Tq zb7n(5TLOK)(6l9i*aPxHtL>yP&#B&!C(nm#~$hg3w!%?5>e&px=1Y zkKGF#J`L1#{F&aLV~~-YQradG_mRd4Tkepr4fx>k=+g1f6Cc|l*O&KgrZLJk>>u1z zT;~VF-SN!)7ThSV@q~Cs93{Q%DmLY~X(EN4&{;P$!*)-O5`((1N=VY3$s{7TBzbDp-pe2jIbO7f~VebOTzf7j&A^D>1Wl8 zHe`QIA0+d{Jep#97i)6(aj^ij<)dwJV`6s+Gtzz&*!g0Ze6v@lT8_v^yy94vF0t_d zsI+z0`oWB$H_lmbJ1vfkIpj-;#jl>Fq0#05&%>1{WW6>P+?yU5(lKFNAijW`f)a%C zm6{$DNwP^BykQ-CB?~s@(3+N zERN;3jv|;O=UoRq)tR1tD6g3Agmf`x;>UZZ`!ScCc~OlmiPc|OJ4eS0ekqN>6Y9lB zSbK^{AyHijUbF5K_R4`wBvzRY*J3(l=|`R2C3Qc>x1OQGj5O_B`|Wk>UXD*`@?^Z& zWk)2VU$6ljmj#X$ZGb@m4eTkoivo=ym}3ReIfG&JtXL21Mqsa(z4o&oZk;(5;pV-; zll{%lEA_;i3GDB?jYVTRRrwnp#;c!gDvZEw@o{okaAFx(t=g~*nBR25rO+sKBL;LX z{=k(+(}VExlWlT^f;ixn+3$m@s!Y&!`%&LWNwbEJ+sE7|!kL_XomU-^`-%+W6rm^Q zIUW?BM`n+S5^Rrk&Hk)Wb8{+?lD9{4%3 zT*BPmXP5uugnmVxrj0{-34hyb{5g8ZZz#RX<65&K>5aWzW7J$N6d`iN7$(T*yIb#%GD75G+s!A{##*sS9ENWMiT>=o+SWx7Z|NY?s;CC|S4|mQ6!e7(7ja`u zPrJc{JY)b;Ncukv5pScqGEb(o2+)@~v=ZfUW4%7BVFSFW{$64IhRAd)Qq~Of_m!PL zH&w*U^kx5hBt z@9u`X4-^?tnWlyW_Y>1f<~WN}EkrHm=!U6^3$%(&=NKLMsZyquN1V{xc*8Nf!P6Lg5zgPG?wQ$KR`V;sawhJq zUAApfqj#p;r~95ZA^y=V91-Cq3*Ran7NRx%Q#6^=N3H~ zBhxi|Ybfp8yD0ooh=)9|_b7@td9F!@d2I6e?y=R5T8(m)+C(h+S+dtgOe_)R1Zmix#*b0Z z*=?nxQ&>3dcy%ui1b zTrXvwY`DKU$8%Y^XKBWIkSXkDu>Kp-0H4Ryyx!PM3{EZU$omnJzp6KHGIe_sg$A%b zBl7F^lFDG|Gv7rjO%F54X%#kE0rYVNHMq{BuPi8$_r!%-3X%9BkMmoVh@$)Z$5W_i zL-NH55lw=bHEtw}$l*KAOeGGPQ0%M5fMamf-Om=+0#%%YHHrq+89zrMU{sNW^?)E} zk@Z5 zjTu`AQTN!G8fr#ZI}Y{X1@_Z}=zLd&%EH({cjR)PyZSr<^`U2~C{@GZ2PU~6*e0VP zRI^VSwQOHG4Uyb=#tSZ}$nIP+1wEKA$p9oqk+^(?$RzQHfsu?MuT6Zs@yhQak{O*8 zvy;_%LCbMX-?p0dq<7x)N1>9q6`BlO0Ux{+PM2mLc~xf7yUE-?=mkJzauhs}(-Q(C zTS2Bgw<`V0k{$bPF9CQJHIoeMavvvQyZvP7NRtS@Ab65~z)^3tmDwVvxK*R;)A82& zwVV5AtF3j~g?mw69^KSt!1X?r<5fE|HQc>U=dB8p3|Qf#VZUcoGBB}4oR4V`z+cfY zYVa9AZ3=(!l;HO=o&$0-Bu{q8ra~mQUtz)h4;s75gW-*fyG-bn{7_!(%P=K8GIwp) z082x2Iy%;_MV*}H5f7U3cih9A5wKFV#43QtOVF|E9{c|C;5^9D4RxcBRf97BkwLj0 zUu=Ab4WM42_-+iODMLR)MoBk$aGJT$yoX4+VE zPTk25tujT6!b0Cb7k7VU;}n${0-<7+zmA4qxh;eTwyu9=cV1ei<=$%-d}Jy#lp;;F zJ^BHErFvO1&HY`T@G51rUQ;4dxY@AQT3~Y;s3|WE9xScs_~uXhXK|V#uc|}1!qJK= zcBF6(C+f5ykc}RV7iv60-_8&B3v}p35rD48qX%(rZD}o;349Hry$Mk{KaXeMQS2Gr zH7E}reNEsI|DztDnm!Lpv0g4HhC%;wg=8@Co=L(7hT0l7PxwTnTWsf&Tf+0BR3U`U zcZy=jjGyO+P0D3Xt4N}+K@ypvmj(wX3R2UkCi+Pp_ry4W4b z)f&t(CfVwhgw=9ulYr&W%Zt{O(o~Bbr$uF)!P^LpDAt<3(1z1 zW;hPa7#U+?%qp>mArUs}jODizkL-nmg5OYuhpcNvBD5RZMZnja?v=^o8rir$#z75R7#-|-$>QUPjU)Nr7 z)JQqV|IcuC=UXLYyGYK`p8v0faFj^1X>oFT+%EYG@y9Qbvjeccd~xlL=N)Y(9Gr}h zXd}P(RNu|HjIJnhLgTd|q!LyBNS<=j(ri)VfmRj>Rqxq@dHnhd`EL1kuj#Dk1g zi%m2HZbCJZE@Hg6{w1g_azb_4DxLf=*8Xfl&HAA)eMi&wR2a0Y*=RCw{;`<4^~J>} z3`I99s~)bTyG`z)~zi?FDDlvDDRqN+xU^Bhm|KM#l!>SjreoX zYBB~ol7socPV(qhcpXMAG+^A8BFE-cW`iiKBkoBLE(SPX-al}#&0gAd1J}PK9Zk{* zXYAC`y|1rOimirz;vDg{Q%oX7_5KVsz7xMis)!o;Ve8ncaQ+ZFzkA;^;ol0)KzMcK z{$V{q|phKdQDq^>Dakk5>!Z^(Li zFczHLC=xnv+24J#DPl_r?leQET^0NB-nF>RhQaBMwp?Ta`4*-&{3>$#EbsJ~@hoR% zz$_2u`pIpC0pHnPsS$3C%8HRVlq@7YZ(w(S6w(K|#*GPE6oc6ez8 zl4`TYswjrnn19zV5X9yUpLjCh`tBCs!OlTTw(SMuVO_cr-b*?9 zT=FUHvmzWH5#RS}PlC)`H)?Tov8^viy?QG*{=Pgj-p7R|vJH8^;dExbpSo;stx1Tg zJJt>@JK)m}Dt!>+rA=lxkcg}>yH(bn7F7OvXMKc*f+$VsroECtVE@Pi?~YsMBv8d_ zHlK2^D|fMnR?dw01H`>wVeFmO|M0@jDL(N5WMA;VM-Qnx zEq}ix+8tks2{(Fq37tab#fK8$p4jI?H0l}ei(sF$Q;sc7HcT6|qKuWov2<&G1C zrkuwUueiN!Zyt|F`7qZgdpDS(6Rnx_3-xe_avQ?4Cwhs?Zl0-FCEyrz*tRk!OpOxS ztS9wllN2nvamO;AQ>|&MCuj{j{AT~uF_N~m@Y%{Owoqf{cK~g?_u>(IPu>~sbwlT= z(rS~xg`UAo@@(_}uK#8j<@^w`i2v}x@qZd}Fr&&Ck!-!@zi+dfD$cfgHPO`oy(7UY zrR#*VLk|gRj>A4!aG|)qGOaCL))x6CCR*1CS*+_QJ?dM=4E)#5(b}Lqw(vd5H(m)i zJ?RifnKo)t%g9?)sC_cMa_7}m*W-M)cWdo>@rZx*mSu2=h(MLPaw-wJsa;wp+3|GI z(c)!_KHz4_@eS*w(o2W(YeC=w=_EWYk=t!s#L#NC#H?C?%rzGD!>;V{i^kQPAN75q zvY^SccjBUq-uQ2b#KhGT6q?yaowc(gQ*VqzScLUf-xi2ca^XNk0HaBB!zcB9 zchAc!BU1WcY6WXMq`vJlJrhp>+#c6=ywxD_so(Gh-4ujLZDPlFuRzzT#=xQ zb=!DXtfEmsYuo(F(Yza~-0HU|(;_7Jn90u5Izn0tE7T&!;9)>LN^zs_-gJIJ;hJCh zn!&G&o7k6&^iiPoZu~&AYaWdrcI+TLqDKj?dyx4$F#HcfyM7D*G%}<}>2M(a9Q*uO z#FuIhRFEuC2t?=i!|Y78pnH{A$ouXaRIwHD@NKJo#ySOQ7yMgF z)0W9_#qT#h$~O6ja$J0UGC@4sQ=%B4e2%uDR#4RKlTCOUzJ;@Bah_QH?6GBi%=FX# zr?UJPV*X0T{WU;;@rXFcN8z0TP3@=p%l@HWQs8ICg0fd=Meo}FxZousetF4Q1#Lpq z%dJflYdaI}{i&$IcwnnLy}4P&J2%7ejrW2w8=(br^%zy|ku%%B{Mx7_oM8z4Xw0S9 z(6_wGr>?QQ3V`>IqO>Mt++&8p$j zum|a@&1LNYcox*Xao^4P#x`$D^kb@Yy4tB3@^_i-OP1VqG$6`OBQa60^U4#8NAiv$ zq~++bg4x;Xt^52WV`cso#x87}%1E!HeQ)GY9Eus1GKjkzae!|hiRA?!R@Y1W2W6N$ zi-MjAdydX-cH$_bEm8A`=q?P$F^E?r_9#L@%Ji=Ef2Ewp!<&~_2`zX09h8jGqA5~6 z6~EQH?$Scp7>BfHp*Zwn?Rb(^abBVs+&FW7EyWpz5s%bhzN5=i<*C1wvUfzt_Ty-1 zu1SVkMK$&c;a=mCbAWmv8{;)B9jy|WN((Zi_ z^gJ>g&ak1iytbFSq+GVow(5tLInYUCW;=r13lAhQ=+UttWeM&UVF7mPgqghU>ppYDR3g}K`gd#TPWhrGn)75hY2%p zpi#@KnJENlPm|H(OCPZ_bQJ~M^wvdS?Z>UC zecG0cbBjd@o#I6|oos&(S|K_E>sfb-woxONI9H5PXrv5d{4ag9>*o@+2nyWWl1Ylm z#CS%TkXKG%P-}9vFwO8s7wQ>Fs*fao9M_p5aQv8nuT7(hS1-tk*6QA$pm*o5W<7)H zqx607-#bKMV4JuKUT6D9(Q;HmrvVjsrxR1*;Injr%izKK3h(~mPoG`CGZwNbPm%07 z21O{$qF&2w;lL>7r09m>>MdD3s&|1xQHJRGiKW#pX+e^$Gvv~SNfL4(M0xgbwl~je z{W^3ciB>_f8r5>9+}B&YX;Cywo&8)Ns`T<3BzWLjKkd&OM*mk6vqB@_`kbfHqmTQG z2M4l*HIK2Py1So?EfQ?awpb4OwA^`i+GLdiuGtG19zc4#%HjxlF@3G%>vuk*^)Q+k z#@`CIe;b@%nr4!zNE8i4XLBM1->P(S&<{uS5$0>pcMJPIJbRoxsfF()h$)(X(}=wH zNCF`EC12;*{1}S(sKa$&nwZn4EDpmKKZ`5m72fv!ymCXmRCg)dGhqzE#m@7K;wkQs z5y?S&Pyo8e6sADkAuhF9B<&9#M6K(1VF+0VHJ5NCVeLur;U?r6WC z)_b2MMa5S^ZA7B&+kHU^5dC>$xmdh8tRT`Rn(KpLA;sH6f$p#LufYmQdaK9u*{J!F5vv)Pw@ zq=$)fVS*Df|HJ*U(4$)SJtnIv^Zrz~&+@cOi~M6nB8pZ_1UM8{v2CUojXla$6K`!% zR=ZUs=F;tDwV4stbbS%-WvmumUmfcW*AEQ1GA>KKCnoT4G zmDt4&`2hM@Zr>$L%4m$*{^gWS>;rU%=gR4)y<2+W7w(D7 zWnsGS4koi5<&zy?h~o8%@c&**Bn?Q(g?;d@CQMR`fRo80wdigV6YeFF7_GLQk1B4= zDjj5^VJ$;(yv#UQx&FEXpQ_uWX`O);%DyfBE%(`8;R1?r0g?)9XLAtCp@qGv&0hg3 zRzflKS>3QzyJv&HF&-X2cXFK)-o)@XzMx*H6MDo<<0T&l9WcBTk{a zs-Akf)>b*B=*+UbAHOLi-1l`%Y?`Q-HJHm@7t33 zn6ApLhIfWuYI{S*%MY^51CdrOwHzn1Aiyq9FK7?R`YOEvB#GE~k2aoj;5XE`j32Fz z>_a2>9g&2bho;bd6$bF(C(FobT0AgO-|czWPrqqhuKqZsllIVV1Iaf}@%Nk%pJ%3+ zEmPKcV2}m;xxV&E;V(tso6^_fQgI~YLiMAO9amnQr=zbQJ(?W6AvMe2{3b~)jK7^Y z_S9eT@YZn99xPueW?-E^=-$?QFHE@oJ&egU)))fPIMbGH{7{RfV7BTZ{%djOR}Eg! zLRVds#7*bsq{y8IejSx(R!QO%A1>sbS9@{p79>$L%EX+F;e>e~U^o!3@ z?!#%|KB2CxCbQP($OU!6?Bf=})@WafH0oFs94M>6Ch9iU^^Bta=k0%qF3=EGK^mA^7oNp1LM9Umx6gUDNk;!3Nfp6KrVJC) z{Mym}+x4pxqi42F72ks>F5F;0CF(>TJySib0T3IjY*65r^Z4_jpWNuF96s<7W9uE0Mrm!9 zjhxy}F@1enhHk$Hv1pbnVWX;J!WdFyI6=8_UK9=B+90K3>m#>B8s{hS?Ly`%g(T7~ z!~ljAvU9}W{PPiCBF#d zPH8jRc8NUEkNb=vK3h!D&~JyQX5NBI^2OHyKkioLMagh6jN1Z7XNA0imEuN|u)t<( zP>p|9Nq+IG+E)>6ox1-v4;?HZ>7oJ~>zv9RNzH66jJ#{^oNBS4EH^ELSYyn)@rT%G z1lIY>9c5--KZS>ml>xu~4|ZfuG8Uw^1z~EtP8fpZ=rq^$mm{Kci|8z&y6%T^eZfb$ znov<2nuE=unz>h^2Nl)$coPg*=U*(XI+^AJO(MPVq7L&7Q|=THD)0-LJz$gc#4FmS zpE!zV#x?lJN2Z#|VBTtcUq2b~n8j+cJ~N*y6Uc&Wyk*q<^T*S7_C4+w^voR7{26<( z2gH7nq`@9<_vj)aE#fY8-5ZB};@Jb(U7my}h z?P(4*g zAdfG;Y6ZnBAy#lalm6J3vX~c&$u>?9h8IZhpCNL8mZmVl^w&|eU$xquZN@r#4J}pCg1%SSOl@=ZjS5k;%)fij}w#+sw+ZE)+H4^_OAFk(VmU zCOZQ-j52ufM%Gs^*55h04K7OQkwOG~r9a-^{fq7n#(4Db$x{Pn)rV_DdG%33#jQ$S z>$9;}L}V2d{CW=NFU&|C4#9%V{abWb@O7|dp3Day5n6F#N&&@>E;Ah>>3Fv=C~02{ z95avF20i*6$L%ugYnt;=$4OJYe)F)B{>fX?wsw8s=g*Ga^5@W%Z&cj!M+$2VFn)b@T{*GM>x|%lL$|(vChVFth7ERO( z!dConsk5oQj8jm+*MaTl;IGdD(NtK$KZuuN+Ew5F; zLyH#)dz#4M=YJ_Y`^MmB~B_R zJXZi|O;{G5(_#(N_1J?rlcFd#?(-8|7!HRjc{o8_YguMwELXbe8%c%m2w49S&(-d~ zrh0CilLTU05Y|C46i??zQSFv3Dmmq$yP?8^_~J)iiS-y8oCvmE?V1YgfGnN*#y*?a zHuL6J!_xoV@KRhP8|Hn+IDJOwyqBa`zOsFCoql*lk@zCAp&{+=4fd9q9NNb->btSaekNGBx#Ti%tteZ?s6MhSQN0@XK z5t;XJ=Xz?xuh-X54GK1vVvm2OT;@PB!)I4BVZV&}UjN?9C*ChQ`EcUxvDS=x-7vxBlY-RTmc(!Mz%ZzE zf*8I#`0&p(d7V)b`SQvjr(u)0ox!Axo8gr$!>CF>@X=Zie0@p3(nfW27*as8z3y?* zLvb}}13P0;JC(%P-S`Q?ag;D52z~E!k#uz26~Dtdx@J;!D{0Wmqa&Ld62r*05_R%R zaQ2wo3&gq1f3;2%cQdo%=%2%WkM3o;d)}*f4CEYPAiR-dP)3^s zEbl=T{C{llTfJ?Tz&q zRbp{}>~{ul|Gd2=mPDG?)s(WpoQgmZKvavI!&7lJB;Uf*A!XN(SFat z-2t_+u&5!v2Ss2w5V5jlf^JixRZ;i#b6Dv0x4CaT@jOxs?1B6Gw$ve?$u@CN>_|_w zO&kB1@b8G-BW+S3$dd?NwtJ3ljf}$V@#08?)~hERlTTc?X>0ak^Y+G`W-i3?2=XM$f}3ley> z`i62VjUTi$kw|TLh@D^L{PZT2Cp?6;Nl49=i2m#37=CFK4Yc&pZ4NsK*v`B?qY0>9 zc_QCq#6*FL$x80r-v+9*aJ*?+#yh@bh0L_yHF4SNd5dssxD00xqs8XN`#_sj1lyal zDvMC!BmK05jh_~eZtA;K5@fcv{J_|Ij4lEG^8S~+4q`~QXuN=$j$iRlc*ij_5Emdi?M z=iXFOR=0$a1=*~&+hSSkPlOE--K^(1#!AHb)gmebx|X*(#&OsESc=HZxJ!_#_MviUXqsu13kH4>Ny;@B|~+**{QWoDh6f6Y<^ zb`E^AQJ*Kd5^V-$ko`lhV;JsanB&>v2JtOIiPgHXLErJjz=3Tv{X-6I>TmNWLXX*D zuBpa%vRkRC3??{4?p(5vI-0G+sNB8q_)WEx#UE||HAx(g%ISdrfH-n+1qmzu6)ISr(; z^QU4J<}%XCnyh#YI+$+6nicr5^D>Ih_*+UV5PMUwnEv9d0L5ydDGR1~h2&rjGQN@O zR%%jvF-fEL`kG5}?K$C2Q4M-8DKm0~V#(%g1o!KVju5yg}taif#SclLL-c?GFfJ|i# zY{*BsNs$MPe-0#JCP@P76VTj{TILlp z8dcDyba7!2N`n-&D|ya}OButL=gz1=Mb_wm8OE)GK^uId9)4F*@k;d*X^aaNq*-LN zlG5VHkV%5RU(&S4la)hDmpTQes)9N(E9Q<@fG`C~4JUIx8;!jkPODW+vL{yax5f_k zS`?u(evW>4^0Ao$l^a#QgAdPj?V;!35doZ>rUfW>$#uT%-dTGuwM+ zW_OerY&*{f!oR8*c9q-m&sBWnI`_H%7MNm@{)aMR$t zPZWL&LqoA z!>-CdA9N(|sI(LmMgLwUdPUjjTI>5X5;qahX)t)nv+>6hA4Cku(Z0F7c7zu(kGH0< zS^8xvX8ta-NXjeTx744353JHot9DnI^oOODsj^_r*Y`S8z35c!=g<056C8 z5pf2-s^dl*Blb8Rsjkgj`c6XwmkQD)(Z#xkabuj*hG(elWXaV;QiIsIMK9Ocq(tLp zv2FSdru(nGi&p?XP5Lf8wmcWAbHB`h>I&{gn|GDf>dl_*o?K$>9VD}#KjKqf$tLR} zVpGJ(vhd-GJKdi#prEZO|C|rXx^_1KHFWQ0jhdWr$}8}h!*#>%bsie0D=RcrnBdI1 zclL2S(a4~_g%MrbQr2f0i5^9y^ggypuY@!Jtw|IqYPNQQEuG@By>uhf&4{|&N~6DI z<7vC2FsCn?y(Vi8svL{#(t{N*-a|$mk(x{0>nSOu|6;FMI#;!Ue`#?aY!zQ%@{ym~ zT9kY$4$AmF$)orW&aq~oxjk@C3a(ZUdj*;=1O8bd1g{Z;wjPlKWCA%hrk6UFZ z9rJ2&^XzeXihuP#GVLDbQGy!{`KB3py%LS$zUO*Pi*6{x88uzgN&q-NQ#T88I8(1) zZ}_jlX4*iL;Qcil=80q7wIPGUFNsh(p!}^jKxn$du@b*TpGC=}nnUz>*IhbnMOUqOxa#tZ*Jy5l1?jz428ft)0l6*L{|q5f<#4Sv>G~I74BUh? zt5C|7&0Yfia#a~LstjCH6EC?q_ilv9S!vhS^h6N19e<5~PnJ{U=qExcbZ>k;3cqR< z)8Q*hO>w?HYOQN*1M*ylI8`F_ze#IYy4tr46$}ly|lSbKs#CMRzQ+KnjzM^RDn>JsUXETH32_CN+Kug6J-G<%1ze! zCe_nS^z>>pKC&-8M4WDp3B;u;0AZTQBd0s}V*(gc-IYzQ<;pVqwEPh2v~-Uf5{6C% z{5AU^@d*vWnfn^X^PjY{w2JUY_`xLcmDvY)dO&86rd((c8_{CJJH8Xgf1G+H zn&k5(LCYaOm>NY#-^kX7yMZz(lerm`MF^nUjT?~fxuY-7w;)qNLE)1?3I*3~2vU?K zA|&OlJXYIi_y^hrCbpHT4?6l?7lt4wqlb|WJJa(|j+QMy^rZ8y<_ZRyH81v>tBf%h zz*#|cvR{b%o=t>x2Ow)QO06&a)I48B*!{XqxEBiMq;AyemVU?oF8{#Xc(l7N!5W(%jwo)}6CRk@|i#NSD`vuJaonr$M5 z7qPimQg_l7d)U2{Mhh{+aKl?OzFCt9oc^bOXFQ2)^6*e$Kd)0ICB-mJt*QRg$F+@e zEpVjY4hq3n+kqr2cy1b;r)nvQiry?Tj9+w#Iu>=L8Fh|k1U+dlIou_|oy~N&mm9--7F^{LOCrjTp7Xalfmh5yaBs-Ax z<@HQp{2_l^=yISi#6 zz_bLoVj@?A{HUC*D6e8`TSc6#Ey9$8!B&->12cjKxUj&;oc%$2lAO{}gHQ(~F(A8q zlPm~+7pt-ph~YS;Hxq&7>6c5%ZR4v)5Xvr)tW;>gY+w0?M_y|8Z68z0bih%S!^JRe zfj;Ue$2LH*Be~oFi1o!)z_DWgGF)H@uc8;mNQvkMvIT_L6=Ca2#ksC%I0x89gpe*_SP$LG$p3Z z1YylkvKi zMubpc_qhs&MjFEKA}XgH%>V0AFbaFdx&MJyQiGiybgq)--sobDyMZn+z!2D&7$5mH zw2v#kaZ}!!mt$a)9=VvJ)j{kdb*oV==77_oT2+Hg{YnoLD_{2i-;${4_-(}_g67Z% zZmL-lvaFxI6u=pyW#yPXM5h)-hz6?E&*e0Cl1wX?r+dK?Q<-W2}TwOan{J zTy0l>#wbqjj`Gc-wY+|+TF9cb$3^XVTk=HCMwb2MHG6!Es=TSH_;FJYx;} z=UCn;0m?Aat9ap9)uu$p>{nVP1pU87>>1;?LmIl3Z+=0pqv#q%V(Q*-UkElF+(`1^ z#sKr~b>O_{0!M-J3mu49t#IatVO!2Z)7pm0Fd4(tyWH;+!*4=ZlT25Js8I?#hpwEz zdn*FmvDuwN?i7&@yY(b3OdI>S$tEcS%_^J;--CW5+W9xXc@mJ^GeSBs6rId!FM3=VApsgVZ~yj+Qw!HTsgUHhj7Vb^wH>{4 zg9Vk?6E$_u-6r-lK?k z3nmH7X_Z57zU>yzeV)W~{^bPZD!XmtUWgw+<9y}9knSN5z@uaUV6BlcL-=vMy1DKv(U7j(lMaq!JEaC$k8=K21#Rfo%_q5Z z;Mp}d+cC`R8`hqEN-7)ffbLXKHBGCiuxpdEyLcx(u4`6QqtavL{wbUtb(7b}JZ%%M z|C0AyJ(*zYygMkdGy~JZ%n7UPHEpn8-x~Hf{Ov&CgGtH^R2)`52~YfrKH^L%eAUIa zLc;3=6V~<-05aLxkjU? zi1-9ZJhdmpOD6$q#o6k?wxCwOIt75S^@%z!jWc88_tao;Awn%V zH#G75zJNF&ZjMR<8>t5({a_PWj1bmPh1rk1P351f_0qbJET2mobdA%v*_6&X;vs!X zaFEIr$b)Hi>4=d}s4|Ul-Y*d%K*zS^0Tw=&Kj5QU0eubM`+p_^e!yG0KAefJbPVYR z(y0~GKA9w=l6$02yhAm7W zgaFwiU^C=X@W={(83H$X0GR%63>oKP!g_{e_JXowa;FNRH!9O})jiWj!N*MEs;8+1B9=90(w8d=Z4DEreEQHrdC z+ZmbPnUfJvR`1Iuka`L*aJ+c8rCZrC1TsYr*{IR7Y_h)`z0WWEtY2rxI)di+hcD4z zWnO{M$6u#DoGO{=5OSTJBO7W)Df~E?l11sFo~u~rG!#jMxMF&^c9Od$;FgqIf`$!U zN$O(N>agLXhN2G!L=9)kS-o!o3k=vdJ3d+Z&5bpfmQt8j(h>yO`KQx|*St zr(*q>dvwvDfsemqZ`M8!;wmmpf7DRFLueRijNP#@JS7>wH0J)6PD{T-lr9jP(Ara9 z&DzV$3;SWNTz#I?(@kJiqvwh#6TxItWw5L7qro1kKV!gp-X6BI+m|7@6jCmfyZJwP zb=_tIm#_!~!^F@9K$(CRo2UXsMSZ~4NO28N2hPt*7Bp|AiYVmfM(R z!GWpY(l&zTBY+xb&*};?_d_H!#J8o26Ic!5Rx2@xGrWU8lVr#=@>j293;nwJtgcrX zn9WfUgNLv zqy9>)Zz~pkYf57Lt*MkF9>;6Goc6I@Vr3itEWT7?_zo$#Mmps$n90TX8$U~LTu0%| zmTEW{%^@Y?QXKiFUW;gi+7n;D zy}`^9{Yqy&b2ql)Siu&0@}Y)fv9g~z)X|}yJ;p8#_{+~X(MbLwcIx>}`3;h?xMI?2 zXNyf1#Sg7_&;w<9o|rH<2p}EzYn@Zq;U@3rjdZE>n_4)(`a>UL^+T7kkSNlVl#mfYde9AeS~< z7RDaq#l{V>gCNOpEtb^^zB_R=Jr@iuSzC?*quy&$5aAx!R#%*Y5`Jg z{paZf30p+t(#(=tZY5^T2w<|Ld-~yx0_*wk0&1;PPfB0`XmR3aJT<^jvT=~V^G%{c z8mjMc)bOosf(}q$xo)vd04bY!UydK>E$ge*Ny?Zhse6+Q!|xIr-<0I=T$P~1Me$|; zpMr}RVQob9PMg`&4}!yUd&6@sX3wtCzw8oR358e%=*V7bcs`jF4j&96K`VyE-X~JD zOJTZLz<_OiG4axw*Aro7NYOe=u9(ei!#R&1O@RG_PU5T7q;UkWxhr|IGx~q}aVMwe zgtY-^9NsW35fWDC8NyO?KelL;J>*CA)sanFvc$`puAszl!V~cU@QQH)RHYr5quv{H zuMf(c-yQPquT1n&&#j_*UM{h)1H|V=j9^l2EwlXhhM=T*@xS`@k_a2Dt}F*UmzSk{ z2>p>GpIH3{eoN$XrU*aRKEx}HX_ymhC8umEJI|pWPt>D0sRQ_A zh}Hkba=BGZ#tk5_(GvL|bq;y21q_1UZ-0Z7q30z3jX?Jtag~A7O)5J8X0;)Z2giaX zm+NY3&q!s17Zp;N_1rWmJl^%Eg>_wXIEl~ogx&|6iEQd!2-5g=&ZK7XQ{l)xB844g zmUQrazM^Khs>wn;;&Gg*b@rCMJa*)cTPlxZ}y+F1hb8_zWbuBOd!x*tv;DN^6qTKF$zMs1}5B+>AVwUX@OU z=5TCKMZ-+08h^4~lF4JCD$=`DNROpdIXWS#Lv8)M`ySf{>Vp;SJpjG=Bzp@6o|$ovK;ab^gz>sw7I<%2mQ|Rw;PW zs_$+0z1kr*D0O6nsI=&BE`PQbQ1gB2*H@u3?#W69Z(G2fDOUx2E{PdUWZM9uRF{Cm z)J-3G*;3~xg|NDXflWoLfF?Qj#oq`Shx(ciQ}Du0(*8l#nUH%hLN9?#=$o!ojpk+c z)wN5u10_X2{Km6Tw1Tejd;e>7T`J^kA;B3TEo-f5rwC2;Fmqmtpuu+T3A>5q@SZ;F zFA2VcK$R!%vm2+)WF?x0fxhWK#^oViF=CKPaK(}U_eu_Fo*Loi#_7M>;v~nL5rG=l zkNcI+$n%yhXnZc3P&yM@NJ9`CA2wpH#CN4M8s=S1?zf|xC|YB^{AXdL0U=?&C?c36 z&?C(C|57zffsW7kHeZwpHGCAV4)lLvqPL4nn4FNR85egv8hlEB*{;-y-u4b$!7@hMsC~uX@`>6LZP#Ur z`o*2T%eCR9L+)-4OGddl5~3GUVKT`jeg4@pTRp4?a2b8kKL;?} z#Df$f0+p@o()W6W~da%SLpx7O~#KE zu^B=qOp`$tEk8PQ@Wr7g$i!`DE=7^^E$w@yQG8fx$r_)*T?7pI{A^kEdOaX*xgN9R zF}3Cm&QTBLtG}Zwokj;eYlWtBR9+qp-=6_~(Xi0buxa+muN)`INIK-QeJrpH`sA1| zKI)Ky|N6I{Qzt>(TCs#khO|h4}Jo~>7ZVu~0Q1c=-3>Khd*fSCLlLmWT)6p5~ zb>vr*2FeCP$asIW$dd_Nzf<|bQYIC%Q~ZY(zUh{@)BL;MFeWaX$lE2A&wi}8;)=35 zN9&h>&7x0O?;&@hrf}`xZ>Jz0=8O?kAH{2LQc9ix8M-I9s-RUu;IoJmqlO#LccB89vo>JYUmDsN(F_T6ZBh**m?zj9%joTwk8F|pq!PsJfV z=kZdOU5H*;TbW>`(M@Pa{%yQ4SL4u_whV>}- zIz$ki5L{2L?LpE_ym5q+3I2iB^Tbf!K3bJ>LZmWs>C^L@OaamXiW$?aSgu9k14i?Q^u0NF5!y6*v* z?>*WAhQ11OIauRHfVTqDmzf%Y+tPeLOExtfmVCbpsdl`0<))Pry-m|2^;dw_S`1}b z6!DbikNQVqT@8lnPGNdltqPT`{`Aabd4&`xNab_er=A>%L-wM^3Yxn8=$rX;lg0&y zJ1H2mlv~HcZFJ{u&pkeqyt({%^9xGUS=20??dwHdOLlCpX2;f~>X(<0{GE(!-2Xuf za#LF{mh!SLUd&v;Vj$n#EvLY}@IJKmlE|@7wXobXA2X~MzNkIKBZ$}cZ>g53MmX-| zm#|&ocgCZu#4LnQuSEY+8be{9x6fI0UUWY(Z5~fvqmQb_qYF;kKlo&8HU`sSZ>${k z6)0RJ_5OF*8C6Xa7WY(6!gW`}G8#U&e8{m=#+ zXy04$vSolSM_J=ph%g>_l=EHbvX^4%D`)d~RTM5A;|K27M|ZI3mbi*il}bstBEMRp z(EA`alhUE}DtXu5wu529m}ck1yr3|4PN0U`eI3!?zp{6>DlAj#OFQ>rY2JC1BV0jBA`NRkKs0k4)mIKq39ab7w9DbuCswuqYMq&57M1KBLh`*Fk z7eVH7R`$D;WS$MZeUeo#*RX-#&C7&=-nx|;8+T_E>uMb23zST5lVie*Q_RnUHJJmV z3byuQ{XJ_eJ&W)nw#=IVe%m%g9CdpYkNN4bl@PGj+lhNfSbWOK-+a4G;c+~#X&`Jh`apv9BD3wF ztmxP4=Osra-t9+DyLbt>OWV>ZJ1?rm=UARvnnI7=n{1>45b_(!UfO?r=5OwJaR0tL<(J;dk@E@ zoy+ri7yHJk`L(*xYc{U96Lq9{FAPma2=0rCnM@WkSd~j9gy3LJZ<+55Hh^Jz?TKeq zUMP7yu&_J5Q5CLt{&7Cph`ECln}EZHT$7Is);McvL~oc|>))(c-v&BP9ser2d`GgB zEFJnn2h7H?=W=sk&G24%hc-DBLEfDlN6@dEcZoVjUlJU&^1G&lH*l9excy%ML^`|0 zrCtX`JDx(y0hB%zsM7L}o7`4x@A>jpto3v9ADTDUPk8WloJhj+EpjVTqnJaD^EO&p zN4!2KzK8)jDD#e!*8!n(Q#MV%Jp04CE~Sutj{X0L#J%X5*l?F}8qHBtfZikVEF74j z^w<~FW8a51x+X~&G>JVIP5w##gX(%R(t&iNzTrP}=q26w4;M=Jg67g4pFZyKV6=oL z?1mOzB%_u@vXY;nB@@A)PzxNt1{1%x}dD3HlVkxDD3iIx#3 zIB+3fYzJk&(82{;(z3*RW=dbeBdn7x-f={MQW)2-){^j#o9~I1l2K#lZYs0CsT;rC z`-mehU#i1$)ceGz4`U0>F5=8nzp@D}Z->Ya&wT>z3k0H#u;H+Vhvqlp33Rm@1>t68 zTajH)oOSMR6R!Bs(O^fp>B}GAF`w$e@m&;vf{XcDXMbX1!<%j2j zfWBA|&`%Fh;>P&FqT@C@+Oz0|xEv$8Ul!s*DtYa2*)X+0eZGGxsROHu~pKqs+%k3^tIShT~a)hM*kNEWE`0D3MK13xTcddC?Fk9dsV zE{o;+(%XLS?ltdjnv1>ogA6@;sAaVKgc+bk$B$dgX-ZuF)50u08qnN61>IS0o>50} zq=R@sGj~K<=$~&gl-4k4Oec79uPjcbykrz#tsl3|<>txAM;RZO{KyAVVE60G&HugS zF1eL;?x0T@qP)=uq|CWWo+V%IC`ylo+Ja_Utku(ga;-l&Os)M0 z2WA7vVX>ag<7m)Q&yGs_O3@j#N%(fJqm1KdPWuHhI{1ouO}}t(O_tI8=|SN# zYG@Oo9aUcJ)duZCuL}VC`mNesjl*H7_62jpd5qgdWu18t9MhS-N zd-%{yX8#uqc74C___1{|Ij^fu#>eq{3{xdJE|6CKGvHYeMa@x`g zf`0MQ(zl$A`D%g4U0NEk^^f*Q(B17h5!z_ei?2tAyfe{LoCsemZ_X^WhnQ|t>t&*c z^+XTY(cW^Gyt(*#amet^r9cK&3s63c*Hc4J$a~L-w6p^+H9xNqKbl)XZ;)GS0^Z|KHpme9LIYYx zLXej_05q=o^)Uo`dqsvn8Eu@)|Bg^75BOj&8v3H%2$lQE#!cmN)MYPiJzV^ZkT`FS z%!DG0OjD%Rcjd-5dh2Ri0lM8v5{a41FM=>|cd;1+jlkNwj{N z+_PKj=;5!Hr#a(I^EBx3a`RN%cAe3l1J$z0QEDHw^TD9ASDVg09MDe~`m#>+uz-HD zllDeK=MHI+##gb%b#T7kIOZYGS=}WFIlkn7pEFQe;?50+lK(k>PJQ6UDdjxhBzGBS zJ;5~hMnMbhiH5#8pzkvDtwWR;9xHrFjS@ppMwK$Ui=ky7HzNA#UB(?%hGl~Tar4!% z6RAOFs%9FGFB<2jo+8~OQEO{?t3;yo6W=ekjVm<*w!}UR^@raR%@rQF;xrvVYX_!wYMnGYvg!h$8bL z#`k{$n)d@kd$4i%e4u@)p`RL}NW@M&o(BZ*bmy7Ej1;j041-N zE3cGDl-(e7gmC>Bg+^UQ5jS58KAkOo`1*;6)5(|eb#Gx#EPg6+DE9klL5ii5cEt@} zi+a;?6M4BG+#eKBt|6iGyrzCSx{bMBTg&FCmuFW7^yx->c0hgQ0enkdSUUNl*Y!kB zQX{ssUn{BsWeh}P0WGZ|bfW3u|F$-?#2EMO4gLO551>04`lBHVm&4>HwD%8DQf6KG z;Td-X>?sK7MS-?^jQDV)J!MFX3|q@vj4?7S+r6h{DTbu}2P6%7UOh}%-mos7r6$Cb zA=;fsKo@V^9xuWo?8Kf z)H*2rCbU11HrKMomoz?EZt5BO?4{ns1ue~dpPi6SlY2?aK(EE^qUZsei70Q<*{I8P zZPkHrPuCbGys*rf=nbRoXtBUl*c=p*XQu`>*6(J3Fwlugbpx&A~H=xfq+Ajpu*F3~j z^xo9uMu(7hBMWUh`I3rJf70BwC_MEJ3vd>0m{e{Xt7YxCmH%Z z;Z)Mg`=ihoREp+W2K*J2)B&M}DX7mB;95}fHb`$Bu5GO2E=$F~K~=RbLH|}1h5mnD zrwm%-{(#)7L*6Dnnz^JSWgP8aaG+n)#9|xUmazhWDMu8{u_7^o~aL z1AqMaZUr4>b##t}UQp6}f$-;9M(}uBI>#)LY&MOt&PI7X<>RQ*o}zhTbCFm}$!VjG zyyA0L<1n3kvGr{&e=J>N*htnrMz}Qe2)p;-bobo6%^&+{K7#t?U=N#E8ppQz)cJ1F zX**LJ>0Go;PMz;Wdd5pyzgQ|gSn3$sD_Vnqf^c&_9@@G~?y_=cBlE*p7OuzhQ`bKD zeVeqv@cSooJ*jr}n&?gDN_cv<>e3(HF(H2MY{l>B5I^6AGL`sEB)m6^H0XA1x%D6V z^q$Ovt$Bi$@mlAg<@;>pfGuKmOIKZhX-s53GmkmI;c&Tmo+5E9HSk1g)rs((NC~#A zj_slC*)$e8Q}R+0thv!V-y!d1j}_}8o33}u zyYd6w(_C+q|K+>zI~w{EWw^XfT1UjIv#Pl(D8l>-(sf^DgN9MvFWJ z^;U8mYyO?l(q<{N-rilymXfUa%m{{{5X zhW;s_D;T<**maR%p$LLxkEl_kBF*lSyH8KFafg)xW4zRs&7TwH<|!(*U;h1B^bJb> zR5wsxC0Ndj2PN-j4!D4JmpSyz3SZxOB?2~3QV$Nl3FvBu77lgT^277raHL6+ukvRU*!sg`xO>7=euwmj!JB3(r8JzsNF_h{@z*pg*}`%F~5vxXxR$t|H}*y z^uB{=w$v9tsAED(aBFSkZ#(d(#`#k#yZ$Bi_lufAbd<+6<$I7yK7rQjleh;74dJhQ zMO3MU;lPey4^97pQuBdgEd~9Yp=JFQG;VLpa=H-DMfSK~v+jV?%8XcYmCtplg^ zvaT-|wR9=vA{u<3XprY!LrwCwzT7-V*;O#lXyY8o-iFem*A#-^9)?~V(ESXJec9(2 zdft$h6n&1o!KrWn-P33<3bcC}dSO6)HD|X-&FMAJGGt>(6cSH{Q~+`Lk|q-Ig_B>H*olxafp&VON1tOZ7QW?k@r?n2I$@a zz1UFQ0fxSa@zsWI5@_!*bc=vG-!%gITcce&p!XQMN{tc{cRWW?NDRk~l2)mB(^i7} z)A|B>kT;8Fh~LE5YdDAe&1Lw0-eit5^=BCR+z>xU`Id9hJT(uJm3|d`Da$}NlDp8} zX6QBnz1q++W&wxG4JD5h4&)U0zA&JyzX$z?fc~eUuMgTG(0v|u-f2W=FOi$)se!}G4gIeniu7B^8?=49TJ=!c)oAA( z3w!Ng9VeKT6zzb%-_VkWKz*J0cZYTc>Z|%=NxOx(;CgAGeY&CNcB1!+tL8j>u46KM zA<+6+wy`hb^kzW4Cvkd^VQP63zCIH^5&g!#UUy0B zDHQ8Mb^&HRcv?J|e#tG2alv7fc@0KXmLx5uJ?%o|W(=v6>njKX`j>z%=`kearMEL% z`2^_lf{NEbwX9BIS;f}dweWQ)-9bY4L zW#BN+XfFw<_p_qBdl+qO_l-KXjegfL4zodCa!WrJucgpKeJmbZpj|@F;{Q%^>pxI> zbD+Bd*6yI>DA0WXhbSW#nU-1A(1$*G_*wC@>84P0pHGeG-*xavRNWT3sCvoF0F zP)7D>FPAYJP|62T_6rvdPcyXiLZPMa#FJKRq5Z8%wrW7VC&7qwp{4$T10fa^c|p-% zL8UQRqmKxvfHlheL81M=_RbAdkJ(9+if?Pt$a zS3b+Ntj(Tb2i$M3?Z)3odBs80R^4s9Ik@+Tc{5wV%u(4hO-=yaNN%-bc+u7X?Pt1{ z&6zpUcs9)>BJWeg@Uh9bgWLCY$5~yU7mg>G`WNcDXN#XL`J?0jKkc-84tW$AJ~PYY zog+#{&)vO`IMTVL&_PQ%QFJ!f&{E$+)6e9ouGTf}^!inO#BrYP@o=A=D{Uk!%ExZv zI8U!%)$2^5~kpd`>^E2cgx9YaD@^Oui`nIQaR9*_S)*xAfSc^_j=GTG2<$W}Cb*56v_EF1_=z zvrXRFW)HJLUP`XVOHO5s=l(j!f^e@QHz?yhosYeE(F#grfZo)As~d{|>3{o2aTg@mkA^-%W%goZVIZQYz#6MhlmCma{YZ zc%qw$rRNDDP2So{&vypbqg$@g?~&%}DK>t3>Q`qyJ^iGVnM=ynD6pHZ@=q*EDt z{gv1-ngG?72kAHwlyO)%EM09WjK^Cnv4)zdR`hcvL_&>ilZnjWFWy%4)aC709B7L7 zD9(=TcTI8`yZO!m#DX1x{m*nb%eXYKTBQ%c2wY5vbY6_R^!Q>_7*R_<7 z^V$9Exwf#D^z1n)#26y2wmyY4O_rYZ4W!P)<>usnp7d6{tSV>tu>Td;>*RJH!FgQ-$TsxCc$vkJwh(?3tFzX%X{(bQvJmIULRcPK_mNC zg2MT1xr^To<$u%ltHG7|h^Fg>!S!)1*RKcH$D8Xx7Ve3`m9>9xcGq{;uH+n0*3t6Z zTl{K%!eycKMv1`+a;tTLdf#;AfYN({Hm;drB@JllmBE2@nkZk+5hya~c?9|m>w^B; z_!5(X`nU?~jSBh)qb0QpO5YjDIK8Q$_ZrGrNEB+=81$NeZf)od0o~ltTLQX?p?3sy14Dlj&~*&`c|ad) z=&u60rlG$H=xT;?&Wc)aZKH<%A)qT6`j>z{%utR#DIA#MK@SfL=wD1{D+TlqhOSbh zo-=+f`K8Ypu8j>vmuO>Y&l!^J^{!p4NXN-RxGDEQsSiq7NnHU-SzJ(J3Mz)yK+y%L zfDP1Xe-ltzJJ5E^5Z75^r&$JS1yF4}cr4a1l#;*5ySkyQe=Df2jccCt2R}{+>x zOJpECS|X)=(zirZJFaiiR}u6UTOuH&!pTPQLeXfUbsOkgdTM9bc+t+N`gI*+sof<~ zUn(w+Qjv5Z4L)S0#?3=p2i?qEC$c(Gdw9;**NWU2_|n6Ie++F$Ll*?vJq+!h(YZL# z?q#$W26SITFX}|&YLjOf?FE5$A49uo&keM`dhVk`6kQx4Z^ZcQfF5XkpNLakdPDm| zshR)^Ur^s?sb>R%a9?4x=LEjKlI974@0CVd;sytg+xdZm>+EX*-B0LYSyl$ZA)gHZ z>hi`|xMXJrzJ6BPmO*aIlN z{=(rbL-!Bpd4?VkP)1GQ@Qi>uUq(U--|o}Py7{`ir-!&5B0&*ajN3s1QMYX))l>=X z8qp5uz5%_!(2WCno1yWfvl|UvKhWN3=w<=E+0gj`{idPo1oWqd&I#x*41G*M?>6+w z0sX$A8wKOR0 z^!tYH63}lMx<^1SHk6X4a5&FUN{@n4S`gab2J|zAz9OI>H}szZ`e8#~7tr?_`j&vw z^B}Zdzp97n*6(>%p7mo&T7pm4n35E3b?tF~uish^(>-SA`lVk_*&18LTNt`BPIWtu zKYy_H&J9wg6x7?wl(+@m)HuX5KD@OZLvxhUxp3${3(3(Kn#=Hmz+s-ruppqhjY!3NulWJeRCRGoM&n6imnj@A^PXF^T2Y^0NZqSljKv^*c z`n^Ew=v70Me6gbZ@QkOAZ~`vq<$-p-pXJ1MSCmR6DfAizXM(s5j}r{VuCp`m|WGX+eJYkmZXw`sHJ7 zw*@QlS})e!zRxL^4}Ln)j{=A88r|Cu@w^~PE+i}^7jM4i_u|0UYxD&H-Q76E6Nh~L z^*MpIyEJ;faVTR<$l#-Du{3&#(ViOkc5A1*^mNJM{>u5rHf@q18LxWhFH@2=vUm+vYz?5DU?QY4UHp)-V%#^w>H{1 z(lCCzFOC>`|Kge;gSX5h>h(}}pCR^fyp0$~FuYw|=CIM3m*BDA;^UKN1<5wF7>`m` zZ)vo*1rDy?s7bF2AIj?=NQ<3%{nq)oUtglVBX39b>r1qJ-#?Tk-RnU5^(CsSWp#ZC zebWu(rp{+xK>vXvk(zOJ^y!`GF8TV|_7dngR#)kHqRPB^jJi77`!>F@f zld3BpBaJgQKIh(RQneJ%a~ah70nE2DZ%s+PKE*W)bs(yz%l zW7ECvYQCj>Tw&!iHna*$9`ccfGQL9zJ`<}};p?+evo{uuwQVaJWJGH^*SNr!zVvkS zMeDxedFu0TGnI$BXYJ!k5-;uJS`@E0A-&?!F z9>0FZ5;JJ!L?k5P_Ib7A#7{Xz$xW2t5_hWB+!EcGDG(MaCva9Nr&X zUB*8d=Xhd&`|ASl4u1VR6z=zZ!Sx?as%DxLsroc&rr5HVCPxQba0~T(62B|*uVyLZ z=M%Mg&s)xM{Cx5bk)o6X$F%ZEl<}P{*YRx~&c&LKHNTz{VkzOA-8JUV*O^~=49l*< zc{=k+jIV33PZN?-)5NXoI7tltt93c*t0u}g4J9z^L!elaJ6dOYZ^S5V-ua>fG#Oj@ z-jj=C88a>K&61bO$cgVM?DukL3M~lQ+1JwTjDYrgIaF6Zo*hRx`n@u$oqjKp>cQ8y zk1|H>djf6<`K{mUrJD3{yEtFl>sPhY@1;{c%tZN1TW$@>liu#r_5;DGVy^XW`{dsWRqviU`;5xtM`m5l&Tg&y=!F8UwA}{w@a;udSqi2{a zBbz$j4Z5=2Ja3X4KR*E*jj`{Qktk)$tkk z`|Or$)IRwOnYR)uWIjjk!g&Mv-*jc4T5)CHanto{!Ic(V)AfSjI;Z7&QE+{1%XL9; zUAyJ_joQ^?vZlH2EHTo2gUcL?-%3MT&_5c=YE?~L&_5ZvLO>ZILqo)=&^j9T7yZs? zSFdS3C3wSkpNG(b+jO6Ynz>|Y%|lrJ0rEpmxk3igH3xt`L9z_!j{>@#p;rf#Q`@1v zHlSM>dVN5*F!aWN&NcMrfNpB&tpVNG(AxvLp`kwx==z4<70`7J{ojB--q4=~^l^s% zBA|~k^zMMJW#~NtUBl3O1G>7QzYXZBhTa#@M;ZFNfIiaD-v@MML;o1i6%GA!Kp$@C zUjw?lp@V>q89F923&lz(I!XEE1Nv7(A0E&@8@ggZ|7hsS0sXz9j|}MV41H9Mdinb^ zDV6*3SCXf$h5GUrTbNC4n60f<@qj!_3xs}_n0xhyfYKfTWhF?VUB%GI;Sq+?meJN7 zIfhnHbLRf8nhF>0jm_2DO1PGA))ZGlr?pkuV2h`WQ$h@DSm1hqQ0j) z#fU92T}6I)#u4Q$%=LDWMfCv9MuuJ!Q18FR5qn>?e@md<)HqxnP#?e6{)?$yjA>^7|#_NwDYk*`zk>|KQcr~(IbrZ%K>E+2-*^3 zX!kR;`^!XStuC~_8|y29??J|)oA34nS?6~+{75n!Kpctkk<@N^%X~c?d={T?n-;!T z8Q-EwXkC+M2YEd$x+N=Pyl{Ai+yt~u2<;jRty>S<2HLBQwmWX!eE%oN>n9X#9r#{r ze7jRvOIrr?dZUeBG4gLQ?GR)Jz9Bwz-_}!)-8@hYo@O48s4XDRr-GJU@wDSV$-+1c&qEwXZ4&(6Hz`?)# z6y^2rOg%Nwe#bbh70_Q9`uKqU%+S>X`kQfOh+m)jrO~b$IQ-VoCj`{LGu18GqXMme zeQLXaUS)jO2&jLPDsrHmhNX%Z`^Bmy1Jo#^kfmJzy`hf^=zWH+5zu=KeOy54H4)mn z0lmx6jRShCp|lN(3^yCfd|yGYGnBSLL1~W=+7ko%14GM905N8q6WW~v?PZ2ihZnw_ zJPqxh0lmmjYSlt}fuYpa1*Oi017&tWDbGP!MO4r)8cO+7P|7A~UlPz$4Sjh)|I5(7 z3+Ty){$oJ@!_XrF`XNJK8_@R|`Y!=}kD>n-(Blk!Ye4zRF0tsXPxa7SpXy=pSl_u; zclTqE+FI$yAXN`b`xqppC3V()z5UjD=*Q629%joJy4&wvX2&aG%yk=pKYz8}R~!rS zahUFrk+^T$SB276EPQ=E`}YItBRPLXgrN*82o18t(Ij8DOG~fN(h7t&O6F_rMj4%P z+KU64l=yBla_G@{FISX-dqnc zHD}67+#cd=$x}_TiC9UTHF8Uhvqo+u-S!a6zK5CZ#MvFUhfz}A=L4cW>~3+pB&5(h zL(dMVr{+X#r`w`F7x=pEbhjTSXFHT<8%ikH(Bdi2n9U%x(?Z-h2Nd)(0X@{v{|>0P zf}*WY->m0tA+(K6hG?l?p2bp*kxgO|?boe3(mIEUw11=hdT6nX*;~+&gKM(8 ztsL{v_%o!@etizGyL}dO%Ymk|IFsod%8VF#^_ju$k~W6sWpylRydPAV?wjHFmOw9k++bcfQd^d+6@vI~rTB`x=J@ouS44TX*}{ zImA)n=NjKzj#b+7C!{bOjoZ`!XI)%@147}d2Bab0G=VpOeTSzR%@ zyp#m%%1w#T{WZw4f(=^MPeRKGpZWr&?F~8#?WIObd#Z3)U?{D(g3>dAFKxww(h3A+ zR;r-%q(I9mP$Xj>V9~TOw7(ebLU|UxkG3#=AJEkdy(gg0Gc=a8e-Ij4SAG4ad8zci zNEvU__B$d}JN=Fbt%v#@5vqrNM}+F3-w~lJsTpI@+KD=aah(!t zFjhkzqL)y#!<+#qD|QOn?*>zy-DlcaBWQ$w(h*|#9XZFkWST8g_pyq=HkC|}(a3dQJA4#FChmTcadp4K zqHZm|6ZXS!-yvcUuDhOCyTSme{F|kWuas}HK1y8h0}A=kLDmyZR_4iZy;JTI-bRAr z*InbcAN?zf?s|NX^{pnWyT&i5`nOsC+!^l17OuOhUyrAMQ}a(kRpPmV$ce0=2y&O) zB~6^8yQ=0qJzZ9J)ozgbl?y6rjb!q;=T;X&g z$CEr=(qv*~NQ{Ypf!9lk7!xlm-BojfOY_<#T!!=(f^jUZ7J_W7GvmpwX;IOfAh`UjI3@r8znZYJ*w*(Gz4UM$U z;pRZQnbCH)HM?VRQ{dn|KGtazJ$P$1a&S#v6lnJ}8M=2pb?;}1eNkUYe{tZum+?J2 zpa&Xyen6c=S?!2keO)=TP$g~$7>5{|_ma!XNH{#pXfFsH#;1*;xeWcjYqj-gQJw>O zn^f)eHmQ1uWuIF~Uru&eNncK?R{FYI_0ZSds)xSrRz39XN!3GNPO2XIa#Hosmy@c8 z*;-D<)}YU4#&s&&SpO~d#>a0@vZt@~7W=ay)Jpa>O)d!9@slXd38=5e>+Va({;|`> zb43of&`t?_JuPNxB&WA1P3sGT);*28*LuZ~9A9Y|)7a5CX0xO%it+gEC8msK_xp`i z4-1DWk9MZE-xv<8MCtXbGtuZ_y7Y@~A1?RhqF$TyD!bj(!@bmPR>$`)i`@K$3#!0(5yX#LvxceAqcl~K_-Pc^<%Ka6&i&W2Oxt?9S zqFH15qJa@EmGcXcV;FKJR@ z;IVhtUk2Bw8RwsvRC?l~DDz$|*O+3g!9W{LJ1R2wcGTOETUoaq zkpsy^?~z>wcfgV>vBsO-@4u)c7&C&I#tVe-u@uA#xt&!|D&O? zui*W`IKSX?tFf23rSODrce}Tn!*tKi&NUe>mYA15>E4FMxis$`#TL2u?(Y>pg~J-A z$uk3A-!Db0t)FQ9&^<<|o0J&1T-%axY$ zJCJzwnnz@~!qCzu1%0O6kWEB}_{ClSl5h7ZaNRL3v((7oGsLCO1nPaJcqW>E-#5nC zW#|rV6u$m--Y*9IKF_q%{cYXS=SN;eS!(By3f-DqKk)sr@hzd@{5<)gjS{~me6u7pX#95Z4TkO{%MMG4_&r0rzp6Yp(E8Vp zpA^vV8;AHk;#&>v{@(MBfx{1sHlC5^-(~KO+YeZQ=^TiJpJ6zode&?JLUCnZ?7F_{rl8U z38;S~J8IJPux6n3FK2h>!a0G~Pe+U#?lF1eSGMmpv^!t?P2lTaxQrS_B4pEa2 zNO?|4TNl-J`;31A)+jxJQqqb*HA)YupsbCC!y^Lfd{+tR{YJZbK<_nlt$^Nb=$wGk zdnL4W0?L>c=!OBk-OybFN_!ew+A<{;v~xf?^Sz+d!=SWU3QCIxl(s=ZsmVb(vAv+5 zHuUg-o?_@50(z36Zw~0Y3_UWSM;qFw+w4lWb0opA62@eTmHb~PdbiM#z6(RjFE1$% z8vC2xm%dnB3t#U6{yA`1**J{SH=Sd&rQbr>-VZ3PZP46z0`!vb2Ap_11Q2 z|2EN&g}A*+=yCl>K<69U>rA!N>rC}9-FCK<+1ZuB`nETeF|m>heM~Io*sYCLX9lEt zudTUzNBkz{8b{TrrU~fVL57`;HtrIBn9;_40o06eh~>j$jW*_3UuWN4!jxV<{CupU z^wB{*cSpWHR#8SUp!E_amQgq_R08(Opyw}&Y2p2p##AepyIP7UZ` zM%!Hy#8P8lqaCGO_wP8BF-P>^=qNR$m-fB&X&Kd9pX#BvKGj2C_NgA0#j?-$nNFnj z8r!Kp`y1OkTUiMn>sW6=e?Qa=UKe(^mE%0Gx1fK}$sx`kd;K<%nzwuIHr83*3W{}B zcMIBU$ZpBHOWN+bJ6hi!rr++CSa*F~=7*>uUt}C&+`MHTO)sF-d(1~Q7BZE10fTAy!dH-~6vn;C7lrFQ3*n?j7|8i&}Unoa8ou#)lH zMt!f_iVf zUk9R^?AL*)9{P14s)v3Zh?af*I*{?!xOC5V$29`|IuNxaUn|kC15u0W*MX=W`gI_x zhsmu2VU-7GHqoYAL2msA%1RH=zYN=bpsN}ByMV50=x>K8KL03heJiufixv zt9H73UvZ6WzuH*qA74p5xmG55^8slMvih;E$m*Jme*)GhZA&fFLHpIls;7RnvFf2; zC9Zln$#P4-N?c0~)-aY*gIN+v7-lI8dbXkc>VDPEDnUEoTWX4>2@G0Jv9|lzEsu7s?Y0(C^JL>W%ABGBkiI?fmlHC0sxmH^9&F@>9Ou=HH}p z*LOoQ{3f1%4bENl>ufH~>qQJW-)Efp4qNeif=R<1SuJbe>|fh+*BI`bjI+DOaGPI+ zt2x)<_F6#u6Vt+RqP}7a{-r)oZT)7Gr?#hwyT)%0zF|VP5Wk6abSt&v_XGXQi*5_? z`+@&te&sRD5f2?LklN&c6D;_cHE587jru+tNJOc z9UWyPVEkPkea)-s_w~@$=*zxX%JtT#ZTC2~-irw=~{n-<0 zSr>&;c`vJDPYvj7t$E{kobR;m?%j6pYVEeO?o(*GIZXGy*exuDx_5BLSyUf6j-$3~ zNZQiEiR~zF?{xQLC$f{W>~JF#&#{Yl=W?Gyn!6W-eC)Zqq!8nJhY1a1NYlBy0luu9 zm~OsU)DPt5IgxTb=9VWKhtk$S&TVA)5WaC2g6E4ePYA7}Umofg^qF$Qq2w%3ANAP= zr{M~9KgWC`=Z$yoMm{^p;3p8o{Sh8o=?@{9&)l(!u1Mymkax$pv{T{Wb6)XmazFDt z#@J6-FXx`Y!B0agV<4b@HbP7b-w_f|EWggut$QcLL}J{XnsH}=pN}46>@t*`MJx`M zn?&!9ad&EN9<0RoF~k`AIp*ExjdQAMNli{%h2`l1^?d;wgwXtS^Nj*}yXp5+fx}CU zZ)qtI~SahE(zE+UoZj+(=JnVQzyPqB(Po4I2 ztGnYC&uG8JLR%xq;OAC%$1R@G?x)9huO#n|TRfxPPmk~B5H;!Nf_I-#-5rbWeF5== z>IX~@aks##V%1o8YNr4j?u=M3g2ZnO1p0li5GDzWgl<; zWrtFxg8JDW@x%}ISK@lXu!P~wPXsEp6R6it-F!=F1g)1w>}nxx8to zTaypgsvxxS*J(_SuNYq6S`i(f2e*g5wW4{bZ>?xa+qYJOipg*1wVGjDJA z_SP)Sy?bxInfYesy_tahFarSUM8h#$pcf?&j`F#(xNR(`z~@}K(&D6rdngP(H3Hmd zx^*E}djh2x!|MOS}c@4TmN z4rLDtJg~)?K;y~vFf`!rn|DtwI*M%OI5L*Xlk-HyElA|$5kP+<+UCWD=5Ms^L7_Nh zn=suZybdN2a=&n8j1nEFhF6DBdDH^bphJ&7g5x-XYU5ltiRB9hFZ9A(o>$pY4~OSIKs?+ICNtgwx-c zZfa@Q`a|1PS<+$IL1;(R*X6gw51LWRy~Rqiajo?HSC2?Zz=~3{W-Ty+r>p1Ir>F=0 zWrVq4+S27hDAS%(v&Dic1|qz6bm5wH1%GZ#KTI~RVq}}#wpAgtVi}l0n-4#pHxj!c z6e5vEo6?!pQCJf^KupKQ1zwNqf&cJAhPYZ?r%Rdho5UizZ56*3KP$}eSy7`8=}d=~ zhSzaJw@A~VyjWJ}^W^jQ40-BCu>vQ2nFU)KfwGLiz*B(7!^DWLt;l>?tM3Eo#Q!l` zxFMDuh9h|XYcqEj7idjl$QK*b%~;%6q;gjKAU(YqQs{UCu!R2xSB)K7sYMjeoA$6V zzTj50#6#pb)~!D_x`qZ6C1oUwL3jglRY-ac5mLBG*Q_rFUPn+=CE|g=*j=~oUU8+g zyNoCrNs&9^$J$~iH&NAARy)Gt!)kBf)v6N8MW zouf4aFCQ-hk0AFaUT$8VPkanIHf~;aRyGWpmR1f7pBQw23f{l5+)?Eeoj=JNml*a!1pAb#gp9n@ok1{N6$<3|xwowR~H-E31UYXUO)!B~@$ zBzUoQF+5~X5h88JLxV?1`o=vxb8H7xe(Z?~>*CK@o4`0;(;NuK>PaRE zqv*UBgevGg=x;r%mhc4I^iKy9p3;AO)H=MJ@(IKJ(kEb9ht<_<}t@8;nAkxunYiS`XI!#;$(8 ztO;(lr!;jAzHP|(<9X?w+unx}G@pwbyts5tw@my_^#OvW?HLy);1Bv0ltCSJllUbt zusJPA*L+8qA><`KY;-LIB@r~nh5NS?XLCN_T^P@M5RXMnk3c$F$#K9b4(?(BGzFd{ z*D3i#-kvpvJ!o&sFl}~C4?d8p>?dts-$*Dm$%&iSzXOQUj?EGEwNT-+l5 zr%H`qMIJ1|;R&FUvA^P50ROY4cy(q=B;MGOG5dPf!nKy}=WKm_O#}8* z@4z`6Zp+{?;o#ZBF4~*mkzet83dn%_Ma#pf{$0AjUfiOgaS6xc4ZK9Uwl%F=T0z7Q z5k=arzCEufdY8RQVzB$go@FiI;zyezo!|1F^ewH+IEf;ygx@$xks`ea2pU?XI3aO9 zEQ^Rc{?$}ZrE$8Hr}ruirBg5vM4%Y0i$5I9kp#B2_y%L(c3qXC{D?(Sv(8|@3$5(A6r?XE%u%r4w9&l!`SJC@fgG#0#3zjF!O(24WBd8F`#54XhvkL zWl6UCqjsZCH@L9B*}YXXs;#x~EYn6<7Ak!sKhBouQ;|&j!d~o`Pg}7Ss^?3M(PVSF zUXJc4><#z7cwrU)I1O7tv)OoOB#{>I{bD$$eAQGDboA>IU~=5KMP6iF`OkZK@6+v3 z?S1~)>T90lMiak)5w8Qck*ivc=%Y!SKK>qCpX^}E*6iRQpX@v#(+L=D?k?h`0g{+d z1IZUviQ4aywmv1=rTADAf-ygr!Ex1zZfkOEid;W~Qsp5B>#aTf5K0f!^#s;7lT zJKTG<6vb^it=#y3CG(v3c}~_Ess#)0U{6c;%3r?;9JbvKMaPL_hDm2ysoigkk3r#I z!ztg*z3l#mZQ51qleC%|5ydu1;_}V?KXToHF222g9+xb~|DMZ`xdgjr8ruY)q;W;; zb>A{21yvk#GIUi_^&q?HvcH>X&cc0)j@Sm!MXHDFxBpd}!1furS(l1r^JJKq)8MPHFgZRA<1}xa*b@|U zY#GyOUa&-%DYQ_H_Z)8?&VA?Y=F)aMu5ur%@hjaUEl9;0nEF823kQw^umsDXrS z!xKq?LtV({YshYRz^8CgRliQal<&Dyb5=mc@;=`9++@dDQ-^X?-SLYV1&V9NXr8OX z3q0$Wy!QGdkHgHnbV1`f2~(K7LDrm!%O^i%2Zu)T*^#8zO5vSyLcXT+__$DN41Xp) z*0}OecCZ9FlfUmXt&Q9t%P)>?e&uGr1{%H2{&ad%Q9(w|>yM&Z{cgAJFp%PwH9n>u zMJPlJN0X&uJW{F*IasKAFAeYRSWDl^fWrweVYc%l;fdYPdhDkhztwO{`| zd{%|24wyDo?Mn3i$NZ|c+M*(BE{NfTFTM~ve4$LcCA^n_coe%g;?E$x`j38-kC(zK z#O}X>SLKKWPy9l<%%&=N=oTN%CB?#@kD*OqtDij-1Jt%lKc*H5usCNExAJ?Y-!9 zb-Z-cIlU*9ImMYL>EDqfg|MW;QTxG}Lny@i+Ft#~w2v_3SZHm&YS!r8g?c|f8Ba9j zkGk{+pOGL%+M14gLF$ziuX|dTXsn2#d_m)?!-U%+IMnIj(;K5 zO%A!D?-SscM@73d1mEuyL~HEl*1zMskJ78}eV0DLLo@oWu6vg*m7@9>B70w#XP&AP z2)X_KFU0@-1%_8~7#8GFd-x_?yQ4In7+9~?LB}wu)gcpuFDuC8X-}OjdK9np+D+yN zV37kXW^@l@uI&6^X1;^NBf;rop7vgCuaDGj@T+&TIf*&Mz2sP$r}~^4LxT@>lsi11 zB}`0|^{XZ)caP>X7&ha;#J3|BfmB5i5oNKheUmMz70d>xYu4KLL}}I*+{U9j8!|VD z#cn~9HB?JaKAU=zPeVlW7PDU-*`ZL(ygyWdUucKhJH@GGE2^ z0Z(=U=Pxc!HlsE3Um}?=Pbi@R+?(=b)LRCvjfG%1>;FMljSo3 zIXnU%<-Vk=C2bM;z^sYIWCz%8*^&LlQ>e?8fXP>&bOIgR~| ze_tMCq`87ff3;eDzWk%4(EIG7p;OL?3GWz`J~`j8sN%;GVerCVPiAeHD60gxM_?m# zx$Zd%O%dCdjbPG~F}U*ltrrNMEPu1D{WDl?BjQ1KjiZdblQfL!x}iS0@3{4m+(*|f z{OihT(hp++<+Jnh?z2s2KXsh~lxi|Er=(Y<_y`r)RNV{>CnJsgcF=tmr0^)*^=qGhSXOsV#|6wA&1x7TQ$9OM5z^_Z-C98A+a!l@aDyPph&QD6dsWcl(NRh*@WUEMO-82!CG7Sjc?vZD}y+-5$hp#9I@Lfr+Ky9V*=m4ZH3GN#uu$tY0UD8VSjorbgLCbUd=-<%d|~66vhoq7$)YAzinaLuyK;XXK=#yk1Weiq z{hgR2Cg^2If72x!^YIe`_i{*Qgz6x%^c-`BUSlVm(Z21p>MgZcoqP~;^`y%Tn|bl1 z#O_ZGim|__1Q>@rKofr`yq6CH@;g~yvseTvYpj*5fQV{LVX9vJhP`8;^G#((Y>dJS z+F_m(CD@w+D*N%)J4VZ}=}O#ZsAztX?~Pnmt}`J%NjN{Qg9_QbpLBH`D(krAf zDS}^=88G@sYYzkrjz8C%I(Xr)z#p^u(@x3Yc1Q7*`ND->R)?m%D z|6Yn#zg8IO&1d~mV_bGNI^`wincF(^$J>oBvgoM7XvwMh2ayel{wb*kE%B#&38hp3 z_8|Y2i=Q_;sB+m=O+qJs{I~m$N$_eF>&rF#!~Q>^p3b!E`RZ#1Sj5(mrS|I^Ql_jl zKB{}=b^)4yUNwqnQ5%@DQ)1XoQHV3V-RKL5T3(bGAA6ZwkatqZ4y zlI}iLpHMvSqQnDzs^+Qb4p|8kFWaAlp-*#PeX0y7=?>GQhR}8WR`;hHbwPhm4Y?)6 z=Tx4gBt7Z>CfRofzf8Pbdy;VOw+g&IHGDidHCz)ApYtY4ysUmwO5LdIw(+TQrlUJN zj2!Y?Jv9sl`Be2htzk$a6{r?|4aM8xFqI@YHBYO04_+`iWdW(0#+S<5_@@5+a$W-+ zbRu%v>sx#8myg_BdGiob(%#$SP*J(&_}_k^z2L6^o(;$U1au6vbyHb(u+Fi`6D(ye z|I_}S%ti3)X6P=!UG$4)v#vVLT%&5g*tgym zQ>gyCijnask*KxD9jGy=z4))>8pw4PVxnCbsP(t*gY`u&?Y@w-mf#P*s-}b==27F# z#(3$lmku}o$wYB{ujgaNGSd9!gBU1nPIW7~Vi#@U+$snXJX!XSi+cRQ%ko!UZFh!^ zzJPb0H}#@Ji3i6~EHMph)ls$=wpc1#rAX4ZRbEw5Vn>UqV8zdG$+d0?g34A_yxv%p z_|ND!bF8Ss^1^Ec{&VNw(YZX2H5O$|5(T^!M+1K_i#6S2HT1u1+gXeX|Mz8Xy~wI}-<+Un&Md77VB8?tYw5b6K<&bX^+ zs|!oqOvxht#Uwo+FVOjA5cm0CPJy!XqJUpXzUGmzU)E^{L@I-YzpRv#>pq-YX$>1{ zpIa%{S!r#fQkeeN7M{*N%_`u!xs_;`4I11BOkc=q%zIj@5jqgE70OWOLkTNR2VrdL7R%Y;ip~EI!%eN&!^XZ<1 zb+G)2i-S{#ujvuD#jT7Q?1TrQvf#K+O9A6r`cv3m5* zY}l6D-6eVK!#4x3G+g~;L%^N^-%Dv+!%bulT6v$aLI>Z@S}3gZk+FJH!R9*H$6PrJ z4)Sc#$G6+QZ)Y!xXbd=g(J4pQp!-5#SkYK&a3MIv?!W%NlN5Jm$;tjtIg^c@g6q*V zm7tHAy6vEMwtu4^3M{^*!a*0{{gjGiZoG=LT#ckUe+K~bIs2v62q;cmkV!EWYJy#n zc8ms1h(J!Ta}>7|L+v>NjonKxG?>w=4!=Bz6(`vP{tkmKmqK?@M#7J}uQ@(}GjYIa z>Ngq_M%yol1wRH*=e<4X7>5YS1<~9@`*?`z0V{DNh3ZoP*m>lvGPwRHr#_~LY_>c{Q4eWFB^@86YI!K}e~ zd@RoYvXRf`8eOBs-ZcbT-0K-HK38AjWb{)>0%-?HtWDys4f?(tC>X|n6JHJu59nz$zsTOzR}AHvA_$I0|0ev z3B3f)l{n9vIQC3rABsG`IQ>cWkaUelHucDL1kv6Udz>x({9ch9m`Gg-{0g+t$w}^A zIrsRP?+&zh2hPDC@?9qYRO1k7?V}qS3oU3iX%16>)@n{u;#pM=vSNRS6(ZbadzFdDc4pY7x+gZ1-*B|yQk^P5#t=+G%T7GGWPhzA#h9#9H%zL!95I3N#5GUls%ioHNyX6w$$h zsc+&SWPX~iV!|DY)d^RH`p9EBti5xWpice3@C zd*Hr!EjNkxLEz2C>Yr-h&WIZ-VzSg}Tea**>IQhP#jlB`lVfHh-c&=^pUtZp)*w^8 zTFU*2DKkpD{BfW3hM$*x7|7O46u)OOk`7?&;);7EKSQaP`@Kpn$x4a-n1q(*Af9AF za2smqCx6;m@s?jVC;UQzj{2ZK?SXzr{vSz9C!c1_GRdN8at}ZpjToAl_tRXT)@XmQ z(%qR&1NePjk$vs^Kz&G;J#4Th8Vp93MF!}7V7vG8W3>-zyC&I)?@YKE?-Ba&`l4u5 zI|;N8DHFjJoGDd8-qih)SUg+oST>n^-g$Uux#D2drFqm{lt2N8gz zYBx?y&Ix#BIDpN`kcStF_9nv0Im1Xw^MYHfZ@fw-JL6;Ba%{w{Un`4Op-INN)31%x z(Y2yHmo01;mo;YR0qx)i@c+gK*(gp{ZY;|S=UPbNKn>d&w}gw6^VqRd%-Wx?GRV0p zF^hnQ7?~bl-xObHGgAfpJW3of#pESC84-s1TJyA|hEgJy#}{0wVnlwVbUzsHFAskz zh

n=OffrkOsqJPngNj8@ar;+K0ZeqWXwN$-Z9mZ_-X9}e<=TsaQdB6*kYW$E zvp!wli71grHq97uc1?lv5>4vZWwquLT4TIj=5t7|k%>eY_^q(_S>KE9+*gwE;!K7G zBx=I0Tr3cOe9*@LDqr8z#5ro|yhx6t(dnPV)SrSbIL!}K#52nPG61=?*0_k82i%AD zssTIe#7Tb*W#VZ<;brDU{$b!gEEKryiV2c4FX41#>#9V!1n!CK#kLn*&PZAo@d_*G zS`ge5>b*YYWJ2r6>!=vu92vB0c0t4=TAHp zHH(}zVHXa)6d}SN1!e5yWjar0sAMNPDHJx9yjd8`O~N8%2$aiBSOTl&UCIQO1^>$K zA1|l6%h4g@tWM{Ijv8k;K@kEy`$bl>_GTGy7-YHLSG;|rq|ihp z9VDX*Ep6Ilw6u8#sns6gqyPo#<69xq=qTMvlbd*r*bfV<5@lavdJ`${pIFk>lhChV zP-m1hX3hQ0@|`PncEb9YIXnEmU=Fz7A>o)vH|?u6dR@N1CD<0pwB*osYTaC$>EsPo zSf%ZpLYMq;r6VFiQMv+jZk2l7!R2mrxlq9C=bRJNMK9I~OG#0$cIGqrD^6)ffYaXy zLRpuo(cNAl6se@8mw7s$poZU(Nz7sUWhsfnm3#x2lq$6^ghknyyi0P37bQ3OVQ z*>h3q_)F9a(p|>qQ!9)GwX?(Lp&CGy9iyhXtLpF}ZMcpkJG9!ml+?HOnaVzs0X87M z^T*GY5h4 zk3_AFfgFKAfe4Ow4TmHmJv2<`5t>-hci));oX+ni`mREw*HL2670nFo%8EEyI6UV> zDnq7+gMS-a1L^0L53&z*EaUaCIUVEOy9LVxtZ!*NtA$q8?-7?V_Ad%W)yl`Q%(3E9 zh^@F{O({6cei#>~<-*CfXBCsH{)#ox8Sm$%1(ELBQBzLjkghWpHU`*5%)^NpjXElX zfY3!yQS@S-%N3Du{4xnp8t59?nH(7AL2G~ujTaY-+w+W^R-3F`xRf{UyYtSsx4!Q6 zWK+Q3FS4v&7CDt*&bdkp!0SYC4BS7ecot0ZQVDS74OM?aoY1GYVbZ_U$2H{;_l!7`<=jRxSt_- z-n>qq+)8bEHqH&>+pgEw6lpVKdNF_K1uz2##?vuz4)XBDbYxVB4TgkcSJXV*jk(7) z2`?JaX(%+^-*h3_Dpto)s+-8zSI8sjlm9?q_deQFp=d8IT(2hKL`v}{?*0vjjyuVk=I01stdkB%#*ld1obTIJw&i$l1MO3N+NPeb#c*NV$Hx<0(|3ultl z8|BUX*=H`G*{E+?@PsfO?B(yNR%Uc7_x=IZF5hvgu@omW%hD?wddNpe5G`t4|F@KkXHbT8mUyX+#2w;}ujMGOb7QJ&=h=kd4UNgioyYcOhH{S-O%w08wd#u1T z0?>=c=i@N{RH%=+UsG}`_aBAB1iYu;k#*)B+r(6m?gSzo{aFfs>RQ@k#sVo4+#S$9 z*CF&V+rHt@{v0;Qe{jNQ@QYuoTBZIggHa%e0_c1yYQ-G&ty5{gG07qli=N3y8RgtT z85P}WT25p8z(#ejtdeE?IKa|6)7i&SO z7t_!5m}_-uaEMZTFBhd)&LpgOqj$MATNf7`4p2=Oe;sTiH zhsD8(N_ircpTigr8?<)+1!VX6wZw))*~~Q1a)~iuy3VPLsSM-`S^sQ!Q)or9l`QeV zE@p2(YOf>I`nKU5NzQt_omBxqVCP(}d}m;XB;o{8iDbDDF@PuVS!-C{ zl9<(gM6a)$`Z(Bx#)8%D>HC}DmvYm)$k+R;gS(8g;`S(mnViK^ z#a&U$yGmH=I`_Lnk

- z5m$P>-D0md3i$c>#`_`lEj}jGcypM3$j7`&%_;m&TML1$XCfm7UJt%7>XCzv#yei!}E>ODB4*npI2G19hM=gKJuO zzkE;2%i^1xO&iS()IEl>#GLMK5!XEp6We|6G1U0tI?IDBb4S$=wZ{xT#*vNa9t_#l zIfo6;q``gMBLC!fEN8Q##FNq0yF}dEje_{=oK#=9I6%`>otgrA8kAZ?1)5J@j={N@j)p>!AqeXqWB(@0zM!p&~GGKzyZo{ zqDMxIvA zC>~Q#dXq%y$w8ToNwo8KX7cw{#k8U}eRcF%O?0awDoBfaQRL_9>*XqUd}Z953cui) zqjLi7oUGhQD;{3SW9U5VoUFW(kJM*uH0RzqS-Irv6g0QExb$7wXLU|iUTLP-X9;!= z9o0EmxzwIr_gV1i&q<%LX|KCl5H;^BtTbbTRhVr^o`&@Aputzfs#WM}HfrXU0$$!G%2OQ*M)npqGvNm1v9}AHZr|!23Vr(-3;q4b)#y6OT=hB`U1QI` zIyuo~K@zHV;`fUDQAoz@A;zlQ z(Ym7dMoh-$T~K%cC*8`|NX~s3D>}col(v+f6YVMNdpT+iEjI2fjCwoU(7uke^lT^a2aTf*T!r zk%ewOCUlX7ZaF4&v4w6iCiI^Np(@E{5xQ?FQEfMbY#rr$@km_ICjw=)YOP(+Cj(u} zP&{OCh%#kdp}xQ9k%+s=Ag*eHH@@y|2rfvbdm9?vN~UWYLh=tS|It#eEROWr0zh9; znhfaGhQ2(|YYpYpcH;iX&|L$)!O(eu-el<9KyNX0$3Sm0bWWg444oC|j}6@}(4~fM z8|Y6AeQBUSGjyv!?=kcxf!=HA=7HXC=%#@_VCW`+K4|Dhfj(sD27x|e=z4)ZX6QPB zK4IwEfj(vEivoSd&@}@64?|xV=nKotLP`m$lbT<{&}RaDk)cloy0)QD1iFr)j|IA( zp^pT*!2p&1{aMkOC;BiB-M?hH#l5y7$ z)G4eRD7H+s?r1SsL8E8xmkweP!ZRmAWh)Vy>1U0+b`dy=| zmBHsV(F+Y-7!(#8s?^Rk^stEArf{~!mACP>@=ca_ZixeTzF=|RTM*FCkD%1(2@&_( zhT;VxZkCw1hXmTbnJCxl5?8yi{>kVb5fs{V_awH!f`?28W-)OjZ&VoJA%TNMnN|} zd46@z)T=G-zXyfQ4ZSbWmm2!BKsPb;mlNi}Z=d>k#NEg!JQ(OJ4AnhT?VN33adrFD z%MHCI=x%DL?xb=G*l9>=`6T{Fs!IbHpm-ruuRj*(28KQq=%$9=ALv$wGHN2VZ4F%- z=o~|D3l!TR^KeU`%=aaFeW2I|iDHkC+TMm<5opc?P-6U?#NF58{#&5*;YpX1r-^%@ zp^E~gSIxK=1xjz7D19~*(AkNi&p}y5l<40FivCFy-9+4D4Lvi^cN_ZGf&LdmzZmH6 z8~W#go?z(b0)3yMp9%E88T#o!|Jcw^1^N*~xn-A9bm^;n=+amDuyUmDyy&~T53*V- z-3M9uFx`ESXiNI6hr0X@_|SdmRUT%F4_)%RH01cj2=l-O;E!iw^yR)F`C;0g5#P71 zRiW65NmuLHFE>=4oM*_wNFLTIamb5%leBIZOE2SM1rpbDrnPpH@y;mjB}Vt4pqsu5 zQjyokQH}lGlOr@e4Ny2H=>DaprjrYLUDVp_by4}SI=2h-)Xt#^9vx{dpew@b4qe9G zw9yrx8mY8>{EVy#y>g_)yuBjOn+=^CD65lFBkmQb`^Sftk@ALrfZz1q@+Lp{S1k|!sTmi)1K*_QlsECgYjZ_OiR8g&OI{s08%9ce z)<}}-vqqAVwmi7*TQg+GXLlqIlc{;l2e>@!A7%R&tD*UUo@1zLa~RoaOVr;QUCB<{ zepoiyL7&YksUSluN1rj9L2BQyvT+V5=+_K=SD;@pR92A7`qa&O-fpSQi9EQZN}suw z!?Q^#Tz)0h9#<5Gv435Dm6~gN@xisSENG`7PPT1jZ$lH$kh=V84zO*Xd22Z=c;+*i zqL4FU@KrN|ZB6T`Nmsk3m0ri=n?26NaXmHV!7cNBq7+>wYYXTyS^3aqvhu-opEOt3 zlPxKcPvQBdCs*!Bjs8Mor@Hfk^g%TaSYmy^tO#wNKz+T%m0j)fD?4@B$62xo+}7AR za^|V+6exGOWKSj=+$(U)_Q`CaP7{c^Ia+ZIT>#NJxkHu%AjnvWKh zn%giM2Qagn{M8zl_NXq`qEIG{-`p}kF7ohYquU<8$(CDUac2hwx861ZP$F4vzC@y z5H<9ClQa6+6GA3)^aXn4xDtbEtk+kJ)^^MaquRRRj^>BjD?4+1Ok9mk`-;)p-ujBs zx>my1W%d=LRXU!pD@NBSnqb@Vpb^@)LFNiJ;k(6P1|=wRPOX05w#!cJ0dC{`i_XohrT1CuB67tQhO)*6#P1C zmtVYgiPCR^W?x9$z9XV)*gp^MoB+#4RtSDB(DxbIcSKa4;fY0}y7W~(yxXLt@5iZ9 z^0SZyvyifL zUT_C*V4|y^^nJaQ9=oTnfUkV;^(9(4(4J9nRDNZjjS_lxk++nyz8j%(yFJ^d)nmOb zDwm!?MUB|teV0yfrMMu`T-RF5(tLM&2HICmX~uk*6{Ec_D))PTQTfnyRM$~xp^4Xl zwAaAhXqszTRu=iH9_haJdajkL`xaZ-_AeZQOTODf{k+>zTHWMj)5@*R$<9e6iCIa0 zhv|>IOje#T-<$U2%Ht$+&1;vmITN!OZzT^PJj`(lrB+WWK;Ff8@-u!XD>t_0w_|TT zUTftmPLi0YBLFB`7!VTg5u0O9;X-T_uFPvCfQNc1_pSZ@dk& zYx?aaz9^(MH4Fvq7H*GpmXv%Y-Pw`aFnd&PHwtrtg6~F=56nl0%wSWtTaCipKs~M~ z++uNeinwiCvt5dtje*tCbAE}*T zbX6BC%9GP2s@CsYA!Y*w{I;g8?5loW4v-)~&`@a#y9|B(89+`SGKh-U0u2)DHBWA2Z|gaWvouE`klAOi>&eMYvrE^)W-@M5BmIq z=2m@_xJ#i4-L~D^rZCmBvvVU4mz0v{nDpR4eJ)L-Be%#kx__X2lN2@$PJYYiYQGd# zTgKHX^pmmP&++FECUi2tTjos;K$BsJy|NNAhrG zpgAT5J+eGR8zv8ampV+j;-q z`1{u2PWx`%9P^W3&8qwDyt*OSd8SgN^g%(lALo2O=wXour>k3k^E^}H9vyLW7a*uk zpKRB0`-b4W!2k+x3%YIYv}f7F;sUev^lw*(fx7I&D02f zYxxfw#cvb7rBpPi-(7rTp!<|%hp7>N5NP{W<+&DDw;#XC(945@-$#5~pzZsfUuhJs zh`4@6p6+FCmu+vO@WY@m%g`l(w#&Ac#l14(Zg1!vfws%mzK^&~!8xgWuU}@V=?3O@ zDcUFXv~P@V=V1>^?b;~YHiq66sNW5(yR3J&xYtEozXkdyfws%mzSFx+VLwaldqLN^ zqZ)DwKaIG4%G2F}?rU@}YvxyXZ*O67bwBlQ8>&09os;6jW)@dBXSZA7))rT%BRYlq zBfoyj_5*>o+r@7hUEOf)QldKE(Bl4T!qi+!B)@)hw(j2E*y!q3aF-HseSM4jaO7bl zLv<^-w*blSdKUMQpwKQ`yWZLqoRg0heU7FbsOo`z#$N>-po|1k)0PYkP)10JvNjqD z>l!M$>l^xT#NEWu2Lj#P(0c>j+E7NXq_&Nr__RQ08M-9U{S3vPCN8#2mI6Bmlr!HG zr5^^xYDpA}1{B*MQF?MvPHa!~tAQSG=ox{2z|hkI{g9!b4D_Rho)~Dax0zLM=avdX zO7O{~l>DlR-do~Pzt39DuTg3q)W@3|OJ7n#ldeX9PZ@=Eg2H6Rrdvnc9Ji3R#sO(< zgN`3NfokmJBUX(p)BYu{tnIXaN26b`vc0#&C-n1%E(o;qta7LGtny*1#Jt7s7IEv$K_qV z9;MWj+-p;J@wh(QY6aJjYx}kaSG}cYgxt%+xuWW=-Li&RE7JBsUu|tj-rHe(&|X98 zrM(rd74_!rO{nKgE8-Sg&h`%}!HP`{^V&c!HB@oavquf7wM=GR$@~dZb19KLczzEI z3X3dfvP;f1^tg!I)&#CK7Dn93=yl!Wls-rJAapW4q_lmPzN(|T^i@7|>8pI`y07x# z`KbG}&vY2;)$LTx{<^)hYiMxqV`V{KZastg!nUpK^SrX4uV_;6`D68O!}PrExm)kE zWCeMj)wZD3L$-6)*0k-u+okV-;BVU!Yxl=FKSU4tj-cRWlV$E@Q-ACucg-TYr651S zm#l_lbG7@}wnTZo$p4&y1aeH0!(rr!9ZiTK1##`HX8%`*)Nd2|fDWt7TTzTuxACX^BjmG;r zkjlxv4y5v-uLG%k=<7hL?(6G7CR*dtp6~WG0(~7wl_af|=<7hLMD=wbl@EO#Nae$_ ztpj0|2WK{6)2&sW`WGlGJwTrxvHL(b4)hU2HwyH@5tJ{VC~w`$%z2TVF^4-E3Fr72 zRP!x;wQ<$@eYJ7r!#o<&pgkfbeYJ7rg~rF-%IsDq4MetbUu|6F!ABCZp!;g$%ANM; z%h$;E)yB2|(MsxNvodL$j}~i?)sKUYY|xYOR{;kowq@1npnbJ*m?c5OFiV-}If3@o{gpfG8+RTK?rdUcZ*Rl5w{H~=(s#Aj!}y%A zW~+VnS95i~La?vcuYB!1t9)3{j@Pl!!aNCP!%JS>@7X)^K*7 zRbFWw$W-%8YYct0&EYjri)E$Xf=zk$W4(!|P4xpA^>sq1gF(?-C)P_zn2xca(Un-*|Nl%6%hxMO^Ct)Uu0v{w%IU0{{ZzA9R)bt-y z2fOrDKI|W@(APBgJ&2V%eGg*gL*D^g`EXpcmSJ|>OlB-5Im=c3=xU*p@dQk~%cJ+a zwf-(OTchhf*K%F@s_pK+{jU4E?i)RB(LPAu7d!EO#rAwtKYOA|)*{o&2Sp!yrlB*n z=XHOac3QVbx9wf6Z8>Y7Ler)&)%#-SMGdugaQiH(Jjd>}-L%v#7LMCdvUl3!*kN{3 z<_J;GnmBg+GYY+0c4`s_~7$O?6xV?NAzZ({~o=d~`3d@{lcyQXE|#D!pCec5Uu#QlfnfUSgeN-ac=f zQ&qDzIdK(|XBnz}0oz+@I^BG>p-Y0lUp5N=Iq0UPK#7kHw7t7G>5{;^%74sK`Kib{ z+u6_k)ycuTmWhY-Vqag9gu+&a>Re@CiK~-?cd@uPOh}=m1Mrj`etTiq_3pV6+<!?fLWNkNX>u z+GNH!+eBQSsn9OF$=H1dlyXtF)P20^W{1?NpgP;bPyAqiC7~CMXc*pfB2e~Dpz594 zbWklJb(|9hiKIZCa2R5EHGKV5wtY%2C^AtTR=F&>=A(rglQ23nOC@LxseGSlCFV{sT;i zodtD546uL%5`O^ScaB|~3P+cV-}}7ZcWIMDpBqGv$DUqsh^UFBWn>~R_BKRnWR!#u z5e;FT!-weFx{O)kVlf)XRQOt@EVIvf8pZzMyie9#jk*ym61QsvyR zZQ?`s-XUjERC=6xAnr(CK$Z7=(N?;=v$D?;Ov*Nf>vE=TJ+F?wyXlh(;lQmFIR}pT3Wha_Kq;c=_)PboOPDyGUUGxThG{PvdXY8X8~S_why-X9MpZV zo@dMo8*iR=@TPh&a1sEx}+?DS~2)RghPE1AUt-jW%GwQT{PfnKo?U%+|<- z3Mu2NYiFheZvra1#CZTL-A&r*Wl#ElZh_*XT?Dq#8l{ulQ1M}6btL^%<>@p_UMXmoQ`uG0001ZoTZULOT$1Ah41+lkG(g^Cel-rL%~C(2c@8RTDHklmo%Go zc2g+$fuNG?)aGzF?bzbHY>)9N8RCR@NS;(rWN?Bt^d@>#3R(As=b~kvS zJ+6BbA}QJoSPgVmZZbA_F7 literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.db_info b/YL_pulsar/db/YL_pulsar.db_info new file mode 100644 index 0000000..1e870f3 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Mon May 04 09:42:20 2020 diff --git a/YL_pulsar/db/YL_pulsar.eda.qmsg b/YL_pulsar/db/YL_pulsar.eda.qmsg new file mode 100644 index 0000000..b4d8018 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.eda.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559815461 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559815462 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:36:55 2020 " "Processing started: Mon May 04 10:36:55 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559815462 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588559815462 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar " "Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588559815462 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "YL_pulsar.vo C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/simulation/modelsim/ simulation " "Generated file YL_pulsar.vo in folder \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1588559816022 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4529 " "Peak virtual memory: 4529 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559816071 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:36:56 2020 " "Processing ended: Mon May 04 10:36:56 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559816071 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559816071 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559816071 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588559816071 ""} diff --git a/YL_pulsar/db/YL_pulsar.eds_overflow b/YL_pulsar/db/YL_pulsar.eds_overflow new file mode 100644 index 0000000..8d9f781 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.eds_overflow @@ -0,0 +1 @@ +118 \ No newline at end of file diff --git a/YL_pulsar/db/YL_pulsar.fit.qmsg b/YL_pulsar/db/YL_pulsar.fit.qmsg new file mode 100644 index 0000000..be09c5f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.fit.qmsg @@ -0,0 +1,46 @@ +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1588559802374 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "YL_pulsar EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"YL_pulsar\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1588559802383 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588559802435 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588559802436 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1588559802547 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1588559802562 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588559803307 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588559803307 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588559803307 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1588559803307 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 22 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588559803309 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 23 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588559803309 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 24 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588559803309 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1588559803309 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "4 4 " "No exact pin location assignment(s) for 4 pins of 4 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "output " "Pin output not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { output } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 120 464 640 136 "output" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { output } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 4 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588559803397 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "key " "Pin key not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { key } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 184 80 248 200 "key" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { key } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 7 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588559803397 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clk " "Pin clk not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 120 168 336 136 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 5 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588559803397 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "reset " "Pin reset not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 136 168 336 152 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 6 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588559803397 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1588559803397 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_pulsar.sdc " "Synopsys Design Constraints File file not found: 'YL_pulsar.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1588559803540 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1588559803541 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1588559803545 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) " "Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588559803582 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clk } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 120 168 336 136 "clk" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clk } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 5 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588559803582 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) " "Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G1 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588559803582 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { reset } } } { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 136 168 336 152 "reset" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { reset } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 0 { 0 ""} 0 6 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588559803582 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1588559803666 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588559803667 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588559803668 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588559803669 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588559803669 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1588559803670 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1588559803670 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1588559803670 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1588559803678 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1588559803679 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1588559803679 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "2 unused 3.3V 1 1 0 " "Number of I/O pins in group: 2 (unused VREF, 3.3V VCCIO, 1 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1588559803681 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1588559803681 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1588559803681 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 39 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 2 31 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 39 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 35 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 40 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588559803682 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1588559803682 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1588559803682 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588559803689 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1588559805630 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588559805722 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1588559805738 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1588559806164 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588559806165 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1588559806246 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X12_Y0 X24_Y13 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X12_Y0 to location X24_Y13" { } { { "loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X12_Y0 to location X24_Y13"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X12_Y0 to location X24_Y13"} 12 0 13 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1588559807069 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1588559807069 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588559807374 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1588559807377 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1588559807377 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.20 " "Total time spent on timing analysis during the Fitter is 0.20 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1588559807386 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588559807390 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "1 " "Found 1 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "output 0 " "Pin \"output\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588559807392 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1588559807392 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588559807505 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588559807514 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588559807660 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588559807965 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1588559808076 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.fit.smsg " "Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1588559808175 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4844 " "Peak virtual memory: 4844 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559808485 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:36:48 2020 " "Processing ended: Mon May 04 10:36:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559808485 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559808485 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559808485 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1588559808485 ""} diff --git a/YL_pulsar/db/YL_pulsar.fnsim.cdb b/YL_pulsar/db/YL_pulsar.fnsim.cdb new file mode 100644 index 0000000000000000000000000000000000000000..599f54378aeda552d8b5cf468ab4426f11377a24 GIT binary patch literal 3138 zcmeH}`#TegAIIepm5fq$atS9V3UkfMt&U7M=91V%?#yf`cgrZ9QyoMozBq=t#^%zD zB3sHWOBkEWtR2TK=Du8JY+vVl{)+GOoX_WZKCd4>&*%Na`+5KHIUyz{MwC8uFryCI z>VWP+kx|Hqa5V!XkUq#j%_;_o4pcKVGE~zy(K9gAGt@UQQgaQ8j70_nsX6-vpwtZ1 zTlRNwmt&ac0_(Mwtb{Q%VxyQ6%Lz0}D+!2K% z9e}CBzVO*x-j3Mnapjb@_u`!Zb;&d8a|y;#^2zQt?S#~t@RntO;$FoqJ<^Zo%J9Oz zon0C1-Gd7L;W5=yl(+fujEAsk$b;LyuPzJS0|8M^3gJACkf)sVTG^rP zdDW6atxkPI69)l6bUQQLMn|!)BKlsi(1}h(Z0d_$t*!ZK+sv{E&!OC;3Ysr9X(8ra z$i@nb3^B4>tmHkVum4dDPi|A8FISh1y0rs%g60>=nZ6n&W=>v|XTJ=|5Fz8@5+bp;;JlP6@D43&lXgmWRgr3Vf)Tjkb(h zi{CR@j~cAlc7Y^du_xC8IGdojhdp-(X{kppH1z(mG92E{iWHcwuEs^M&#H|2v<^5= zT5*#c>EU@E&qO|r5euZ3B0GU}dU3jMsMFo0+~oMgjoZt`psiYV@mEuc4TmJ7*r(~( z;m`}^6wQH|xKMY_P?be+djWq2Oq&F{L(f3wFQw{!M6QV%X$X6|WRg$!nf;$GTF&!nv6r?YZrm~Vnr(`1 zfPVz4P&KJ%HU}KJ-4EUQi@DID&okRsJ&1xO78C1~L=xZ#x)QZL+qUO#WNGABR@sn| zqKg(NPbc(&k@-#rJ-=}DN0ZoEBSzk)Pj&Nb#2r^FH+8(Z7OSCF32|c|@>e%pr~IT5 z6p~i#_(z2=i3pbr_+?%Z_cw_S+ORsS;&bHEf>V>rs;brFEDw@r^GeAsg1bJ_BzP(-Mf@KS{?Ze5N!Z7IR zxPFdmg`m(Wt629ARw<^eYr9_)Tb|ZJY{{o^yqrdT%z}yhFSmE=`F*i;;l;xoc`TrB zbz(`Oqu{TRj_-!yq!7-AD$qtmyzD9Lc}6{rpiu@8bu4DgwlKgt*gsi^B6_3E=SI32AZjgnRBRcdnn_uV zUcVw5E9ZGjjrZTW6zQ``FPR-FWG}@Xf=5r+;mOa|`#`7yH<{2B=^Isv{^n6*=#0jn2-+-T3Km|66C{c7IYmO>w*ot20NFg zQ;M|Z1qqnGa%`Ck(2;^_DKU;Y%}&8(CN60)8S6_XpW%gRct`}xuN!}KIR5-=bm&>@ zhZZ}RNy0^8EUagPO5fRTp)Iz_e+sKIH7V>OLWM+Idn00OUIAbk_8=0Kwz^IB`fhEc zMZw{*Puv1uqxuUdg*-3g(#Eg0)h%UkO*kMa+2j;>z`X}U_ik3`vqT{f2%h$YSK|Vv ztD-oUK`hb5=LDe^K>bNdMeX|pxL#QyFDi{7GP}IS1EL*ogl*a^b_%6k zoHg3>VtW)`eRFxUs$?QcFQOv%Nle!C1a`3dwt%8C-(RX)#j&%KmG@|4S15Cu6Sj zrNqQUqWD9e2FaWUoU8P$P2g zNWIQD!53G?qSJYkAljKP_Sy5&Ci&N-=npos+vB5f8q4i>=aapEe7+=M%RUDAM3cLT z0zv)aKIo*FCbtXm>Z-5KoU+HAnhd5RtnO-RzYTa>U7NH&_$V(AreRQ$OO`~!^h@1{ z*uIB?z*TT|Q`}&kyOp+-V)=r?xH&ZY$jKmQt_i}@?sS9v?piE%g{zV+vEO@dZo&IJ z4B*gL?k1_CpAHr=CV#N2PSYi4S@}sZn0tvk!b6*&-VXtEzYlX1=WC`IKk=&9CkNyJ z05zzzry@i%C!jLgE2ly$wU}{OaeB41Gv3gH-2k$d?U2CrWZJZ4k;h!ClUX?sTN!>A zdD70_SMJq`y06mWHLqKJPxv8DX8TH3V#8P-%kPn=X@xPf#O#5;O@nW7CX2VDSmKwcX#)%_kPKH@6XH5 z+H10tnc2yfN!DOOK|xiaK>wTF{tfYetYzuyX6x)k&c?ya%FISC{@vEWf}EX$ot%}M zg^itsot2G)T;0;u!`9rAT-DUvo}7(bU7lRq(u`cn!q)wtrw{vo!a+evQT&&n9?|}n zf6)CedqJNXBK;(h%Dj-=1@7YYJn_OA_KZ>|D;nTGvw6#rj_!T#4r1LUAWyImw~}_k znp%^xz@0ZYT)S&FD)S--fugW*_gp-`FKjTZ|5{Cy*bAqA`S8^4s18`uH12w^N>V(O zZ~N2M8R)O=ueoMu2)4f2AIs)3y-D!sZYnc(xm%1%?6>#m9g@bdTZ7mTHEEmr65fG( zu8LKI^2lIi4gw%MKS-G4Lt?&NQo5{q1kD)zomy4hRqDqhZqG%qk--Uk2D+8$atATh$(g!AVU@w%o=j)Jp@?6d zR&qqR#*2#Ku!g1X-}9EGHtkz(+DoCSb5@2JMW4S8zX!+4*uHSEu7WCPz_q&Zw1G@u zz2?gH0odk<2WrMnxb@<}NvzxmS}z#Oc=H{$jcI>T?LGPj(eodxu&oHL=)0s4p#)#@ z|GS`Qg`U#Ez7Nf-R_`!$H6oLiE70Kjjg@EfgfLjd)XGG#dFZst;Nkz{{hx3(9X=*x z&Saw)E==%Nh+0fWe4I2oKA8G)J&m97(ZGCU2qJnezZ6=JLn^qEaJJP6O~)y8KEVVv zL(}2@M=Bnt+UeJ+vd~Rs z6BD<*9WE+3@?Nn{EX$%k_(jF5OLExg)Eui-HAZi{t;5mCvm1(eaN|Q?y9?@&vAEv; z67wdZCfDWZei-^H8>;w|O6OpdP8J0#FYl>1gvyWh+X`k6&n1d^Cp8@vjL|LIXe-~$ zFWKzspgX?pk9q2xI5wSZ`yRFZHGj8`-vK73sMe(Qg=RFBLb7}sY%#j7%vj?WR;qd!WleMBhS^_#M@Nx)HDl>n3-RFe43TY`OfANS(QX_8C=^aX>)pe{Z`UgT1E1B5Xlb8s8L)T>L z!{}Hm9CjzGqxPB?Rt)}>=#aK<3AM2)Q2@cKqZmE!^HS*)au~Rb1`*hncRMOMHTOSq zFZ^w8h3{nIx^(>g<06LWaU3#`uEh3}DOkd$1PZNS{BaHyQ=9{pnu2qN7wexv_0RZ% zC7u%^yIMIa@o|Ye9#_~}E=HZ)jKiJ$=O4QMq4ysK|6$}GCV1n%ZH~Ej%x=o3ocLZZ zGFCaoy(OygJin7doX<8wM1l~)ZdeswO$7y6wJNYL++QNJ>oaP2q}&5VcAJ}??}j6e zg_musoW45Zwb#yPfbW)C8iz%1;5nYN=&WfJgqY5lly0n=#vEiUWaNe(bbFei5^!IW zv`+e;J_;sgdne> z#Mgc`s2g?Oq-=g=d*5S*4&stw6@@*YqxOfzKHw+2896FVdy&%Ek z^*+ILzi*l3H~Ur4zR_Q=wA4ziHT`pm<3&ijLWD?82kLSr960h5m5aX0KmF1t!uG^WF55XMJ_{;5kKRr(~=BUK< zxWMTMx3y2c}G5x}x41}D&Xj#rYfB3UZBj$ydSu8fs z(55_P{q@^TckI32fafkc!CHPGn0shdAF44GX>d-$n_m%anaGis?pUBZR)PavVGSq( z^Q4dNo;%c9b&o&bJ={MlK>G_RwTz-6RdpcBO`r44DDrbY6J z4c%9|DT^0Kx0SIv!&r%Rp<`-sC(c*qwpngwvX$j*{y!G-?s9b5tJ23y%V5mhCf&5 zE^w%Yr+Bw0mqJCjj?T8}D*dMcXL>GkVB7JMjRV*8aq&!=&-W}4x5rh(k}Pom99hwt zHIG@If>DRC+ZDk4xK_y^0WR4c*^IZSw$Z*Y?0WhntW&wP^|;lBS;+A2xH#-A!6_tK z3*27r(`gr<9~(f}w+a7V-VRjukq2lUVlk!2Xe3#8G?WYD&Gh%zGwhq+8F1pO;8z*t z-Yp+YTN0PBacB75!*uFw?QczZm+=BXaz58t_}~wNV*}+r5go#9`+d206_mRW`X?QK zX>ZmSll2k(9QgsS@#Wt>pDUtk=NNu+RhujJj?;*qC0HfPHL(>1Grawb4AcR!ie zj1Vy0;{w}o1blOU{@U?I^-=Ots@Ar!4^3Jm&z&F`0m$AL0M-qSM$19`@KYxnB z$x{w8z!a}5{1RwWq^CW@YF!Y(xfdCT>)bH zMzNOxO>hA8QR1s?$2$M~NlDD&mg~3RM&4SZ;|r?k@MmV1PpkZ47$#J9n4AB=26B z-Rvum(3B55(&#S(s>+;<0GqreHwQHG!UOe&=TZhW{;+!G{X$XCq%^>QWYm+NoN(0x!GFjBA{Ek2TSjJgloua)8bUDlcTW7ML(e zrB>6-@LPjE2^v_uS+(V@aoK$w+*^T?1eEOSiUxg}xeYcI#0=U_-q=G1*3xt!C+tKK z#1kmkWSL}rk=0m|hZDXigBCaxMWsBoEcj*D zQgv~4EI%bwWk>{Jm5(YUM_E530*yZEp%JY+a$ZBmRW&1O6F>d?f37f*!&En!Mn!VO zxmxU{DjUAd=ECoY)g~WdZ+rW4XI!Ewi6)P0@_FKJ(neul7#^SB210Q(1L7oNsSMin zq`+bmJugz+Lzgo02BOs9&5*znQkhR($w-9%emj>kjVYg+S#()DZLv{K`5DaQ(mmSv z64yV9r20*CN;e)z;UU9^HA0P!P73XgdnWOEbj@ge89ldj=|t_#r`y&{MW2A{vE6$3%aI z!QT!eFx;8)o#U4}y=(Kj(n1@Mg&v9fh|`%XQ3xq9Iz3-JxuPAYc4((oxe#G?h1kL# zKN5Jm%?oofC(&7cf<*ZTM>jsu#4^<{=L&|*M5je%jLvb4%JM+}JVX%wngC)>9{)oS z-YAnT-&uL_eJ622@Y?+URUt4QL{DaI)jLRd7h3-*579E?x?KGnr8Kvz15>Xdm$|u} zFMQ0CqbHF#qWq{>IUwq}VlP-jSv0@Q*P4~d3-TdQpw z7=mNZ_Jj@oKr?(dvU25h$DI`t5C2Rr5tl?E1G~+AD42nw`U`2viXkW7oJex!n*oJ{ z)^GwQAv-c?B|qANHa$|YIwiAR#-gX#Hh9A*iNg06b{o^W!rkt%(P2od{2&Y#01=ji zq>YicI-V3J%aXgE2_s}gfC)q5IF)_ZFsLQAQ_jjY9`FQq=L;x03oNCg40Ub0ezy z{p3=qJRgEX=vou(?w&`)PuD8)3Bwj7f;Tizs@z zQGd;=cU5-Y8a~QR$c}*F`L$Em>bg1Tk_rjdzgWB$JgJ{0GO2dTlv0&G)0__@QlHOo zd+RZA!Q|nQ_Gcg8XL#QJX`=wID}QFe_%uuZG{;_tXe2iQ)05Ig{1F#=3*~37nX$3A z>F-JHVikyG(#3U_kQI9~p=P}K;w+ts3M8Q}JSn`{zBU8w=iRKy(%H~+ zC_PY&vS^EF@v7!I8KdW&=R+fLBF2cv&}y5dEEsTQJ!{)5>*k0?QxlTrJcSNyQ-S9dF0YLy{%iluk9qbEj<8I7SE}zw~oCX z*3i53%5h<;3V=Vli`5xBeEslbfuB|tF5W`*Y?7WOqg2>33-!UTw8@T%WQqgW?YIYA zO0!}{t5nD?3^|$ekDl$MM%0R(=OHzBuP;9A^snYndrYL|2jL_Tgf zfvw_m)s#R`!ud3_M#jCFv)n?3u+b+#Aj1&Cv+^pEKEi%9w8dxM^I2x-zBNcXjM~cE zgQa}s&iL7NR;CdJhv8R=d1%UwPsa2dZA1y1TC&YzwS~O~_1O0H5A!gc@FuU#BASAL z^2tABi4^g}34<08c*1Ks!s`}*HxY*CPp7oZgpkcvL+C9b-WM%XqgIjmxPc-2Aai3U zhRW?xMLQoRR@a)d65iI51EX~4dI8qpZ@!QOAxp?Q`>v=culQYB6v>|OAmaCg(GwFw zR}g?tBOJ#9V#>bjLg*R_-~)z#rjdwCqi{~37=$F4Lw5%bu3ide4*3z*VI&k%(Cr!3 zyV$h`ifzpTuTsR(X|Lmm59Q91zkG(re)aq!z(6@5*~cY{xOU}Fnfwq9vbSVg7)l6C zqaaJ7AWNsnOQ2X7Ntl4?`O#R<*(_*MJs8XN#KB%@{V$Kq7eP7>Z&C~+_R9o=xOQIGGMG!T2rSvb;qlV}B=I z%`$*ujG^4RF6!*tB`^q6>kbut+LpTwur7~zUcC~ipdlRkOWxhEm4b{d=W&bEc32uQsh21QEIxKD8sNH)t$LOUvHyU&gMz& z+zSF;l)AbIdc)Id|B%sL9l~pALf1%O@!WCC2##4e?zdKuS9~R;*#gpR3GuXmkg!{H z0G^o1&)2VnGKU^4Avx^32<*ENK`o6P3RbS@JJ0lZW7nhdVE*9R7gkiVZRaUy{)a5LwtYO_I-q5gIDQqOk2~F{6nFiU_ z%N+WSJZ%x^`w9-aRDZm={2ZaJa@J8i4%7~;HJ`jc--sW5FRSbxWW+Ep7&yZNQ5>!W zwZL8r93e`KUg?PbC0lGXZg=m$EH4mm{Y)6ViZqoO|F!lq_uhBPE>-Jtuchw)$oiDh zkf$laL8xX-g&(pgD7{gZc%XRK)bajAWK_cjAFr{)&AP`$^GiK6)hyorhzQL^$jNGP z$bU7wmScJ@!yw>~h2o&gHQbGviHk#Fq!Wc_`L{PO!^QMrtgr)?Hu!Q&rwV=L-jqX0M z?*Uh)yR&j2mv_cd_pW(ro2$2C(<3?<-OK%&_#sK}iz+!`JE#YJka5!l7c zT)eBZhj7RtMN8++zk^I}6@(*fq>N~wJygYkf?)5{Pl&#a_E@=Ok+^{MF_^1vJsM_} zea1=sHr8!oD#56KZ4AVG4~Z%9%E6<HHerK=S~}*p`0m~4rf+2%_QMt0nxXVWg$;;ehK;}W6;HDQvhey zaz5+M7yh!57RUOzSyu9=m0F*PYg@28K*w0ElLRAQN5&TpGD9v@nzEJL8&CQ4y-+*O3BsnyDb%tqBIzWO&7 z1X5EQ&JZ7MTJP$RkTgL2bfHlhWmKfYIl5g}>@5-?6 zikxA*L9Vb-`Yu0IpttJ9Wo%7DeyEdk`vVUwnp;ge+;6#<&0aMW`S5|cZ4+~GxZ4h{e{ z6`15-;2)117}s^-r5q5S0R{z`4U{9q-qSJBqFB%HC4p_b18!Su^63l$tp`X#^Ke48 z1!RnUS4(si-rvy5H5>304tT;N_v3T1^LF|O7CSKck*4yagWZnDc_MpC)-q_6>~8$C!#g0d!ft3s)i5EXHg&A4)Oc#M}pry3ftq;<46I z5n@GCj`NL-#xPG%-J%LB{-O+nyr^iGSs7k9;)b)l!wUj_O4b3-0*{ zuf0WsZ8Erg=SNHCm$H0aIL*#behC|Y3a()GQTS1yGe5%xE{t(TUW|RkDtD3U7o9M8 zt>IOOWht#Nwec?mwaCfMky#L&(%;{w8|INdG1wticfw(CE4SAZ`S>gCfBQ;K@94z( z)COu%lJt|1^i!AgQ;=MxpsWruG(hJzx3X8aSJ0n1-Pd(1;c0GaY#g`Cota%-off3y zE_h#wHC@=g;5bObOIuM0xrxfzi<25Gu;-uGhaNw|9MJXrr;OJ75ixi?puw|P>7^5UEz|R@1$Xe`cmJ%Czlu zIf`%EvuC~Isz%K>bw^B-y;q$o_n<0ndh{Y+8ogoF7Xv*N(E<$T@c3M9WKG)y&`>^g##O{1VFY=!6oHtTr z=!8q0QQlP_sA}!Uybk-lru+!B`HlTG9(cG$4qg?wzzuHd=<9vOrqjb{YC3BR&AW*Y z)+y;F>CAt8z8*Kz_$Q9Y8+qE@4Sm2uL4AC9K^JN3I^b#&2=`iz7~QX-FaW;*F>GxW zOwU(aACz0`c{%WZqsPfxa?oQ@(<4QNl22tIB4e6!X4p`ODH*6@ab@L;iUV2%ZM-(c zLQt65uDWqz-#0|utoWHGv1s|M_j7M@K3-2e$C)C%%@Q!oZYEYb^V7?UwMH#Nf}P;n zG`U0kl#EqmMUBbM2Tm&qIl*aPf1iXPcly z`=RW-qy;Zc?_5I>=1}7Dm`si}X zXxrCejYhxxwlH>T_<)K+~#LOo@RrugO4e`H%T|`en~W&F z^M(6V)@dZWXsx4-inqX)T;M#YcXjPOy*+aMAgYl?lNXd}c5N#rY>SW!z^gw^-mha1 zh%13_-?Eij(@;-Bh?L-b>3Q0ao>Y)!(g2$jHwNW+M&izX@ZSn*r_NG#`*adNF7@@; zu|-~{Gj}b?v9Ki)z0jng`YGROj@D5jfB^m^IU68J?7}kBA7|ut-3*4kUq+}!&~dhB z2Y&PWNB*9Ll9YP5=bs1F&(eZ+X1m%-_W^jCz#S>6UbT{1{QcL*J6lE6=#ri+tuzoo zH_+YakK9fqVMkBJEK&s2)5q`x4B|>Hv}Mg!GdvB|Nx75#W|=hBf{*l?=f(X(t(irG z_}8W7VbOYQm38XzsnwFTct3eCZ|)i|Os^ShBl5S<=X8Gjw@{Frf;fo{`6nhzsdPu8 z7j$V0pI!G^7u6AUnm*& zOYnGi#O9X$EMl}H#$71U<5lO~t^BsVepbaxS^POafUb~Uf4ouzP@`xHw)qpFquk0! z%iHT@b$Y;}vJwd^&i@kyNotVSU7{a*w#&1&0QGo0KU`HBE&d6c4Y|&vI(5IdRNmsy z{Ce7)uGWd2t5Tgri*I&d#_%&PCvG`s9PH(shdqjPkvto^NkV;*+Gqio2hHHL2gJ#7 z7Da2-GrVuD(+-e9?u{U$zT`-Y+`Ent5Dw{7FB97cwojKx&1@21RviFQjCyVGC8Tsm#^E+W zGyHixZ&=8X*D!Qdgs(_8^~)k1JmS=-kHKQwH^j+lB%w*MDK&orQsI!Lp6~eUf%t6- zEz+B+%?^n~q78nnTMPVwl?=(gyrSGh9Cu9?>7aoHLrXq3SyjuPB_U)wDcqA-U6Jck>D#PRa;y23@#MDY$8?g{8PW?GqOJ>BVjjT0w`q-nP?n zW(U}mdoDKc6g{K#H3-Tdt|q9`)KbQ_slZ+S!$oZYlt~;G+orvWa9mu}lGsk6m5^2N zMm^Kp%_-^yN0_a}6WqZDavdPW#0T!#IRoWzx%gU>Lom;TcLHpI?1_FZPVZV(tTpN1 zxA5cyvTdufC<{C)Xom9N#5`UrbILQoS8IS_lpmU`h860CT2OP-$$P8ki No`uJICi_qA_#aIdkE;Lx literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.fnsim.qmsg b/YL_pulsar/db/YL_pulsar.fnsim.qmsg new file mode 100644 index 0000000..67f5b13 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.fnsim.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588561900618 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Functional Simulation Netlist Generation Quartus II 64-Bit " "Running Quartus II 64-Bit Functional Simulation Netlist Generation" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588561900619 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:11:40 2020 " "Processing started: Mon May 04 11:11:40 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588561900619 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588561900619 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map YL_pulsar -c YL_pulsar --generate_functional_sim_netlist " "Command: quartus_map YL_pulsar -c YL_pulsar --generate_functional_sim_netlist" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588561900620 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588561901575 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pulsar.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pulsar.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 pulsar " "Found entity 1: pulsar" { } { { "YL_pulsar.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588561901730 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588561901730 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pulsar.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pulsar.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_pulsar " "Found entity 1: YL_pulsar" { } { { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588561901740 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588561901740 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_pulsar " "Elaborating entity \"YL_pulsar\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588561901819 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "pulsar pulsar:inst " "Elaborating entity \"pulsar\" for hierarchy \"pulsar:inst\"" { } { { "YL_pulsar.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 96 336 464 208 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588561901825 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Functional Simulation Netlist Generation 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Functional Simulation Netlist Generation was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4578 " "Peak virtual memory: 4578 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588561901989 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:11:41 2020 " "Processing ended: Mon May 04 11:11:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588561901989 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588561901989 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588561901989 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588561901989 ""} diff --git a/YL_pulsar/db/YL_pulsar.hier_info b/YL_pulsar/db/YL_pulsar.hier_info new file mode 100644 index 0000000..fe9f984 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.hier_info @@ -0,0 +1,21 @@ +|YL_pulsar +output <= pulsar:inst.o +clk => pulsar:inst.clk +reset => pulsar:inst.reset +key => inst99.IN0 + + +|YL_pulsar|pulsar:inst +clk => ss~0.IN1 +reset => ss~2.IN1 +key => _~6.IN0 +key => _~8.IN1 +key => _~9.IN0 +key => _~11.IN1 +key => _~12.IN0 +key => _~14.IN1 +key => _~15.IN0 +key => _~17.IN1 +o <= ss$o.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/YL_pulsar/db/YL_pulsar.hif b/YL_pulsar/db/YL_pulsar.hif new file mode 100644 index 0000000000000000000000000000000000000000..755657b02cf427e628fb51e1f9d97c9bdac471c9 GIT binary patch literal 428 zcmV;d0aO004*>uG0001ZoRyMaZ__Xo#ow>Qcd)bsvTr#tZo~^#E6azdE{B;y5RlaQw~<5_CO3P3ms?vB1idnA%A@tckzJlA2U+8vmcNA)f{oK| z58JM`x$%4JT9bcAD2vB$i5$wKx9r_WHP!Lr2~oL4sT+%p^$F~-Kc?-BLRsk=VP8L6 zTv-nFXiPB_6A|;MU=VqGXR(yq*26r2L5mIo1_Ih)+TeazsCqLj zetOSZqX$##9_`@(^ufQ%J*oG(GOe`uqr*laJdu|#{J*jO838>O0ey7@g^xgqJf2X2 z%zvx65Oh++6k}v1t!PO(2OfIAZXB8>uVuUKzm;d2CaaI1<@qdKTrB1C>}s*RfU~b( WR!8t3{|l|!`)!R5;mt2$PUp&&?bL1n literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.ipinfo b/YL_pulsar/db/YL_pulsar.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.lpc.html b/YL_pulsar/db/YL_pulsar.lpc.html new file mode 100644 index 0000000..b14705f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.lpc.html @@ -0,0 +1,34 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst3000100000000
diff --git a/YL_pulsar/db/YL_pulsar.lpc.rdb b/YL_pulsar/db/YL_pulsar.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..cd093f10c3eeae41f006cc20df4c79802164a30b GIT binary patch literal 449 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR&Se6c0tDV5Q-NS1gkm@d6MU-W}yZ=v?qxKsVxSHbrG$c61YOLJya-n_x+$}+2wk#!zbI+bhE1P|#G}fI% zagpkS456u~HlLEUJhi50>eVGvja&79^~iA;9(>L?J$~AZe}y;9UH+G?5KQn_3;+2w zZ0i+OKbuQ=Ol+sBblRt<@i@6nF3x9vf$8rWlx`5`Ae3vta&Zqj|okZ&>=7>{l_K9X3gmTO)V2{!0GN0(pj(`qRFXOhjlrJeZ*1Z~wg_ zTs}NLe80c~5$0wo0b^qihLf`R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map.bpm b/YL_pulsar/db/YL_pulsar.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..414bc88a96acb1d68b6213934466e4f44be2adb1 GIT binary patch literal 537 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JReq;ig0t9nGrUJn%2*n@?6|Z325@hRt z*g!ycKC|%thWQP%%N9vzSu2EUG4uFLo4sSLYnJ=A<|Fa!jys-zyR?PbCt{b){oT)Z ze?IDa*+hJA-22%dZuoWnSCT7p{Vi;y<91}@xosCd$^7Rooa=kM zSw~pkIPz+0K%B(v>b)1uRt1T1Pu%UB>J@v!>u1KILbEqVx8K{jqrV{EM)H+HY3sRN zGC!m@ZT-|5GexgncBPfo&P!p{qAO=?RGhZt$9L`p@4Wb?pWe+AduZLs4SL%zoGX2< zc3@9ow8h<<%N6SF%9(!r@#s5#;8sgT-@lI=uzB|9MF;8v3$S0$Am`Fj+qiN4NutKvF~x{aun)t Zd}U)38x!+4;4RZh;{ql_V0``m4*)G&;D-PJ literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map.cdb b/YL_pulsar/db/YL_pulsar.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..84a9690f830c67390ef66f2bbcc3d4382db4aca5 GIT binary patch literal 2429 zcmeH|`#TegAIJGtirC~>C~4hr;<%GbB0`6nNz1J%mpNwGG50Za*|A(koF&R7irnv% zi6JL7GY-Qh+rgJ*n#E@0h@HOY{1@NnJn!fEyncCqK0myl&-1(w$;immAC&zzqrb`F z8*d;m(MWV8*x1y-$iNuv5Q_{C2Ai0gfQ>8+jZF+qjEqgeo(N1FG6(^N1_YtN#$ZoZ zuoof_>=cZQ`L^ld`@eHCGRty*Lq@pwAL8WyVS?=EM^`bRbE^0m2zajQY{0MI`wdY* z=U0%2?K>9CgAWx_v|Cgg!MXO7v?%V=lrF*Y2995PJu0)W>a1<`i;h|C+HD`S za@m-fl8etz;TkNuy>GJGfyHB}W%=1rT{cu@Lw4m(SMVoyA%psJt>E{bz7YsH2Q#EP z07gSloqd&-g2)e%^-hM0hp^7%18zB;56G$x^G;O=4Tp@-AodEsYRi92666~pC3$(0 zw=LM-HW5G;dYpej(QDj*n^d%HO4x1wP15>BMGH>7W&xX zPf(_T)~j1W8UZL>A50c+efdvcq4f4j$yV`NpZ0KeuOx-I5Q5p=5r*X_yXRR%+}UvN zFYL+Ws26uA-px~X#4O1=GYEhnooekvrS+p;?Zop-pJYF)F!D!`Y_R}0yC zcFXVE4M)0D{Z}|=8kSq(Jj@Yd^1aSIyo5Idq0-t%Kc{Z^Tcl-AmgCa8cW*;x3taGO zQRJ*B>3xHHDrryZjwh3>GXc~4BmRtIOamMFwR9`8@uc637m@8J=n=zj9zmFMOJ{uyO3GLYDjWSRrl^|Tc%);{o%igTjvw|%Gss6-k8PIcs9h$xWaqYibbW~|L+(uVB(-o zK{%@tnicM>vCrrFOFW+pI&&B|$Lgxabe*AP_~w?^J%^bs9}qp$41Dx;{ey}Rw)OIM zs{WzJ0NLlq(_IJ%MMSDTdiCve2qnNEiPzlx-8#0rxXxq zx7cQ>nv0A5VJmkuU+Qp_NE##lyiqXK$Umt%VzHJOP@6Rz)cZ)EWV(@T{mS$dE{9)T zSQs}0q_~`C5oqSR+jH7VI*h{9y~j=^FSKTFiDXs-i$}|vbp=LtD@y{poCh&({;tkA zu^$!lvAAXm8k^6A+z1`Ga;?V{mQ&ulaaOb7UTKa~)%5wd6b6ZP5W8ehrkFONB%hEL zExNiOUnBFF1_JGBxrS^PzuOGL^4Q6j?7K?B2n?vEj|!v$sn@)mONPl|qHnW|S9Nsq zSi3Xywa|r+!?#i=Qy!)&<3G{z(93L?$=c(z%$IarV#>Xa`jc`So*J{?v5x=!lYaq0 C4a;Q! literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map.hdb b/YL_pulsar/db/YL_pulsar.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..9b7970a857dc881fd0d476e2228eb32ac4e8e644 GIT binary patch literal 9336 zcmZvC1yCF?^Do664%*^y98#R)aCdiiDNb=KP~07kLUActp-6Fe_j0();ZocWUcdK$ zGvEAYUNXrqlkDznlAYPzNdQPlNEJA*{`H>!s?=Hujoa6-Ub+(2y`kB<&kHb9N{R*pa}ptcfF-^LOsYwh6mkCTY;KjM&(z|{X2 zkW>l&2Yu=PAHF&^#mc0T%?l=mYD1NGCQwkrlzh2UhgA&vg0k9FsK<6FyM0A%A;b{y zaD<@1>nygWN7|yEqQ}7U*2lopLJk&8&{n8iKT4a%M{y1J**80%l?74W-`tj;9?t*u z)<-;!`xDzr=}&9V>UKVuUsoOeD?!}<3g@N*?Qx=&ssH=Ynf<=5yC8wZ&@d$eA|YIP zsw5K47wkUHCfrXno2o?zhQ) z)w}Q2M2)Wat&SS*C8eU6F9X(4?~KFZ7eGx#y<`@&V)-N~s;Ny(AwLj9ZyxbYBC1pb z-xj^cJmr>zGNE)^(V_@{P8fJC@GN#bJp8+$ECAOpd2R#POi%w-femT^{4HS_+J_vA zMuhXfCN5#f7^V0Om)e6eKmR|h|CJ?C z)77z(TP@ruVG(I@*;TeFR4-a+?TYThXClBik@!~49VdEX=NaSF9y5cGMiJ8y|3eNc z(hqsJB7RvnO(}Mj>Mopah)dNJ>GV|Q=cXh~r-;nOM`F{9% z2vshXsH^?z(Qu-^ZG%_!aub!lQM&DgvfxKDEzwCVp5L_yJmICA25l6fEQAq+d;~<} z@(Zx#tX-B4u12v)GPU@N@_Rb7$O@x5m-4NCA5VnuQd7$+7?lv2PRh#73p;bBFCC_Q zN zBf3g)<-%flTKzCT(~swi7V~FV_dS~yhicwVerQcVERInlf42dwVo<9 zJKpz9wB@Iy$tP_Hd)a4}7tbA9h+vYO8vJD`a_A6}A1^HZHqdk`2 zpiE>7svWwFkeODt7S_|&eli@bnX}ECTsT_Chmnp_9&75~a_=DWYu!YeR1Y-MtU`AA z*SGnlIF}-XAZI=E{0))A<`NYfgh2uqyRAZBMjBBMFOl`vO4`6$=A4AY(|NYV%4zeZ z{s*^c8mg<|`YiKWGjs@#CGl6z6N>E{XBD+d4wG-y{m!C{6xLgzgUnEp8S)+{zqI+@ zHtuPBapcK)`=qYSrFTke1w|Zxk=wqS(J=2BpZFC^o(gy6IG6c(LUh@yBK6kc^5Rj> z0;<ITw@zn-r$Vn{;wt(k`ug@*A3F~hn7s8#S z|L8$mkuhMoT>HFLpt$*Cf3EH(91NJr=kvK1EoSWT_!9nas)7oS-RIg0W3yPCXwT&( z${5jnX|^i(&Opzf1I_&AKjGG~aywdW?;69k(d7}|FZ*>#S>-UHq_N`AHVjra{c?E1 za6Id-iayc8h6t(G7>~kzorq{=#T9lMbM*PhEPWJ-3Wwe~!nA>E{YUB8)31=-{yQ}R z2GB2fH6MY2s-_h)yFoNLOhAV>yWWM%qa5!_hsa7LYltl6MP!)bE`ZO;c*{?NzoOvp z8^U?ombUkqgA!I}K-ybfD~;@3(61lptNFHcqdG&IE?FI$qQKI9_qTgEHLus21avXP zW)h?E9q6-j=K1mF8m-CCIALv;_fg&HyEa*yJBg}^?0DnhncL~;4N}a0zMacRUf$F~ zB`F5$0#nmz4wRLy_D=R>PGdZH4c1Y{QAg1b3W#)86ndhlmE-NVujwCPS{EcH3K#aD z=|Xni<<$Wax&VV$0d=KmnH z^q#3+HAvgA;%>0?l43!chS9$oarM5(K1Z)O!)z9IDYf%_Ks)2VlaBvoW4(D#0{*{TZ6E@NArX z#lD7KPNJQ$?PcU6n^p7=Gs>5Q@GRCq=Z}zsD~}<|R8M`5c$oQ52Lf%1!5zn+>RC=U zWqjpm<}d-pEL&sS&yD2Elj&v7`IfA;=Q*mzi(bjFBzJJ_b#e0t$DEgipw6XirBzPUQ;4 zI1+VcZ?>BX>6%S4bn*6UeR6p&!oJ+2$Wz)0M(2yG=_QT|5Tj1&@#Oc?392}@nvQ3! z)(mK*fOGxf+F2(l>gDRc-Wkpq2`o1l(D`99o3{91qV?AKDAJN-xyc^Zt>?-yvMcqL zV!Y&6Ly#zm7#9hT^NASA(?_&yzMRF~q=uU2>iER<0G1q_RcD^P)YH~5Ha_FYZ;+e{ z=fEXBzJe=d>)4K{zo?lt8O6tI!LvT7` z6F-Gz&pq$rOq)}ad&75csb$t4xNj~}baVHoLrd^6&d~oTq`m{Ln{AJbtxr2VAdObp&oW}fMXLiY_aK5VHh0P1G*ZQROlKb~U`9kse z-GRAtGkt*$$MB2Ce3hP<;&VxW%Y1Ojjq(1D=ZW~|z}@WN`YUsndxq!PbbUvfA3@Cl zPYSSA;T2ci4el#YD2h}ge9C9GAdcHZXi zRjXb&M!n4AD2YFeaMDk!4xG&hCw(L@T!p9i%ZF;}i zWTVkM^~KFxoywE@OdmozhUxq@&*Jx2j_eAy%;fJqIDzD~7LO49A9bc-a$+OS0m?_5 z=Y(P;SGaE|pLRB*G=i}_u*jHrnlC!5(Y{N^9k%oLiEM60jWn&C%w8;i)bx{603#x` zI^&+xGYSejLyzq4Zl;Q8v?tJ9cYki^WBtyPjpEZ#s=amy$=HVUtwZ|_w6r4eB1NLn zSR#I+=0JF)Q=AWR^m^X@3h<98oBMkva}J;-Hwxqi>FkiEeE->V`#r@i<}~^+0g*uX zM`b)n0p0{CwU=o>#vE$lTX$a zo-$?JeEJIt+%NJ}(Xq$(Q$GTpcz7=6E!l7l5t0nDUpvPX zF|g}{_LUa&Iph_l>80H=4N2|QOg_Bz7+Yqc9sI(8r^;_lXWr_TXU-fg{=8%T+m2RT zx?zD8hqhI^PV^LSEL_Y~OgpX;x$&nWcXi-FQSBR1t)zeIqo-*(?ddh&nar$W1zX7L z3$oRa8Tiyp*G_>bLOCpnq2+O)&&~u@3QqV@YrBgkVc}*Uzh1kN${sA zXb3*f$wxBk+~P?VT|m;FhU5;2ULVh0EH}=>q$K-zcYNN_Tz=9((<2~jwk4BPEGR1x zXYJIl;`CWT3)SGT`J&@j^QN1iNZ*lQ2du~Oleq$Ow)hujR@6l^i^Gt~jFHgJ|FljOl+B}50NL^C`$;jKb`XFjgZJ^Q+od9KLG%vR1@HiUf`-0G!vr)x6 zt(&NPh4+tA)iD&xu7|^cm1ydN57P`^13nH4rNl3V>+NK+na|E5r+kTL8(fz^EOH8Y zznNj6u9Vb=9!o$k2ECKmLix`CY)rEu6YlM^li9*R```47%?rniO~^*`gHNS5K3+Zq z>^`w8)KR5}o0KDhU>Nv72H^U*IB{k{o#8lZDFtjNJ@{|Nm?!kV9fhD2r!GAU#nM#FB^ZxAo(0Lb!#$k+9*t7WD!9kpLX#=4_LVaPcdCF6P z8w|0;_~+vKh2sjEMdc#Jm=<~#a!3?1TIcv}iu7p`nkM<`L^*w?C5A+_cU4ZwLQ<4v zKpDd_VoXGvwtU?#oI_BQKUgQ^H1KO{Wlj++LSEoiV`I3DwE!9^M}C7@TacI2rJGOz zXK0?8NI5_FDM`2uuz-rmFJI-z>6AsDM@q^$CpW^J&r4zN%sTyGj8dXN@12F)Oi9>E z<(d||LMt5vy14%lbC(r!CrEf)^dRfH{`S^a`iT=sfV4T!RFrD*#>-J(-ls!!IfI{| zR{d+#MrH69+DT+f{!32@4X^Dth4`gmKzTptO=WGs_$ZM)3doe`ElTfElVb@4`PsoJ zeUFqttCMG2pH-QXRXPa;^+j8b{GBfknaDZ&_h>Qm3jlyAV+6SOLtYxw zQLgU$k*1H>RPW3riYkg@+X!MtKCLoP8!M-FbXpc=jL3Ym8Pb!0ueoQb>?=t$sgAgY zJNdVMGWkCKHbGnm>y9Pld|f16Bt+{yo1aFM2)#6QI&Jb0RD2XFZ!@GFvVicCW0b-U z+qrpV?OJ6DE58ivw#6;GMN0}4OkEpeHnaBS1=rM`>}l4FU*0B%`r(1YJTU& z1db^oAaxC|Ik`6*#q=&wIbtI7sKYg6lb(jaNy$8Z;R>onwMj-%a!RW~Y2S@N86a?a ziL-T&)Mg{bX-4S*8L?E5rIL&Z1I|Si1P+71xkKDS_J=A)Zp+!(HMfWS|CGN{;U(MC z$ncGkquWA&w!$Sgg7?b=fq&+0hVmeAI|v+$%!3r&+-0rY)nLghKw{uCeA8V*9!cgh z`!6U7HOyS_@VMtqp{RZj$j#%m1>uTq_qMFryCE61G+I~W2%_7Hqlre_#KysQ@mp-y zgm|j2WR*XEi;2M{3^PrSm#IvTHQAPg>{6r$BS+8}87K0FOLf%Nznf1vIv#BxQMvuB zra7-ZL=-TMgtc-P+>3+-ZowmLl@8)22Uzryl~`Q9C99Fj^~z%*zNe7D49cc9e?nfuRtM41^-h58k zyvl59M1E&5^(unmZ%b`^`+a@0muuxa*Hfp(xGC09y<3usK*+&doI=&l2(L=ebUCY3 zqb_JDJ5FJbRjNP}@GurPbs~WiH1i76T)Hbxjz+GuqjGIdVP4kun@WXp)YTBwjBFYM zbKOSn+v(xoqtn02Rfoe>HvG;<2Zw)oZn}c9u8bs(r8f*Eba;NYwNGOou~eKTDY3TI zPGkR-ij+2q-xGE?>&Sl^COv!bkhAI(<{)S%y~!W(d%blrWfu6c^bI--$%kq!>Lbm< zi_rKf@vWk69I*|5AYqN(hX0>w@NC4*5_*oGAD_JK3q z(irh8IT&34ZWehT_&iqF8B13~dxMt|o8=<5yZ(PftwH|evHM&>oIrcm<!%js(b0v=xRS^4eFM=bjD&Ty$Ib*KNrJU^Uf) zzBQSu{zWQqda?^SGLIbG`!d}7blgQo=?=V@)$I;C;`>V6X49wu^{4 zOd8KSm|F>5Z#$BQsEei7_iFbKV50CbbL0Vc`IEHTjS1AKriX#jEH77|gG!XY#34*- z?wgY_bX_XYqw@>@V@a`Pah;5w|Lnu=D_f>(5(te$N`Kd2LRbRY78ChMD5VX zpR_1_k{u*`pt3K~oJ$ZsF+)-oUh|MSObN|n5upUWZD2*>5ssC1s&QGL@ z<7f4IYpdk6$Z5 z5$eH$miO+ZRMT|;L6sx`ek9sV%bfol)e26GX`cCrOwc>&AWrFL)FBXMsVL9X3ylP= zjWbu}nmC;HP}u?clZ0AMh1>?yG~o_F$rC7DmZpGok%0007}HNLN`zCoVH8RgvOodN z$t?zaLLO(I3~O}fugg=QBBa-HZCD1mni~#P8$nm;yH2T7F-INA8?As_x<%a4Hut%H zt1jwLqQB&0$6b<7G^7#QoUrA@O!Ki7R~hB z7z1|DQV7(DiBn5ZS|%4OV&nM)l!Bk7@D2CiGwmt_?hGlxARKOJ?^+P8RJX0ui^^rV z@N11Rcn4ae#ko);m|k;TVY=p!5J>5kbsnL zxN=56He{15U|4O<4ff&n_u&opImg5bV+g1SXg6u{v9@wC{swI5*ma{<52efPHgkdZ z`nxuC846*}0KlGqjLidysgzW*f6TztsTW=zAs`i$!A$UnvSq`OgCr&fCv@X!oM~T{ zI`&~F+ukqWx4fmHU#M7cm3&Vd>V+7=R2Vo27YCDe~H6VtDrKBfRwYHj;o2iHuU!p|YYcP(ZLc^v8e87tl*3JF20 zlK(4O!h>{L*Hl{me;Qd2v4ycgPl3jR9G~oy61?jG5hn<67@Uo_zLlh7< zIb7iNxX?w$_{$Sg>kgEJcB&3mkP8W59YxA*;_oPwW(Z0%0u{FYj|-F!SvdK~6n7HS zE7P|LvP8!0uE~g%;mC+Jp_Q)uLi@rfoi0HkS%`q2UkFI}hPS?L6<=;$!Ztu>4+Iut z==BYvj1Cew&j0$rIa6iuhm?s`aSeEhD8y~1AT;w|@^th(8F&o!4+;CNrL4{jpmp=; z96JOj&pPD!P70kl(MzBWbB0WA#t08YMalci^X27I<@N0WrVqWZ139VSjIbd#a4oIz%;&^Mb&16^>x+Fzz`9N^fG539$pU5Rfw_h9pzjb9y zPH4wmF#@DY&J_Xf#nE8#(57MO%=O@V7DBJrR`2e_O;k?MAH!ps%w?Vcm)U9MG05;5Q0px2?EDC;Z0uFLKU6J@=Hk){icmEozCA_Ph1&IFr3%H{_^wE!GKg$eDx?=_@bzh((6_0k_r2Ji zrYNEsfUo@LBo^}2xUY3DB15`e|PV%LEj!%tWVq~;FVMKIn}Uw-AnT!0W)|5oTKB>e}@vE z6)j#(igu0hmad0}@87}#81(eMH|8vwldcDz-TfrLur6RRh>J}_tZWe0Na5JA^zF*n z_3}2sbDUV4IePw0+2#oTylm#c_24GqFIaBHAy3s?q47}?*giJw(ci%&x=hgAMhu35 zI-MKM6^wB~a4~(yaO6-a&pl<-cC(4S0e(?cFb}mVpyGyo-engxm#=f38*^LZ{9gRu( zHa{(T0@updhPIci9O;WA-iA}I_bTdp%IANm(}Ox{2_~+GV2s;eIEw>>m#MKj4+G9# z{=MqHy!gH<(KmK_BTJf(7RP?;(djQy@jC9c4t9HYzNqKK;&g56=_&0DhJLAuY8$aL3o=@1^;ZCE>Ys$PRCCBpY-mdCAeUiqbir!e8TX0>s>; zQz!3mFh+3{RrA6JY#lZ#2hAw7WTiw1{l%vg2eV^S#;;ht&DAr)Tekci^^DmtzFDyC z&{vpl2F(3ZA1*b47s|r{Ab|N+@05mTW~)#c_~w9yQ}pDFqL@Y5JX$lF)k(7B?gW#B z&dTiIHj?UK0%CcG>m8iV zJ?0$`A4vlHULQh1v6K59NxGVHfiVhyd;{L2faDWOlkgf)_)*JCLk)Lx$3Ksm&j(_^ z)gLok`-qqdn`-#>`>$PrzH@=rE9`!!fw=*;#?sv9-ei-b0d*TeY*v`7ReS`P`~Y4k zmAfb+EfqyJL(4WIV?KGwX#LmP{qw;5?ObjzBW7>TMOpEd>=(06=1a21DkeG221kXBkZ75yd7bTTI+R9`G-99G;9JFI8kh0ptF(lgw#QX^JAV z*-wypj~SPK;ApitT>I>IlDZk8vAJ7M3n`8Wd#U@AP&?nqyTSAZH~r-8r&ojEv%i%! z#noqc7ikU@eGn-;gzt;oL)w$0aTIGH++LkbF4hA%QMB=#w2LK?=v6FQoExo+-SJ-4 z#7d;GJXvlkSu6o*BVdc{p~L4#2i4X@8NR+8dU8A@;C0D~3PnY9g;vRavcsr)?m&mb z%x`rc12|Yz4#iv= zTYLN1P(w*fw`7onsoOn~X?neCC7L)}kP-`Y>g^Zk(fgBnyDbG#upZ^@p(nsVPMcM+oHaQ?Hac--sah{0we zRKQ`kK9)V*&!$fBhWSBR_#T0gsp%o}H?e77D)h$|IMI76S{7>a+B>QK%%-Tc*{fg) zL2}Fq1&HdkXJW={-^pqO9WRQXE5Cth{X!L!4ZHe1w6kb&NIXfl$5nhx#X|nR9`|V3 z?B4zzc;qFZ(_hOcF`!y0ePmj}mSj^K_wIMPVt7c7wdZj~rS$n5vO|J9I2GNQ z(GLq=sm><0S%L4-5R#4u`qkSOP6{rvY+8~MZ*{(JN|Tpt#)Y5s_dZqArDlq*N}=Q7 z=H<&bev;y#6~CxReiBVcB67Plf)g7V944$8ty~DgnmX7DI;(Q2h0X&FzvlE!q>r$- z_JXkha!JE)1s;zI>oYYOS~^XQaP}nko|l?K7!NJLH|Wud@4XMH}xFa{EGVh@^A+e~2|B2yR}p5Np`h)JbOi Hk01Fz#DC$( literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map.kpt b/YL_pulsar/db/YL_pulsar.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..fba50c1ace5427d47358e41be8a27deb973deec2 GIT binary patch literal 666 zcmV;L0%iTI4*>uG0001ZoYh!eZ`v>veebWZ{5}#Vl=dMYtrC-1yNU_4X__X>5VKi? zB(ohjeD1Zc6>k|!?9V_*RWPA1mT?(i6O{*Ysv+S z!ahVD1i|lwaG8j$^>bkWqY>zJ-`z0@z7#Hi+>YHr;4Wc-4;J5K*JpZdkSQGS=R~N< z2PxH90kK|CwhdFPtS6$Dzcm%7B^3=Y(gJsn2X?f;?(x8`7Wn$8s(85%OEL>+i4#Q8 zGD)8g3$en*^-#`Q2*PAlfQekG?6c}8JdXmAH%Vqzs#`j`+oMn`Feo^vYpGTDswH-| zza~MVc1e2lbCbGvO{S+XYl&A6LMB0uNsz0juc7K$yTz=vv_?wwMx;b0QZ@&jNJ>X1 zrPE%@eZrU0l}1wP=(m;9)k*38|E_En;G|N%rYp;j;(9J#2m3Q#JNBD~{=qqGGPCM~ zr8ZU?N4*h&-YW^5SEfU6hO@|Y^Co0E^iVmAOt&YKsctD>>RD3uJ7#e82OLc&W0|H% A-v9sr literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map.logdb b/YL_pulsar/db/YL_pulsar.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_pulsar/db/YL_pulsar.map.qmsg b/YL_pulsar/db/YL_pulsar.map.qmsg new file mode 100644 index 0000000..c485394 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.map.qmsg @@ -0,0 +1,13 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559798091 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559798092 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:36:37 2020 " "Processing started: Mon May 04 10:36:37 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559798092 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588559798092 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588559798092 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588559798726 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pulsar.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pulsar.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 pulsar " "Found entity 1: pulsar" { } { { "YL_pulsar.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588559798804 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588559798804 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pulsar.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pulsar.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_pulsar " "Found entity 1: YL_pulsar" { } { { "YL_pulsar.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588559798812 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588559798812 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_pulsar " "Elaborating entity \"YL_pulsar\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588559798938 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "pulsar pulsar:inst " "Elaborating entity \"pulsar\" for hierarchy \"pulsar:inst\"" { } { { "YL_pulsar.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf" { { 96 336 464 208 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588559798952 ""} +{ "Warning" "WSMP_SMP_MACHINE_NON_UNIQUE_CODE_WARN" "\|YL_pulsar\|pulsar:inst\|s0 \|YL_pulsar\|pulsar:inst\|s1 " "State bit assignments are not unique for state \"\|YL_pulsar\|pulsar:inst\|s0\" and state \"\|YL_pulsar\|pulsar:inst\|s1\"" { } { { "YL_pulsar.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf" 7 2 0 } } } 0 284004 "State bit assignments are not unique for state \"%1!s!\" and state \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588559799239 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1588559799608 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588559799833 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588559799833 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "7 " "Implemented 7 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588559799946 ""} { "Info" "ICUT_CUT_TM_OPINS" "1 " "Implemented 1 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588559799946 ""} { "Info" "ICUT_CUT_TM_LCELLS" "3 " "Implemented 3 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588559799946 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588559799946 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4604 " "Peak virtual memory: 4604 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559800038 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:36:40 2020 " "Processing ended: Mon May 04 10:36:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559800038 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559800038 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559800038 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588559800038 ""} diff --git a/YL_pulsar/db/YL_pulsar.map.rdb b/YL_pulsar/db/YL_pulsar.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..838427a67dca0d7d402c561836c92848b9acb780 GIT binary patch literal 1318 zcmV+>1=;!$000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J@f00000000dH00000 z006K700000001Th00000004La>{eTg97Pn~5mD%fctIb6$Uz|{FteGD`UNSH*#*Zr{0m=bWm!yu7^p6W?C< z8w~a`Ol#IWGrZBpZ4Ub5;0>()fYk3v`WHxjf~{l4WNU?`JG5$*JLh4(@^#Hj+n3+W z-^C>A`VD%*_pe#N@3&$Uh&)7b#;p^oqOX~+xZT9p9|te6`V}!=|N5Z+=l^*IzC^{m zgDB^`Vv?R{CvyI(pa(C}WGA|5ji}BY-95QSlcS@_XgZ$E=vX>#7@cT?7G+Lol|9wo zkhh$4`HJH&EEVX+N|DoA+963@iJVHW@*r&~W2zvHmNjH`>7FygDQtD{Fcr?y@nX58 zh8d>-Oggfyl3|o!swM4R;~kYka!aMrHBPWw5)4qO4X7@$nBxT%I`=hKE+HW&6s*)~8A9PdHHKR&Te5!rBhMXW zPDgJ*WNoA4xmJZpY;>M>G%u4+G>3x<3}-99wz&~a0Ml`0I1k2$8{QX4EmFhjQAh7i zvImBjiEq|4QsitcIbn^+Mc+79B0Ui8WVn$Aq-|*<9%`;&!i%-{88D~ynimE9UwG*RG5~jvMzBR(#&quV2DR~3Og5ME`L(kM zc+CrlT=`Dq4zMeq=P1U~OB&GvCA45IjSpxtelRnR#(y4O7wXc_W8xoILEoArZ-@^K&&81cx zaU`uDMXe#xsT!~H9{ys?5-_BT@JsaPx?T$vTN|R=%O^p5QlEBGU-1In6>f=S2A2;i z77X5ycn>?t#@}N?AlFMT7(GbZKHMJPtiAy2(z+|s8#UfTeGY+tTBbd*or}9ljmLih z00960cmd*OU}O+rU|@(cv`hw44BS8*4rpjzA=L)~42p=ue~ z861G(W*c|y2T}|YKnyY#s>a1J#4+C0$JN)>4`M1OP@a`R0Z7K~c=$>NNW&ZgaX@fL zkf)zJiWW7XA`Y;@H785TfgHF)AX=ctGjcK*09_fzX6Xx3BM8JGhamflk%d75sD#ha zaWcq1FeN^&?v6e%?{I;Y9R2pp5o8J|Siz>aMufmrFfnKVbsPJ*MS~211&W)$kBe&% cObs^!2T*d-&7(XZQ(zGS30+1200030|9?bHKL7v# literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map_bb.cdb b/YL_pulsar/db/YL_pulsar.map_bb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..884d26c2e9c20c759b05937963b1d9078e866ce3 GIT binary patch literal 1731 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRzVQPY$G}j|0;U+Uq4Z%k2)}~y%@xl) zX?F(J3*R^@7=#qmKRRvL`uN&&gDpi3EZeqx&`STHShJs}LAd{|)~wvXUnd%L{=E_n zShMccGL5>``R5{2}|L zYD1*T=eTD*$#eFl-jA3vb3>Epnit=jT>qYlJZ^O@_5G;OXh;mAH1X*5`n;R6*HXWI z=r4`k{`a4~)bGX3>$m6iKK)*}@9fWG_xt8WU;q91$+H=^ch}DqfBoyU#V(_~{<}8! z-}Qcf^X%ru8)sivn%RH7*?<1|r$2A5-re}8r10P8qd(^setq=j>CK-J&u_eq=hy%I z((u`*zcU^e_w75IHz!8YzUS_o>&M>R`uBd@|Cc-UfrY^T{}qfa!Dq9D0|n;i3ayp* z{IxLigF;hNQ1A*bM=t^M+1qD_e^p*Ub4LEx3zPSsG{4Yeaoo;r?=Giv9$gi-C3JFWZ`2R1oXm}@Twcsxx6Rjom+oFA z*I@7U?}E5`7S4I7b?(_Wt4g=nYo!2hWE>W2>Y2V_d`Ro#}?UpCX^fqr(V|BUtL2)sgn#uX9E^Zciz5j~mUob6B zQ#BDccwiU#^PEuSCygry4Q~gzJV{O9QoB&K?b~nD$|Sq2pq8|Zt5Jns-HYZJu(@to zq@Qhm(piV^tQ+I8d5_or-2T0G`i}119IfA4i&B?Jc&yi)S6=-6p__d1|)q{VFG3C7>a!u(h6X-ec?EJ2y9L zF^0X}b!`6jhu`uZDy{!D`@=>zWVQ=~wwMx9&7dZp*hmF@;Za iul>2d60SSC|3%y1&ke9yrk>~)e#S%MKd_?t{~rLs*q*Nd literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map_bb.hdb b/YL_pulsar/db/YL_pulsar.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..e0a6e594192808fa2219659f38faaa41ab1658fd GIT binary patch literal 8526 zcmZXaWlS8dvEuIK^}hG# zCNC$MFK03{nVigI=EuQ9KtQO%Li{Je|Blo@>VRCqw$4sKE*^GHb}pclyRCyIkei1a z$jQ&a#m&LZ$;AWI1i5zp?eG5SHIV#?Sj% zXlSWhYA*rbNY>fw{J*gDG46*ve3z6{Pht`j{-GT%PWonO%MYn;-b13}B5{Z@%ld6g zFKfyXE2EwHXagf)XOELmyzLTvFU(upUJ^$VX3^pa45}0Hutr#2LHET9yIg_by>eCw zX+0VPoPpP(y1KEu7>rLsJti~ccsx;ci%4~-U$FX!!r5>QflU$)kpEVg1sR%sLc$_X zfEU&^91Uhe=ttUg-Inc&|G(>h#Ue0=RKb_Na5qbug_?o( zBTq4XGo;qDu}*yiOKM(~Gb^E0q|AxFz?OPy5@|6l+d;Bb~7L4C15{p zhv=dmySn?S&ipQe1X?~Er!X?Muywvb>Z1q&(MG4pcGF9MRZtt-!*O$gf7#v?h4_^cdca0m4Sl36Cd=xHx?XUgbc-K`MS)X9V z(b^*?!jA>00a2_z&rKPAP9aaO}FWP%bB?{*8*jFnQhmT4euAD-;xl)K#l?qMNH zLL+~Vo;3p8tx7K|>a!}^eh+y0iiTP1vUl_g8LX+kvdVdXSYPSPmswnmrI&ot%|+=I zY%glY+?b)6)a{-tBxOW#%%c8Kn|}?t6Jgfb2hi=)tYb_Mf%yQ_98cPGQyQ zf0oKl;q`l?)5I4 zs+6}Cx4GKd>D$?smw&1oFrK|cCRnSk@Vl_ik-p%(F){N_C2#EQ_?VI>KipMle=|DA z;zQl<>1*{PNU)7QFUH*1nVDF-gCYJ|aBsiScVz;X!I)e=xMkdWV*4bh&3IPYtF;8% zrPzm&oW z>|s9x3vnhV*5Q(w`qkFRA0~Dz#a?Aj%KY1#^`I-a9tT!V=R}b(8C0-eo>coxD3205`9ngg>3S0k||sq*13 z8YfmauA%51xn|=y*$fh_v|=BssAqn(v=13+*}}>$7Usm70r>xUR;M2yp~IaY55B-| zWFmQ%mS;;;T=2{M>e#oyEko?CYk$?gd>*W?QQY$y_;~V&>$Hj?QjoFSUa-HDH*s5( zY|fgkslu%k13q@OoZ?S7(f1?cU0T);WHKpc%3>OyrBZe&<`(2{qi@JcJBGstKJt%* zG)XSai(@yI{Kr5muvjobS@Y%4@=N8-iG>ig8QCwMDkgt&(`7!<&C&fehC)mW%qkq6_W7lWryy2w!{A*Li&cY470j1l7?E!IF!X<5gzC^iK}HaiF4j z*^A;Xhk(hnT4c)g#^T|~oZ7T_EXUb{DJ(}TFvF)^>#yq${Zk@KVC9Un!%NZgNDP(p zljO#hfH=5wW7HEo?Gjuh8`p7dYJ|`gpjwjBeYQ8_EdvAsgPkc0uYRBq2#+Ajp|`h8 zcs$bil~82Kqri5r0RO!OJE_ zT*C@0F283*i^D6qjt2>zz56;o z6%vx-FS?&BQJ;^!vM5b1L`5YG*iSMOqhpj4&3dL7jWCw}BzUpuFD0H`<<2l@=-dsv z!V-F_>Hd=jy%xPWE6xO}>qUrNLNv}66A?*JvuDyBx|*i8L1#;hs#+;sfdVo?pb zlcUh`9u+;BJm%q<#H9ZBn&X=OR04fi=RX)pd9>aW{%l^H)IXK%I=SXX;(^`qlhV%~ zCB<8Q+|KOs$?F1h#i46?!+)G_g6*Y>M@kG*Gg?AY=CC@4M5+6UW843 z(HP>mU2SRXnoW@}`K6tqM|fVf%RML5#NuB^xIc7U%9gZ6U#D;#N556}1HDN7twyCf7N#$PXa`` zQZmC}n*4DwO}ygmv#LxTe~kKku@lr|eAE;Whkn2nix{AC#?%geMpD^h=+sw0VHTdO ze_;0&s%e~+yHJsV_}zI`{JEBh@oe)aV= zl!y-8Q)QhRnqyHxYF#i?lEr1Av3xjqM2#k)E4hXY`W?mX8Xrymw$CV+N8LyQ!fcrZKUL1` z#U+db*F;ywLlH?yQNFNG+UXCX=Ep~Ys5bziU%};O&1#mG%tCqazM0i*hl9{Cq&l>a z+wX5IN&m#6^rB8JgHx_=tBzHz>b+Cj5Hrn_(%X==m<`W%YuZCBwFVJL3HVv-~NcG2O3fX&%jrK$6bJ)X?RChm#{<)z*NU$^@`#zo6o1WwR7)vG{ zaEsgvU*h@Nqs8ytj4oQvlrxzw`sPR>jq!Kf@PGZNSiSA&`? zTg%v&QXPl+n*=_9+1yoaAWj%_c8^e@_FDojU>0_^qiSdg&1u5jIC!el7ysn%9sTN7FE>Y5O@guU5QNE3$IM!-Lh_Fk;+T^{O;C>83GGsS~!5WqG;VBAE3P#i{mo?n3S<%lZ=xE`;l#QF#){6oB zv6*2e*qmJQzBuj>rO{~sab#b!#Ae`T-crfb8uw*O^s|oKdLs0Y#1Bqg1GC%94E7V+ z^S+BlIzbKJn-iBk#t!0Ow%d-Lj2{~5@vOt)WORaUN`5gx8ltU%lY+W#p0D8RcQ|= z*oX6$I&G!iZvp3gE>1zo^Lr0fhjJokV`@#7jV$G+a?I;3jl1V=sZLeF0<#ok&?ij6 z?jRyur(%1>=0*^))epzC`O41vqNx0RNK+1n9T;}7oG-ILNGqh7c<`8i@X*f)%VnHe z%0JkN%#Y)UbV6WjD3Aj_+ac=kx{@ThjyX2wsSra{U=3EJwn+jxTV}&zj7{(hVDpKV*bf3)Nov@V)VNK{tg~NF_h$1Q^ z&^hYXLGU$W8(X#Jtq9S=fX5 zd4VceDq)g?F8~SQ65EnR!Q}HHRpQUcYckQ$naIV zuY*DwRbws{;XghE_Xf^fZSAj)UQgs3jz|^TgqCszkl{8o+YY&`ppSasrBcvv3d@;! zME*P`hb++}@enE}^7FFMG~3HKHHWXBZk}(<{t%1v;y3hH+HNaHq4pgdbR%8Tl)FXh zfhe>EGzuUP$%`KzqkVZ3zPV=8%CxjTJO86>Y~Gs3eW>Z!ulHwKV$#~ioUHS*v?X=e z@Sr)jYfbbj1MS_&pb+T;Auc$|SAys=H(G>_7H4FTR$_Enj2X-OExP#Lxl`{Jj=LJt zZ=Y3(v+3AfY2&=NNI6KqTuQ(;wG(|5INyzSE7Qs>4VC^@BX1NFu|L~>>|+`zvR;2I zjurCpn48irvxISV2&SdK6j^GQe_CPCkH*Cx*ZhnhL4TgF0CBO)x(X5xQsN8mR~TR$ zh|oDT=_s!WthKQ1eDH($AcTVU!|PtF`%H%oItvS&ZNARo-kF59Qiq&|_vQ&D-&}j_ z2afOaTs>^w>-|Elc)%XJsud_=OBmTwY{AZ>b(#*p!}R)yJfYMKl9RgfA8?|uGo^AP zdX|E4NQ*3#zOUDl+0jXh-TUx@HYbieH1W7H9drA|*uocCtliM4!t}Zm(M^F?qSRG9 zY(ROH5g-uJ_Z~NhX?|!>n){Lt7wnS#BUFTzc4Bz&N@B72(zmq6yz`sFAA!Jm;W6saU%RLb;D<|Gc8{+;K}C=!nlKOimD(BJ^~B`Gc#)pf^JFwZIXq0=j` z(WRtbUjY>zvut+7@kd(?tC?QWpbmg@X^gJ#^3yMAl5jyKUQjJ-&Q#5c3WV{ZOfrYU zwRSCqHvFRw(G?+Z>sFie0=3+VhRvD>2OUe4p{S?b0i}HM~w`TvL!-gzlbqJ_I-g0D(d{U zB(}9#a7q+HMRzVd=Q1(&WlOH)scK()>u#FVpT$f|!R?wJ?S`~f8r}wX)2s@Bbg1+X!B;O01@>@ zUz#3wBfm|*uye803LEDk({M5FasLL)K`=~rU7Hj#k%R7>4P;+2ijq9H;9d?sd0GA7dhaqsbcG1DnwU4C|u6pxQ?EP(7ogK z+wd-Z)G|`+093XZpmc0t$d-k@GUXnPBeE3kGz~4o;Gh91Twl%!?cZuc0gD#~{bnbH zlc6s_h(SlLSV9d6&!VtGUx>oXZ72HZ3tp-_OsFdoxQEEO=i{YLM+t}slSGfX!Xx$8 zk_%v?jT;)=;l3mTTD6@(Y23)k5OIS*97Lf;G+1zDxoRjhFab)+7^9v`v3qeT z0rdN69KM$GuX}`R-=@DpqTlu!Qi0IppkVyE6mfr~z;h^ORe`sd}a76Tt&|E|+v@-*0BKnSKF1{3je(e*5|gj8Yw7kcQq3VtAh z-D$tLOu(~9dsmHft%bJrtJ#E^8kHyN`Bm$;Cp;TfVaKtPszy?|9c_Z!IN7Hus^IOj z$41^l`x6cV_e~Z?ibdoJHiUr5v#xg$Qd&1-R^o`%se3-84@|h#7P{kA*}}qnCCfFz z8*T#OkI&>N%^w@Aj)S&^HC1k~_5H+UO$W)KAx{{~hJR`mML$Jm^vs>xcF57qx5CS7 zklY&z4Z->|zEVz-nBB9@NanjXXD3bxpw)MDh2#FAZPIk71QBgA+bJ|I%?hg=fX*+r z9W}MWQkNK!>^)`#nUIXiUrPL8UB|SY+6SickeemVjQlhsIfcoY|84V z1&*JkO#_%I1u#5J?nQvE+02f!S4mEtq9Gu>zIq`R>e@OGY7=z!T8)_8s<4(ONkM}?<*|*ld*5{k6x9pz#Hj}gGK2V- z0CY?k>>o_ms7a4cyn?op>znYVc^mQs=tir*k>_fq9Te2mRgt-ZaP0|tjZ}DTXT{}fdkS@2~D)z_lAzo@(qMQX}iZ&$Tw}80@%8ZSWK|Bka?Qc6h*jskC--bo3Yw5rX z?l~d-gw|cZy(BFqZG5Zh&OkW}4mm~`M3MDrdSqIZcP@`O!hVfp;z_%Vm7}>aY=CZ? zq6{=F7oRIP4ASD6kXlCk4$+A)JDV&z)yc=@_11bp6!UxaA-6``NZibe5419?eC%vB6>PFrr(Dsee3DkB}NE&agk&VtVp|Zt6_6<=ZUGJkM=o-WI1p4 z9o&aZ{UNE4>Q(H}ryieLPZo{y|CH0my+A-2->;sYg!Id|$bM?#Yxy+Y4+uJ*4HH(Y z!^nL_E~E55J*Ko?)0hO=n5xHoGaoi>h%4;GODA39YcBtHNT4USDALFp@RekZF&WqH zoZ(4en&BqHf-L)Oysrz4+bgjYIGI{c7KcfaClw1iTYK!1#^84>zf1}JJva~jBrs~WenmK ze6Q!Rn)kaqX#DkOWUvqTzPC@WN5@@TRNkBI!}Re8hXMA0+V1)YwqWt8n(%uJa^WYs z&{x;wou1pVNfs_C95+4J8-zFEztrcy_J-oD2OpQ~6T5BpCR=ZDB)fmo`dd{20c~ zC|7CLSA_3QzR8Sdv{vwlr=VsygfZ#zP~p@{&7^;^6>;3_6SEdjbT z(@+VtW$1jxC7_poj#Y?cB508%&u^4`u0`qZWPr9|d>BK~iL?Jaxum{KLQw7coqD8_y3vHt3-p)67{DG>PCBDL)pFZD3 ze{T6y46>yI^DfK>VBj!o-{U1uKoH|ZGAx`Okt1scXWGSqXC!$WpHM4Eml;51`T#M#O9R zYM$+b?4vw4)in6izO}<_POhN%s{uU3H_U^U@Z$nE!+|J3A>hi~aCP{*|HY1I4ei1v xaxOCb!+|%%s!o4`T_lr^D_68{vKU;hX7?H_8aj-Un8xNfxhwwfZ^P^B{{RApfOh}@ literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.map_bb.logdb b/YL_pulsar/db/YL_pulsar.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_pulsar/db/YL_pulsar.pplq.rdb b/YL_pulsar/db/YL_pulsar.pplq.rdb new file mode 100644 index 0000000000000000000000000000000000000000..723fcabc84c5d28d091f3cfd28fe2c17e6cd2021 GIT binary patch literal 246 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EFf-{_g&{ zo0~V2Zzg%kAIZFYlRSI`1cWLq#DCKH-;wx-TINt^YX^HE7dJa6I~P#G)!Nn!2<8R@ zIe9s_z#L#sE^go_bEuoOsX0*fi>VEe3;0O^sBLZnls2<=`R6%7^Pg}C2$xj<4G4d6 z{s-CV{|7w~j}0+WDWx0QG2q2;_7JudO;rr;1VxMPPshXC%Fw~AKHZ=$b2wP;{b0yQ zQ~+!6zBe_k%0`*P+%5O)Qw751dbr3p@Ol@h~cbpy1<`e|69TNoArl-y>TV7qnhbx`PN)a zwTP+5vx2`}=QImS>}T`ObukA;-XNv_?eqVFRRVtKy&20P^z99I5Va{-nwJ)&8a@?DM7v zB5Hpchs87Ux?eF_2wbM-BEmt|846bM0iygNf^XEOuBybTm>HivcYnLrEI@{wMTbzD z(HH4Xf@Jw5-xufeWRLDDONfdpw=t&lP+x8Fn3X>b7ObH6+1_}v>sr|9LpZ#$Uovb{ z42^zFH|tJojq#O)?%lV|+da3JBzV^0PQ)U$C#}iZbos|r#zFrw2@Ru)7=^x>6`W`6 zeBU;cesOa&9{u+<)BWe>-MilH7jVFwJqcw2Q4krt8+Y|cbJIvOjI7cqJl=-*F{TVw z5(mL90%U~AhWoasmzt>L*l^xwLjX>uLw&h~PVcefyrN^M4tl5F1l)V!7JV6Fb0|>T29L`qe|90;9g&mCvUF#pJQSN_1rXx>Nnzvzbaqur}YP!3b#hnzc zpANBSsUoiA%DZ(159i>`=%J<0<b=md|c?B^@i$4a%@Dh~RZg9mqQ`ny0{QdKl+{ummm&MM+{^HHnkycC<<$~Du zD^g!%tlQWA8R7!x_=4SDC_q#~_qRE5$tvhcBV}~Vm--wmXy*2rdSTYD(=Srj+P3fs z!{}#iM z*L^tidlt&;>yMtsAE?%4j9Hr0gxxV=60WbdN7dR-wzP+nxKpo;`U+ao^8bB*LfqmM z%iYC0?MnSk>bL92A1JSAD|X|?hy-e^bA*hm5aLNd1dF9d{Qb02X(Tt0=-0=1tNQ9n zA2-7=a?dnsGe0rWPO_xe!}T1l+jFB69*W;`Pl;$#zXow;o1hRAkKn4)EGX3!gq@Jf z<7rZxHMa7un1&nDh>A#Rz!ih~^X-H%f$CL6b;!qe?>6o*V~*knNh6HP#ox|YshxIr zK7OJYDXe@Uqf|kHaoNGSl%i)ADYy{#N#3tUZN-*i%xU`Lt8omjYvg$}?4u%a7gqW7 zBsSc$xVKPnFmLD=TxnH7gwweicU{1FZ@o9x_0u!;R8iTx%Rv{8L+ke)U9rkXsVy%i zaPP)DzFQt-Yi`i&<+!Go+Q2|d(`}`K+eGlWqAqL z2l$q|d{OW~C>#5`3-vys2LaD%kYWa!acw*5%Xvws2o*eD?6}qsrwu4+)SO^~?rw8rBY9Pdxu^#~G|cc@UPn5Pm8By#GTKO-O|x6;zVDEID#} zR}iFjs#y#DiJ0GkB0`W-q9y=Y^k}n+p3NwJI?LsY{X9S7Ib;+ilx#`9u*ih%pJHg( znqZMop&s*A${aKba-ieUethmS5uM%3AAE=pR_Z90N>>__Sz=c59Mm>&DYG+ht8d^l zcbj3Fq))TG;Z+}-^@~2Qzt>IYO?s%QG9=qsvGQCTHXrt~uI7EMGNt&Dl;ES9uacC_ z{y6WlKvmbg?ZY))_%bP{k8(0h2Bq@XINw9AFB`6AUvr#9%6<`uFJX}~(6lrF9Ug3w zNBMd{jf=2`7y6|mcBhPs@A<$~sOnv*8Fjm*qiF=^*Jm$6NE)2GZG$_urp}?3P)Lff z`SYgG!dF&H7M97uTBEe${AfcVI|23ZwLeb2pCRv5-c=c8w0OJ`xmi!1qrbBj`*>Qw zuBU1YZRwmH0=_{cYus$*ArSTw6S`4iLGS=~90bFb!&C{5y?$&a(?^iZeK-;p_ZH#~vODE@P1 z!Htd|8^)5LFYIsukMEKO$;@j}@H$^Bcm8eL*ap;wMG>g7zqi)S%^$lXWIN_AzjqbnP6$h0J&)yil7E6rvdq7qC6iI3l2H^cJHCZJUE6BQ3 zkt;T}$#!g(TE-`Kf?2l2QNj@W+lzT|P0rIu!-o~Y6JO2=hgT^GvfkwrYp#?7&Hv8=eUm(qxAflHYW__=8H zh3FBqRHD{uwx;m<3%pC6$he>35P4%b738PH0rz}T>uP52b1gp?N^?|6x|k-?^XU*T zYTv%e?;J3^={wVB^pt*8>2-N8{QG$mTExV0;gBdY^D;dsaQ$GONAk?7kFnSt?l-uE&mg44r%i~bg^F9$n&GeUb8vZxOF25Utxd@DOO z@OS?Ga*y&a39yHuvz*_w={Leksn$gb4w=t2wA!f#u>_TPC{UsES9RD zRpd`(YiUPre7-+K(2xzL8jfoCHx<4qcHkY6NWtgGX)8-q#&GNNnKUoT=%)H?}!Phe* z1?q37{+sy8INUKS>N6PkO0r>iwONbw6}*dp+Q*a;F*W4AWBd$K#X%C64vx@CMQl5s4I*QkJsb3Tx3cvqJNfs68eNW#tt??S-lRAJHurD{C8GgymRGuE`WR@GZoXInj45}kV&WiJVEfb@ zV!NHX1irkg3U~-~dAy0ineIzq*kYG&@0sM5?Wk=u9X{xTwoxQF4KVyw_{Y74P`0K1 zfore0mEyWWuta0(h@=dhIH5)j%2vl-r(`ag^Cr>(C@ggm#XfZ8`2TqlmCbbQvPYN z+TIzr66EGw@-1TQ1Pwj1UK$#mU@Ar*n?0sX$M@X;3*D1P_MgfjaA;;f+n$d5`|%sy zQgb?>Ml&b>1ObyxW+welPio+uGyF0ZoX|s40X)SAZ_ANF69Eu1ye5gWkXD4g^fO7U0PNv@fcOPg|yu^vg7e)tW1z-qTK{P>hyPxp%diWg9>j1=dES5|{Rb41AL7{_M|Xyg&YzCW_`#@`9lkzO4mvGDv@JA z;H9gy51t6JbV2OKW-dCN>QpWB&MoQaIS-Mm)8Cz?lYB6f>j01;7HDkO@2LYDyotkM_e`Xrj)cknyB{Ct3uV9W&cyIccc@I)L<+(CdQQK-SH_b z69cxiHWvZAfqo#D0$Cz*y&Kgy9Q;fCCi!;H$#Z1OMDLL7cnJ5J9S7^Eq~5P@^F$p zDQP8fl4zXG<&458K$18qG_vqGI&-)hc-xBf@+&Dc3IHL-dxFe{37UgGn!%xBF*3p! z&ZAFEMS(^4pT32f-y5-RQ}WGc%rnG8kU)3N=z<{He(7FwG4z#-VA=%S2-xt>*XlT$ zS6WFCW=RnmNf9Q=>O`6x)DUDFZ6_z|iRD;w>q`c685P7J(QpnKkn$xsimufbOIbzk7cwgeQoZAEH^;4R54kBSIIJ0RvKg?++r9 zugU;PT3A{f2-{vuVcB+F)wOz5ZwrSBa)M6rVxr=~SdYo^DQRIY!~J{Ov8{Q&gM=HR zL@Q+e1FA(6VXAgv=W#aD)evE-u=?j)#lQuuR34FfcZFpUCa1^Z-V-#67lZ6;=81zw zH+g2ptv`uOi)u)o7WKKfxk+F?E_Vaq^C z`$U>GwB8j#KOlFDeAqIpqoJPLz0L<)4EO;IKJxNl5*N*lKNPobhITcMSvG%Nc>Ha zJtfT`52$ooGVqFsE*iQP@yIXab-2&sM%0FexkIz`)4wMFcEAin#6FN@Nx0oKkc7zh z9bS{99|!3d4QC-HG7h=XzMG>aO2# z3$Xd&M^Q79bX%t611(2PT$!N;fpeY#=L=4d@U}haB?6GJXhDF|TUAP^u-w|Z4(zEQPN5>5olG-66t`yvw-8&N-LqdZ z3u*tdg9Q$%aP+Ru7-R*)3m5F076LwBN3MliiMz!i2$n0nWv%f%2B3R4*x+YVM-UON zt{`1>>V-lA9EtXCR!AYR*`-g^U>iT;zMmw8?6+QvN4C2Sp*-bND zfOX^`x@6**SxDaaTj2ZYWGQxrNZ7vzJ^G0_&1F!r)m$zXaF9gf@SVmtK(6rwt^dRc z87e)@hS#I5EMGlvp2WvM2Qb#9p;c}`H{tY*3`#_+ie+5GL?6IA7BLW-5A=ofi5%(O zFPXVHq|p3pZ9JrPZ}C?BO?b8TPk)@z>LtBx5}>zNQdo9WSUzBKIzx!z8`Q!1$>J@e zU||z@C5T1!o9y(hd^4il&L`?+mR#n&&u@9e+(=U?N^Ktxn6v3jW_asEj(+7UNC z;ug>bRFl#tBCKB3dmCa{-o%_a+!ee{j=9OH$&bb6|p9i>)r_6VN_TpQAS#=$G2eir{?z%_Ao zjH&KBY*Fe<{jZvKrM?}Z5nqbY5aczMO84=x$P0>P?QEmUsgOb9M#vZ1z->EhmBP{^ zc^kvU$Lt2$dNKV#IkGbz$_wS@#TLy)RP;dk8h~d4phYIkfJL$zxwmS9&kQs-9Op0; z=YZTDj$D#RjLS+{H>S`|=lsA9j1aM}-~Oxq*Rh3OVFu>Sj7Diqk%X@>(IH8F`#QqAARMEZ6;1-$O3_Ksl zSrAUWrkVj4w#3Sln^5=)mwbrNSLftZvpKl z7yd;v?5+ZKmjSyI#FID@p#giUO9|1_W+$V1%9ved!aR(megSEDk!j|Sr7AI7+jhY& zOm3IE_gCqc&%Y;Sr4B{6ks$-F#_clm_4^^HYz*~0J6DFGMEAw0HN#Cj4LW*@qatYes}YQUn)vhK;pE%x?A7ZhHB7{=9($ zcIktUe%iXv^6U&YHeZ%7fn;KZmEO80 zccNCbt;YwQfP7cN2SLopjgfLide)w{=ntPx2;%i`R8orZe_@qcO;b`+x)q<1^1aRa z5OXkcx4+nco%6YHNVviEsMimc){k)LbXYu1G3}C`z?8LXt6l&!ly=2^Z}RRBuL^ZczedoD zq_bb}I0;ml>gz_>vsex@h$eutGUj;>WVU}3i9kChv(x7^2F_n6!i!t05Bgu-uI1UL zyB881!db9i?uZlIlLU;7Bn@9Q4?bzUeV#nQQHLF;j^RSAFG1%iL0j zovHgdTc1R~yjTYGp{4&Cr|F+7==NbdD~T5kd9ooIFV=;`{=CZKN;VPt`x3&hWL$|R z`69&sA{rjNC1O#7J%i@gf(&Q}`R|WZHN2$OV;p#yjSWc+TpxVK{XiXIU;~n5e~8?b z?3g+@)ERa7TAg#mG4t#Dm=c6P;$!#w9m?__tu+qAsaM>yDeqIp+FS&On%L~(XNWpZ z|9nk&MMFS%ef2;r)V8rDk|xaFF(3Xo^hj@{8ZQwar0`K+Z})U;rETZ5XXUrt{YI&V z5l9;8J-v85-g`0vF&dL743M6jDjl;zJ_y7Zhes#kXLUD8P3E&s2YO*96W%)>V_!mI z`;w5hBDj~qz301|JTJr>!qs??VrSeLIn&KZ=4p*7i&apgkyx9q13Dfy$=XRQN_n9?}lDDhr#j1+Q}$`c+^V*}etTee5Q`c!LX3 zAB+pu!D){fb?6mC{wYIlfcqPyjZUc@!7%U1X==%ZnRADd*RsO1o=R!BmYqMcdsK}3KE1XvyDMMAHruh#1n;Te<|hyO zmtXlZ>tJ%sf*{J!`=n}V(Sm_QP(0uVUSRPL_w^%>zsb+&>K9pwsHA-njUm^%txn6L z&1%x|G@LW$3$+q=(0F z0gs|nSIU)lG{v%+DkxMK0+K&6_1#grn1h1zkHXb>Nj=}I2COL0j~i#T6Fdgj@$KO6 zQfxSqjb(@3KSyGp;91zd0bHBep8Zi?r%iK@=FOEICrJ*DW%{U`Df6MEv(tB(E}qUB zX~VPxLH+#{KlpfVDQmPjUrJ#Jfn7b0rw(#{v)dIZ+?@YsBt1U@^Nab_Rj*MCU2xuN zIK@8cN7tQ8SwRs=&ysF2Po*_BS=vuC-wN`+5e2rdmvJ}j+Z2(L(YeY23>8)_9(m&_ zwoDr%D7ebMXL6a|y2lz@S5}acl1Pnn^1k_@X{b4#XRNV)yP7|mcUQJN9XuLZb~R0f ziZn1L@&Q(B@x8$NDC`SNOJ+YbSvoe{Dqx>ffvo!EWnDPYP_x_xH!tqqpzfTGZq=@j zzMeuFWmarv4GGD#r8%LRnQbuvE51UZc_Jtok4Ri%ENnh23&<6h6h;Ckgy8FCB;~HQ z2T>aan)HsK1Qx5Sp@uF%NA46)s!@W~nW8q5uO|FVMBLw}f;t(!btZn9im@Y7ps_17 z{K6t7q&o%m7A1TTrV9*JK#6@+6_wbqVM|1y6gH+rg{m)Xj&2Qx%VybGZCv~8N9Jk% z*ffd;QH?-3Z5TRU@r)uUE=GZ6Ev21OZs@xLw>?OSsOI@(cq=sZ=81{_{t-f@Q#8%X z#JkZW5=u$6DLi*oo{}1sTur~zNClzC%Na%x91)Bk?m0;>2&(h+fAySd`h7U5+{^g- zi3JDc?@hh|`&1oI5WikUMTZnFv*x}Y(L34g`~=9Y#@x&n2Xa3$jfZTCI0mK`!PlI` zA~M{gJkEm0v;c2xTxkM!85yv7Hd;O;#i$UwPU2>4>;s&VJED0{zbjXL&yl-jfj~;e zZ=^}&vIIkce!ahe(X8I_59#lQ<^yNYCtqE#yD=)Uzzm1o{(E~Gwx(^I6(p%Yb4qoc z3&yzB{Y0AiHH{xx)TvxfYqCNo6b+{g8Mc!N7_h%ry|67+G_N(A>!VpLWQbTYC&ee* zpRHj|06qp$rk>AD#5o>qx=cqs5HKrW?`U*COSW+mG0lMm*G1l9wvy43+Ot4Xjqg1E z9(mkLPy+=BS$bB{tmwfR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.root_partition.map.reg_db.cdb b/YL_pulsar/db/YL_pulsar.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..d03152752c2277066682facf6158d19b80bea179 GIT binary patch literal 325 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR)&dOyfh`b<;Rcj;1=#`w6^y_B|Nmd_ z#>UoGA25sm#6Rwo&5W5b4Z<3NFNNa+W(CiQV7bJ@EPIHDM}fzvg|maTQ6f@q8J`M2 z6G;32{}qf$i3w>M2?+@Xn!Ypnmq?^E+_-sE)9%Jy!y~5m&R#r!ncwACd)txfUps#` zPcblP+{iLv&HZUoCpeZoZIEyk57^tET~JUnVfF6xf{tu~0J%l|t&B_Z{ZDLqsUR4s z;P&D(KgY)}Uwev58?%#BQf4rQ6h-VfBD*9;&b4yF>h<*!lO8>&5Lcg|wc$6!K>%iy BaxMS> literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.routing.rdb b/YL_pulsar/db/YL_pulsar.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..19ff43f68adcb7f02feb943e789995da9c3ed995 GIT binary patch literal 4475 zcmeHLYgE%m77w+Hs~8dFp?}b1yA&u^F_2J!#UN1>1R5X#5eN_nBtVTcJjEp4wf^w| z#-bFJm*E-1L!zLOrzP?TML>j*1%ntU4+$X9AR#~&x?lDj_XD-3-&C1D)%CNOQTTFz!8=Q4jw?j9bzbvA#e*z3;01RbA*Mt#X*E6 z+>`WeEG3u(#|8yQz!7jyXSg?s2tO7=iC&#~b#n8n83?3$$8QXxyJmg0C2IYb$E(RC zu2ciOj{0DzVDBQ&{@AAs$W|E9|DFW?Q(<_c4cGayj*OeneFg@!p&kwkgzCFOawGH z_xInZ7Sz^*CdcW^QS@bH`FEQI;;@P0xt}M;a{~PR2urby7#^WA490?O-)s_~sal|& z5_;4vIrL<7Uk7b245iY9Pli|e>xXN>n%~G+RRsBqslD1(>@A};A!s)BUBFiCSaL&o zwOwY^!y_uP*gzG+mrSLg2?2G>B+}LMvx_B+n7yZAzS|2t-yooP@|#Wo8Eu-il||t= zXe5d5vN7Yhco&TB=|dIj&^^vY4Z#4nvw@8wk6~?e_rAnl)Z1Qq1e4Tt@7*oXtl}&g z3fSCqyVwlc&19f6_Rq4Ch9>nCFXgt%q50;0ez{5!`C82l~>T3#KU? z4+Etrm;HMc5BOk{WMMX_7MJQnL*7h_?Dm5m`~3F0;a80)q{K)S$ybIfpCM(Or~UM0S!6x-=BAIb z_Tk!v{lht$aXEyVMMdjjh4I;Rs)(eI^2WG3g+70Q^?Kk=L+PZ2hzy~m&kcSj92W(3 zeJ}iqYNA^CTDHW2Ii)=-N|E@gyek`{Mc5)a&wz{6`4Xs zM>dM$y~gZD&uy7^No;?DM4D7)cf7!MRE%bx#Lzq@6oydw$0-VZhu(wLg6gK%%(^RC zGu8=SiPyXXD;;Bd^VpK3TuCqXkII&k-OTcT?^d;L=E@3xW!6ojPSx5$DddHpze@xM zQzvfEXVNHSw{W|hJa#sv!#W)t$rsI818>)%c*qCmr&E+i)9QTjx6|KA5BSt$M}E;p z_+qra2yO4ghE{1kMCtrM9N7jX6&kD?PF~9c1EwlyNT6(@=R5btMO6`5@%=I>;a?1P z+XR~U2>i^WEtAb|i~f6Pgz0CEZM%V1m#@|js|En3Y$x7g)3jax$?`a9bN1JmW@C|Z z6xD4z6Wme64&FJjJO{kZTHuYo?BrdRuOvX_ZcE}h%9!}sIaLjK&V(UTAfqFRwM+u0 zb|-rNJYZwR8$jP@4_t;N){To6jte9~5le~*Po~Bw&&g{k;eP#<(u#;KY=&cFFccqI z;C}<`Lz?Z4;1Kss-a&R3y3d@#==xH6^VwD@SDFE?*tyymT1mnELxgSg>O)aDu6a@( zX!fY9j8bLJpjMl&tW}Ay^I9;DR98%x)McS?ytG(XAp9jKzJ&dei)BwGqs6jhrebpZ zp}a>?P#cvvq-*ZO!Tk}3oiN`3x-9+P&S>h=)bjy8%hOtFez7Dz>srL=SMs;|Kym50 zVm9}A@rdhDs?lq)chF9~{=JdW-?liN z*bq13uyF`Bl3}9~Y*fUJ>tN$r{Bzy}_q10wK_Dtsyc#hqFl0wyVBjT-3Kw;cZLjPN zhow5OVPFuZ^NDs);3vdTbqz;#Fke})t-(IqXvYPe1ilTpV59yl>5z?r-=wrncw&R8 zI04ZuKWGXOiuY&+EDMj4|7i-{zGe1T8Y@m7C6AF`Ap4{>K7HB>={iMwkZ*Vb@mDoO zi{2$Y&S^(0^-XtAHi*BZ9|`}|z1!aJY0I;_YB-{7NDrDn*u+;T`F!;w{=$~_r)oDd UqvuRDTXx;L&sJai2T`g117PpP`~Uy| literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.rpp.qmsg b/YL_pulsar/db/YL_pulsar.rpp.qmsg new file mode 100644 index 0000000..3bf2fc5 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.rpp.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559468406 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Netlist Viewers Preprocess Quartus II 64-Bit " "Running Quartus II 64-Bit Netlist Viewers Preprocess" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559468406 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:31:08 2020 " "Processing started: Mon May 04 10:31:08 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559468406 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1588559468406 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_rpp YL_pulsar -c YL_pulsar --netlist_type=state_machine " "Command: quartus_rpp YL_pulsar -c YL_pulsar --netlist_type=state_machine" { } { } 0 0 "Command: %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1588559468406 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Netlist Viewers Preprocess 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Netlist Viewers Preprocess was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4423 " "Peak virtual memory: 4423 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559468520 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:31:08 2020 " "Processing ended: Mon May 04 10:31:08 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559468520 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559468520 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559468520 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1588559468520 ""} diff --git a/YL_pulsar/db/YL_pulsar.rtlv.hdb b/YL_pulsar/db/YL_pulsar.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..041f02e1e82b688dbf45e1cbb22362d2c6c789af GIT binary patch literal 9456 zcmZ8`Wl$WzvhD&QxI=JQ+#$Pog1bY|;IOd4A-Fp%?hxEHz~WADTP#3;K#<@b+=Abn zbN}4;W@_fE`l`CCt9!bpdxii20Kl=3{_U>+rqn;wg}S)fI5`4AyxecOK|m>Y8+%J2 zm=_FuE5HK+^MK!ic!63_7Y`c?C{V-P!VU-mYAFHrpb(&}rH$J^Nh-Skr~?3oX#NX; zY25$CGsgc#FQh-l7#Y;Exq%pnQUq7nrL&Su{{&-7W*zs9#RaqX+3x3fvokUmY(hma z9WMh=9)I--Uq4*ucG~Gl%w2W}MN(EIYV5e{g#C9B@V3xJ8vO8}<9S^bu&VPCa5~Az zJDqm0Fn|3r|56#KOsW+Oe>IDUfcRpFfV4F+ZRe0bFnu|O83{2qq}u+8?V8GD3UjWTjT-qd$f*zmg3wi&ovq4rhHOiI zP;X?6i{`Oo9_TyK>hx7RVm{k>9NozSB)U01)B_GqSsy3`PFO6K8E%DyL;Bz#YVa0G;>4V4e~2I_)$F$lvno#$FkVE(C?j9? zP#WlZS{sILBggzvb+3M3vLMi8C}DSU%v>^jD&lQPbkei)_5xPC$VCjCtC0OS2hw?a zmWN!9Omlk{k6D=~4X+#E7bBMzhUn%cTEyoepsmjs2Eua`1_TFcVgTj zHwvBmGh7-`?W}R~jqS-AX(Kl2iI#lM1V(+yxS2~tm}OzfvR0vY&an%9qc zp~)xcv>J$ZJFecHKj&XM;_|ieEcRfhEmYG|Ggz5QAtrr|6Q7iWN>QtRLbkJpx-BR+ z50ogzYPy`g+&-Sq51O4GSl}?PHAWOVrg3No-I?K(Ml;w1HQBjcv9jns1gS*)c)OF_ zI#V`>*quXsnM2%tO)BOt5y(Y3Li}BXIa^8FG!XzQteDklt*t8o(`L4PK%*wsxbHd} zMbaSfiJd#H1T(swllpe+t?eJ*8K-B`z1#)*78`kYNElA6y&1@SL}&l^Gs4N~`X~)= zUbJbJc~y6b)5}7ut|mqHFr-44+}gxFuE4t+ITPZfEU&D!7jlD2?a-0)AkjS{Qp*8U zhFi@0oJT{vuA>WQ_>YDcYRC+E8}bxdUZMF>E3D|*3G+-#xk5zCXp3u1%A%#67y>Gf zK8+k&ZbAbPkG*0I@U0*Qq?%V2#j{fyErP_D$s+;Z;Oyvgcptm1MmC3Rl+^X?bemaU2M^dwOt@)y5gY@I$ z=l7N;g-kye#l$6yO_Wd-R~MJI7Yw_ni*DhGlLE61c(P?Cr6{2hMWFj zK?ZLdNg|w*pgv(OPmda?2iwn%8K`NgLm$nTZE9TyJP zd*TlPXA<#@kiAMm53VxA936s&g?V(ztySu^+3qj+qhJxz??DV(LmYspz4nh{7nOEO z0pkbVH{kRB_8$o|4tSHtb+h*THDOyoptrq)LzRJ^K&xQ$x~bN3QMgr&y_4ZI-?z^J zM~^pOTGBFH;+ZKZp$X<8q1Kk0i%%)ys%%!`;@V+MKnyj)pFqHvcwn z_t{LO&gSK~-s=IjvM7ZILJ+?dEm){LrZ>Cpt zw_yE4sC)@ICuOFt5k!aQEDEpVDl(O~ypf%@X3-O1uSV;f_Ta3Se8G3orezVwff@pg zN1MyH!1>$1M2rKlC*eBptX>h}yK5Rq{YXBL{Mv-1N{|vb97D_N>`zgeQXJPB^Rr@w z?>Z2#v;A)1(|4@Lq1=)CKtNn}-0#RWqn4CUvsIc26mss&lVwf}e0mReAo&w#Vx&coyL{1dG`nfn~j%Bd@XEeaLjqwJwjT6~Ebt@=N2YwxT3uGO%#2cC-{> zCoV|^6`VYa7-RTu`J_|GFGn-A)MxUg<8TLd9R9MN5r{ovpV2Qi$t^32GZt|WE5PZ= zt$2C_Hm50@bcwm1Us)XX!Ht?;rMQ71NpOA2$@FxisatpOq|TJ=r9*~M9=CVEd&Y6s z86y$90&hv*3W58$=)Q=yFYh^7L<mq#OdbtEbF3e zCi}_uPByn2%P|J)(X^X`nJJsX4XYe#=C+zVL`6pFOlsK$qRcfr>}i*%mAZfQzku9q z5}HxrOJY81fq@;H6Fan`G}zr#MkLk zP+lXo&+t@){jtwZ`+fA$?-|)DJyJc<^lt|;uD{-rG#ywz#7L%pwJ!d;esIxTrQT_+ zRcK`)(q0B(j$IhtTOPKr%`IPPN!aO~FV+gRTuGVZb~0Q}AI+w5*iH}?=Ds<11De-D zM)|q#jy+GC5+v7PPej*OHlqtxVsWk%&OLuyrktm3oeTqMoLr9XL{7~ceI7BAZ4Cqc zg!yJ(d;htk*7rsdPb=T;HVw!nQ@+0O^zLr+$k&;ix8Y4SJuJPu$xXHHJe_i46Spy6 zaI^}@p`lj};;4ksGA51R|PA=m+HSt4e{1*?qh7kXBz8;!l z;NHK~9QI~&)C%8Y3-gtvPOF{XJi=kWNZyMv+A4ICe(VmIW9GLtR_k-hpexW=0ndJF8w}%40 zRuPc=7<>6V`7r6`Q~aECsQhm^Io;pnN;hUD^=ZiW`Ps5MDBPo4$H05vU+h2B(^&!sDs3gVP*DevTUO>V zJu(uc%Kew*1YP1Baasf*TuL@VMJURu$i)uv#ew2T1}p8$k>~f`%7lq^`6f{QPJbv3 zEH7IMcNlQ043B*7OlX^m^V+|(6W@^g_L8@W>Nvh;g-x)YcMeMFIL0iue%0?b~qGv$%1XX&c zQGIQpr-U-^Gx(T9f{vsW5n9GrayV_Vq#K1=Q*HAnM91R-&C2nNV{1M2%+L4ZdSRW! z&7v|EU4(RlxCX`jmEe53Xk~QNBEK1-E=D|u$RXF;(&}LrSMpANg_)NPO&lG_Z5n9a zVsC7*TB};`qH0;CkY*tz%7*IY1%^(~ETCH;&P4-GD{t7|!x?*{RZm%UDso#Mp)#oR z7v?2%jfeVyl>LpCKjoW@EHQHH%BGzJHIS;}8J`YyA|9`SyAFajw*`bptx0@pLp>M# zQ(L})T}9?BX8fJ^y8(Zkmi=|T`NQo(Uu_1FkPIyfFZV;bR(PKJ@84O6%HeWXiSS(E z$Vs_6J%fDFV9GA-N}3UfMPYnF-Plfb1o4}R^M9dyMbJ>n1Eukw`OL{R~9 zO)w3+<)?LFL&x?S#eJNH)SV*YE}?jdDT#$2jXRAUdB6tcr0c&{(w)&|V`yY=>e!Vg zyPc*)p;m^wp`5Q9$Pf@X!DbE znUt)-aN4m(8#L4Y1Tar)QTXconX_VhX7-m&s$7E|fG%P8A9aSKAxybC>p(2munNS| z6aYwtVuhlJCUp$=34^n50U_cM+%Js0!pI$%hcs-`@yT@ZuIm z(RL-Xup%k&UynnL%Wkqc1d%4+2)yoAg?&?o8L>!@Bq0r^82ir(a@Jj9Dz6LdyQh z7$)*h)8rIDavnY)_%(#S(Go$2RI=h~u%A;oQ5d8k{b=5M#(DHmA1&#Xk$x>K62v!U zhgqcqjZdVLM-J)9NgDwnB^>72McSs*#Q>aJH>1EQfq*btMY2CUyI4a% z4S8y&5txq?QW}M=wN{Fzg;iB^U5smn4SC})1kE0@@aJHu+fAu8$iNSUo08PF1r(Mr zQzU$`-UF_y+w?Camrq08nso0lDT>rzXJNTvQlo&|ikW}L*qIwwN4Z<6?lU#BHZ(E+ zEX|rOp9>RfW_BaDrUQ2XHjvXaJ#EY?=0vAw*UV+47?-(RkCN#8!6l|JY(T%x$$pt= zC`wl-%H5EEDfEw*^1aXNPPo6V#-}c9{96qd3Vi-JBB$!+{tE++922{6!Fhv?$%MD_menRg)`pb`rPu#6_|6YNjuy|+ z?1{YTxQ!K5aeV*KFHyZUc3hN|X~N07$|%i^B-4%Ft^LVO7TnyBV&`*`#`e}1z(Ceoj{Qp+_J=_l7debU+q7PT z`})0HMJUuH0+A>UMVNybd8BdE>EscK3{b=e@U}I%ODwi`Gq$iUYy$OFV0`{K$1(0RKfH%;<#?0oq39>Qg$HROPPy0vpPYNp)649e@Dm4+o7X2nBFzn=SK+-6h z%wSqWMwKO^XDktKJTYN3F=0Hh3W_L4+UQ-SBcAOXeg3L z9o}mu+)E|Y$YjKxakKI<4e?2t-wa?>Xz2VjAAcJj)|m>@@Ko~hNHjGqRf|c=@FCIt zg%%>Vl`=l_Dm*#Wf0W`1@SDp=k(YfBkni+zG{PBwWqMl(|jN7cU?Nw(XDC<;TcKW z*(t=?vXj@duYN1_y^6{i`!i)dW@Jg-+v<-F!CtI*KlB&13O6nVV(qCY4XCMou}$m% zN`$0m8;+s^7w_!mr~murRpX%~H)6Nz z!&Xoum)A{4Ri0U;PrlIM0i<-Y;OQ24(UB3zATCv1W_48j5v`{fl=HI)lTQNS(qPP;uo}Rwg?93oq$4?w*c*$3cY@mN4>m6} zE{kL@lhjpS&*e(m55() zq8v<({Jmelhsf8;waGL%sRs+cM}6&dh@R^h8Y#pg!8!esA}6CoV~>r0X~8kb@1`vi z8>KmnB9nc6e@sokX$15th3H&;3zEH*g8N)QKL!nj*oKG&SoQXc&3Fe#wrR+m1(v(u zm6OIqa>xw!L`L4mMB)MHa;a)mg4@~klgpp-#{KalOSEc1H-W5~isAAn18C9qR^EQ86I1BZ}7*!Pv#?UFD*t{|Zk;%>ai_qI;yJ1cC`0YK>h>xg~B z`1~chC2w)Guv^TX%?60@yGk zbIyD_qDUq8Unbu^h)EC{EWdpSz#9WaRg45FPA^D2lAwF* z4-m0girS==7N6>KNOABX$^7stKUh2sYs_6PF%H7d_}^e2St72%+pi^7Ui+(law*2X z>%hKa2KotoHn2JG{Xuu6GumpYw)UD4G#vNkQ4MoKmF;ad)V(`9Wb!u1xpm-Hc5sq@ z^9?X;{hJPKg<0A$o$g;*^d5@912{h%0}@vwh3PjX2tb%&>%Wv`nNPoD8Zk?Yu}X{4 zNq3`1LVteWmt826@@FGC?9i?_(kkrE!Msk>uBa`OqK^4Vio6b)?i;VC_>>aaCL?3? z`W0=Cd)nD+W>X59!-E!{xgPMkRbb*h!G}$G*+F-t{^#C}dw{tq-Gw`F`q%MomY@Y< zk5kE$z^U@hF;DjshL9)kqrS`v1N{jqGDT-z$BQg%g=MVWR7M0yPeV+A7Zn*HJIFx4 z`GMd(Bht%A=21uHQB~%V8okgxA3sxsjXsZ%Kz%$~gaPcWCL_W_Z-P%?7=-WVLHG%E z)%a4|jm_g?_wF+5;;Cy!UZyV)f#wo_+3gosXEPIpWuRtnGq%@>Iew{%Ws>b=V_R=x zcp>_k!*kk=LLNpm`MmYFkawY)I=-7|%f^!Xmef+%Q8t{SRr5Ajp?Dc?r{4{@2$pb* ztEMqo?)kKO9^Py*K&iK#U@Y*>d2%VKbrO{evpY5DzCet(n8YHTv~zTDa&j=9YpLieg?=!!lzO@~e5@I|3w&;pA~y^v~sU4BV55c>9a2rDSOU~rm*8gE~0FWUDaJV-|Mow!>y98;kkzN zzt$atvx5iUelH&?xfzNY3g2b&9V`x(jS3c>!XuL&;%?@?mH8z6#j~)kgsKWYw-&40 z=Dzu!=O=FDa5mDK@y#w|3(AkU$D8Y0a1-fTxMx0oSxqcIXiL>PjyYvj)qihFffy2% zmU%ra;~uO26tws_$9CAx93Z@`At9MS?L)` zzxHnALodA4l1j&8oU0p;cTgOs{kYnxnVen2s(&leXqJY?-%zM_}Qf#h(Sf|<|5JAPDR>L5OxF9;2foP$t)gFN`mDM?GG0ar;x-ay!fyzJ`j=+r9bgN(Ngs`!W|%I~Y#BF-bW z(Sbq9EdPT)rxyE6sc$;;>V6pXe)k=8ISi!q{!*o6lVm2khVy6-*`NZ2pOJER&r9=w zGASOIzTo(&-MUXSFhoRR`%`DG#mX?W!m#YoK49r8pcHeaJc?*XrcjnC-V@GNNP^ir zdKT*Cs*40ZP!&Hnuez7lS7cG}uxG^!#C5&hD|b>ex7Fq&`Pt-Ai%7hd-*r#35djsO zdNmLA(@8w{j9miyJ?tgO?eD22M<+TumI;J9G6$Q3Kj2j_-MrRaA%nkFYMG%S^Vl4v z93;zY4AmOQzgDgydV73fv|)QS$q%t6^b{EUS1Dab`)PxdE!cYZ zh=&RdGLfv&0 zPj)jogHmZ*HwZD~MCiJIH2JjW3o4pW=`6}5uj#lJepB0@)PGj^8sjn6qQC9$%*|B6 z>Ev92Q(rSj;h2g%9kn%ok-{Zbo``z7SVka0Q+P4gjXgw^Sq5SuO0Uh2W-KaEb$lH3Tq+nVO?~;hLu%+fEB$KiYLx@xGI3%=bA$R6mSnrR{yr87_a zX|J#Y6IBcvas?V)`IaL~>pu+09C^mYiL1-{e&g{|WCbTST0Fl#Z_c=wtZ6*#i(I{o ztNU?!WC1w*RGC0nO6kjLeP>G{L66Ja$tBx6x%ITjIs-F*6=iqazZ!4)W712oVot{2 zV8hhdU+J%=z%#dD_I0GTo^G|lZrX&(Q!Po-DAMH|BiAR1$_EKPN9BQ<1u94jj^Qf$ z=3hnc4V+gWRLleP!Jg_Qk!rc=(07V|{G?i`a0eJ=GK9TvdcT_9)(%G&?T^EMU>c#V z`W{&!WotMm@z;X77>;pTiS8yW$N=Ab=(wy?cMb>7`%r ka?)-pQc!{a+;o}iSM|fkPzKab$YUy6lpps4|9e&Z55QYeq5uE@ literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.rtlv_sg.cdb b/YL_pulsar/db/YL_pulsar.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..08672f599f5665d23536cd4d821bcca38c72f4d0 GIT binary patch literal 2053 zcmV+g2>SOC000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Jim00000001=x00000 z001fj00000000FD00000004La?3qcABsCC)vzo2hL7adKNFy#>SWd&qe+8jFpdQhq zu5KYNWc@{s95^B&gjj}UhHaAfh5cO4%*w8AM$I5ZNKS{tFT&w)*qP-ThGBT5%XD8n zr8K((F@Ki!O1#_Dra#nAU(l?Bp5Kdw=fyM9dL39j=zX-5--K!leZLmXd!?4B>y{gG zr4LB+U{GlfcUu#se|RH2XwX}B7k=A2=l0>Blgg?Yj_Lg(pQt^fC&WLk5|AN+sm>>ED3=x$&nuDF#2uQ5|2s{iWajBl|n@uX0>; z9Bxk>6@8OrSJX>_~#YvGZFErp*)OJ zf zVc&6R?faBLcBk>F?Aau7!UxqsmzCF;ZnB=n=YxkB#$1uYS0Y8GX=1QzzzBM(~vcy%VpD2rqR*M%=@$krW7zNN;Q`UbVk{(vtz-r^0bwJfw$ zQV~(vTqDXXv1#|?BCu#0uVz9d_h!B$HyQ8T{IA;g7ccYm+^LIv7Ndg#n#QNd7sE8g zw`1+%uNzNZf1#YykE_J^+5frwzLy}M!Rjj~&f=W6^BnTA#F@<;WSZhThWP+=iomw` zEM0zH7aqIcmN~YgH{*4>KuGT6oE9JNLCdI#Q<+aIZs*yx??9gw3UkEQN_^E`#g5{i zq&xFR>$uYnSle&?S+b+Mqy8X|!7GTdf=V9E#szQt4-@~5&$~^|S?&Z_XMHv&=Hnt? zL(Zh&DM2urz=)*O{U9ScESO|0E`UoZk~vpa!~-i5&I?EVPICruxY0ud&w1V|VUV+c z{rthLV?Fh5Pyy4@k}h&CXiksTM`u+5YsA@K&Avv`NIfURvvOUYMD&sIX4My01W{Lb z2}4-MP7n=_BI9?XhkeYCv{klkcH*ZDedF3;&Rm+PaJ5fTBVxXp`% z;x>s#31T{s`h#+->M|3Gb*Hvo7oHMiTW;4HZ#ceP+<`S@ZWphTKIh}dv5)VOG~=Q=UYLuAJLLF zxM|jot`yY2q^nsE+-jekpWQysyKvRr54d^;-(oO9_P-0}Joz`plV%ENcl-R-`u)@Q zKKy8XmM4#2T7{u45>+B|K0Y};#s>yzkB?nGBjXFOcu^-6+K==y1A|-|qn`jr>I#dKW4`HkrOd(i!Fb@c< z_#~FHNUS-X#dgI>JoAN4?XHS1AxX%kzmr}nQtT_DNlnTkc~KVpnGM5^-DPa5Z_EyP z*evp7oNMG>ddo`f^_Ymeq#Q_7A$Hmm&=D)+R`_2400960cmZ@$JxtqB5I#3aA%*;4 zI-nMb3=!ldVs)zu6YPkAfepmaRO-UQ)Ts-qs;H<#_o@;M zENlp6ZP!Zu?jglE0!!bW?(X~E`R+ZP2C0}J`dF|u!Z!h|$!cgeT+0^a(DlmVg;h4g zVAYU9g;URFXJ(d}OlcrTMc9Qoy^k}!`l=Ji`}M5Qr~v!?-^zQAMuDiEFD%auq~EY) zC|v2kW(qw}7=a!9z5R_dPl3Btj$84VK`9_XP1qm1_DeqEF%XGtNBD+s**7hiouoai z!Smt z)(^r>Zkmmi%aw|jp*#xB*4a<4$M?k3crGqO%hDvOoy*QK96bS|Cd>-!o`3t=QedQ2 z=kcBEY3Ap8HKsO1dq{pcw_9*M1b&NJKfOcY>!)AW+&#Vo538-Qj(7==s06!TT0C8Y jk29Sv^9^dcdcw08pEnTDFu;>^UqomcfzbW~00960cKqxu literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.rtlv_sg_swap.cdb b/YL_pulsar/db/YL_pulsar.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e9718efd34c7a56e7264494f85a523c8f0f0c036 GIT binary patch literal 505 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZUmVI1PV|(6H5Pt(iMz7{oZ_s40v3E z9S$@lE2tddjd-fXk=hu=n02a2^!u~)XRXV&Si2S3$o0=Xa%N4zxn7a{j~k1QMLki? zoy3|tmGj5U(+7^*MBO{SZvE|^*xC!#t7bOOY|pZhW-ZqfdG0M*{`FfyPp`JY#T}<_ z@Ov_!33+%sTy@vIQf2i8(;sqOxAOOU;Jkd=3!?u{ z`MK%wzGUZ5)BgdT`~QCh1yQc5p8(#u4ziVLsz4GhoDsR;fW%fj5!!ot9NXD>&WFA zAkyU9x|;2zu`!2%k%5cP{wfiVg)7Uxedqn~z4$9Pqb7@WQ?DcQiK^27SA!;WhzKal zFb44!ngzHAsCY0kYYP+`lrWs^y>4^B&aHIE{Qce9%G%Cs0SEhTipMp~VJNaW6!2f* kx@~ literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sgate.rvd b/YL_pulsar/db/YL_pulsar.sgate.rvd new file mode 100644 index 0000000000000000000000000000000000000000..1c1636f08a00176913cc22123ef52e0df36e7cb1 GIT binary patch literal 1569 zcmV++2HyD*000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Dye000000012X00000 z004Re00000001Ed00000004LaoR`aLR6!7iXX0JNg@_<-1-J16iVM?71O-v>vdAik z%t8{9keMum;5>ql;VZat;YNH0mp*~u{d)YrKK=LfnanvMDX2NsRex1iRoA&pRaMnL z@n7*D@v&a7w{&-TV{3KzWV5fuoEX4CU7_ESx&G1>`vLJuF?QCXVEJeAL;pkaQC*SI ze@_0$)@z?}>04r~|1P{`<h@%K*fY8V$FyZqw3anWf{BCaKqIN~U$JC{LKcT4y?TOTVc#C39>GIEe7S8KwH? zK_Of0=L2?DnwBw9zACbrNME!*qw6HgvcdI?fRk@vxHvRok-5Z}F_~*9!XfF(tSvix z;y}A)U-t#C#fXo)#(p_tY%7E3BmkmMDpkV9(v!>>pOfq^NQ~p|4)_YZYe~RKP#Uks0=Ga#p2Z$Fz1^BB?_qUxm|1W%bsP* z%OOw|9)%b%K|C$=1YI7iwWOxL$NGc|;z+3&cNtv~8*8FqAs5rK%j>j@$Cz-$xpS{E z$wla5!?=qACy)3Tuo-nCS5l^s_Mj4*?J2L9$y2J3L}yjL1PhN>sg4;Q1TeCr4q$aw zEp=QIz;`|I;*H_Jcq@gf*FPl*t*0AVUrggX&upTT*RKdG>?1{{PZ^yLPzI6lZ0@zT zZARC;M{xXVdndE!5^uifa&+#~`n`(-C&9l6KTLqr?+hpP92_*?&1LXLPi7WcCN97v zm1dz8|47)s9Lz>^*=qU^00960cmZ@$ziSjh6n+yEbDrjx@s5BNiinaTl~{<)IGOAX z?#?W`lf@vi5gSP%X;TQvU!a9btW4z(h=nLN0TDsbN(&1Wu@)^X4vYwb-&=1t#~Byi ze7o;`_s#d-y(aZji-^u&Jrx3I6p>`pd7`pBbV_=iaGLrM!*mR1bZhw?XO1G6Wo+uD zRXUe;I-k+f&n7xoE{dJi*5{d4%r2gtvG4)LVM-Y5fkhs~He{mWKqJbjVM@byV zI}=kA#i5y*gR#IUF;bWn?Zr0g)a;P{aUcXupmt}Vw$#nrau`c>Mh2RTMVmWl74{Y` zKmEErzjrKkS_ARM6MvExlK6v0Yfa={;v74^pd&Sl-4gpIUvz^Rdng`|N-Cz(<$rMyEND~;q zi=RJo_ET^2t+YNXCd*(HC#U``w`q~oS4XXlj)97j?M8} zpl6V;Wb3bZ%NqY7i+%J3rQzc*&jGNEJ({9MZy~epe%%CAcNSOVkyI^Xb+xRbkM4o> zyZz)b-^t@*gXJ!Z20cUS`;o~{fNHn!SA{0^TiY#~Mc12a*Do>e5W+hz!>&%HN?e-e TEu#~esMZ|wisugi009603vJ!; literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sgate_sm.rvd b/YL_pulsar/db/YL_pulsar.sgate_sm.rvd new file mode 100644 index 0000000000000000000000000000000000000000..01c3da832f8d1617f2206d17092822170b9730e1 GIT binary patch literal 1989 zcmV;$2Rir>000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D6n00000002z}00000 z003nI00000006}Y00000004La>{>~SBt;a?Y8Tx1T|q=ey+|#+&48K*FAdUy%VAE% zAa#&gkg4$?G+lqdza)59@ZizqpdyO z9s61%z&7!<6V^5an09zwhc9784+q^1F@HfsZlptrS(Vaxf1+MT_L+A0QRQpTn1l{w z7!)_*`5@xUEFyeXRSRnQ2ej0Yh~M!pHNu7vh$a=pB5)8~RTL$=?a4ERyT@)Jx;+~Z^Wz5v1Oy9dA zq_@nl>x|&uGQ&PO9GUB4v&;3?bHhoJw71Mnk>zr|Wo|i1lJ=IlEwWs$x6BhxlB8W^ za^YP$k49Kdo1wqZ1J0zhrj4mYEg4@D^~)Z6N-YHvOA+XD9#CRBnh~u&Pl@Qtp+7Rwmu{AQK{OBl^mk#ER-q0LHtvvUTIU?hX7l#a;c9xO_NEG&>vrqTCmLr;@$QJ2bHY;0=>$Vjb4296=vx>6I{l%b?K#S z3;j$VJ8phMQaScF^fjvz^<} z=3l91D(kB)Vm%wNk&W2QMr>sxwp$Ro+FH(YNbd~fT~JwHUH9NG@qz0QT42p_Yc>Zy zM`M#C!Et*w#}C;YcV=^Zm(6i=jANh|t!97x9OI}DHPM}s{#Ev_Z5I6kbQ=e7U_5Aog+m0xQXce&|${~n|9XRFm&hW}7 z?f5p^jwpv{6|p1AA&7__8EXj-(C6o-`2E;2)wN^wHU)H0-T*IAtTHMth^ zbkZpm-Oq8o0^KBT8RDr9UCG^WAk1am@RsoI<`poCJ#2IR2FKO*3!--;$of z$MddxYVSgP@r+gt_vb<$6mSSDHD)&9r1YdaeX!!SDHP2u)SD7W3kreg#MxtTcG~2+ z_W)eo4^lN7H^;!g=DM6M7!J3P@J4VFOLlz@au6+O7jBRfeM%NwgGirRNNN}mg3;21 zj9XYZnf=JIER%f5dIG{J$`3Zr@{ulB%8eHM(^|_9m+_k|_{TN=<7NC-3m!K^;-wFk z@!Ku<$29(vW&DX2JZ_zZ|2>W80x{hmr3Mo_;888$(};l7(4qibHi!oAM+Bq>8aIv` zwSZvO;3887N6SBNAjkxccEpke=I_(e&7z5G&V*B`(QleJ&?u-$Y2s3_00960cmZ@$Jxc>Y5S`U%Vl*EL5x)^ci_~Ia<#8@?F}D|TM-VMmu@J2M1A_Sn zHj15gF%T=o#zq8#R$8ivg&^2j2r7c$+w(5UfyL~*o%i<5+|H(nQH+S59_vE@>O~Yw z)5@`wXiS4Fq?$2UpreU$$-=LKdMpSRC3JTW9+NrYJ1oiW2vYl%p?}wGu`}>!sR-ZaMRh zm?h~MRC2t&0H9=8#+vCGHZ}WmTTe2m>6K{APDt#f$yti_A^v(jKGJ3h->gBW=@hA# X(d<2dx)J`U^1^X{-w*o+00960a{IiD literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sgdiff.cdb b/YL_pulsar/db/YL_pulsar.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..eaf6464812a6f5c930ff183349da919ffc51bd51 GIT binary patch literal 2149 zcmeH|`#;kQ7{^CC?Nl}@I;oQ-$E$NnyI_h~x(Jb^+-j|8i8adFY-3YVNv`GOQdw8z zPA28jBvi^I+qcX%H5QvJW|ocZIHy12{L<@ry`J|kpXc+#^ZLA=#}ottl`k@w>!i8b zHpc*b3@IWy3Wl`Xh}ejPZ6imVJO)GAp{B)^u6zVz8=)Zt*E}Ke{il9;aZC6>w99;!|!4N4#b0{ujHCu{+_qr!pZD%Y`3?J z@TJpRV#y0!)ClN&i0pc8eyj|5(*6u5c%Wk!-UG-!TJaa;dl`l>PdfV+f$t_42&t<8 z7ecdk)Lo_MJ6-r_rCA4Ef~Pvi2Zb}9!exgP-z$8eCsqva8Z+OUWlKy~O!#0G0)LiY zXP>#)H>g9CCO#9$E}VkQhLFpML`@d^HoxF$J+_8egRg|^6W|rN2hE5k2BTd4j295? z7#YP-0dR6{^jDyGbGs!WzNxp~vKm9+_E23USc#xBG9Kd}7bPx|@GHkd63A(?XfE%x zc(TF?_)b?>L)f?K&>8J=24UcXaa0aa!JK7jXN0l#;vSps8O#=xUI~|uzdpqXioLCE zc(3|)PB)Sz%h@OA3~iltgmN9cP{!I535=86T(v4TD$F@oEibjy0DhNrk7!-(7*Qqr zfE$JTf$wj|#If3tS4Ntou|56a@{iKsz$(md>Y{LE**%EFQUPdWH*WBCEt5#y3+yArh`MINB){BWJNn+3OeJPkm>0 zY|fe1p+0K}#Am%zB%)=Y+P9O*cux8DiV~E_=hK07Cu*55;L2n9CDaubB^2qGuZ~nH zjeUjoxHbgaVR&ff&aJ~QG+*?D453AdUVsxd)5&phYMu}q#{qa+BGCyb=5w13AG$*Z zIkB0giN{UzzMwibrQQ=YimG1PwJa>-q{L&7__>nGTAj+2Pg||HM(e8#*H4ho?VNs7 z4Ut!M$st#^EG^?KEPDyeHy^U=aGFzAI&YZpo|9Es;g$zwb63f$Ao9kspN2no`2WR5 z8R(d*pF7ZcJ=Gv-|69URKNA*lpP(edz8sx=qUG)plE*A3|r!USmf@m9hY;Gw4YKtD;UziD-iNiwiU*Md~PibTA3I zZXSm`J`P50N~#^8l1LPj8jt!4wIL9Oa9b$(3Nql<&Q{9QJPv$eZvrz`q{*LWtt`}M4xTYI}e`^Szi8pInu zbj+!!njf@n?{Rr+tnqYnom;u7SBs0yS{ozv@2?onTd^~#&k=`3!ps+EL-;H2<64pe z*wXC8fwprj>k-9mTzOIe#6Gv^AXB-;bHXFrtwC^er}Y8O;wiI%sfi4&wWsD&jJfR2 z2zu!?n~1+#K+DF>n{Wj-zmltq(EHYnMzI~96)>6Gns(QK^Ct7YQLUF{rV|lY{Au

&9pKc9{cL~Y=Ge=^)a>wMRH=k*;9Y?{z87>zzk6nU~ z@iN7t816npiAO~J9yqx8wMEi_BrH{^ald+h{?|cWacUg}>iv0oVo+y}+LWA4M<+)5 zEbr$yEX+&vtU;_^>~?7YSpc;Fy1F~AZTB*!HAeP!9}Q|%_T)FF7$?2kn2Q(mCHMFW z`*RCVUNwF3Bs6}zU{Pr?RoBKy9)*L*Ap(Qte&dm|@7uvKeb?=?K>ihXtPY*N$4CtxDoXLYMK5gg3i3cyo}}h7@-zW0%mr=((4# G*Z%_|q)cc4 literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sgdiff.hdb b/YL_pulsar/db/YL_pulsar.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..60a2c953dc7d017e7888f431929b2fc1f4f849e6 GIT binary patch literal 9593 zcmaL6RZtv`4=s%AA`1nI6<>1}hBuIk3Ms*|0D&h%N|)2dviB4Dq8cd?(#R%A6DYA+*eO6Y!Xlz}c&bwdAvj)}_ zIjS!`pJl0*{!rJ{)O@sCYq4KzWev*I}|}z`cSZ+Kq@mHE;t%G?Vvc z9-fO1_vBdx$X+9RM09Ig$6$TwSM9r5hL`lls`n+G$c&(1^$>vmQv(5nvgQC)rurjD zXrP8l1iAzk%is%8CfBgbe@dG%E-s_}>I3tH{HkLMJW?J4NJp`lfTE19H-@}Uw#AgU z>L_C(ne0J>{g+f50uvp`K0tr|Dtzj_FkX*2WxKT4#n zefRZ!ADeP@qJ07YEi$cMlZ|UYvpHD%eNz zDnE2U7z65#Br>CHdz)y{xO5|ymu2=$)oWl+VJJx@ z*I?(&H5njZXKb7Q_Uw!;_s!&0zAO^M)V9V=fI_lhb$z8242D<+A&_PkG0^2pz*P5> ztqX)F_B4bZWs7hEIzHrIu9n>oEl!=8#^JbQKsYXQj9ylBY9_R@dn@vnv}(`F1op?? zn^ZPTD366|!MhO~>{ep8`#^-^GmDu{)(A^=7}Z6#tTHEPJUn}MeUO2}-F#eJ+y2D| z;6eUyEGC&TLoUt6e$Q3NGs1l&P(;Mh5r-siMs5F&t3sxU5$io0>JL|VqgV*4-7{-u z**rbou|Y7xhEP=rROk5VjqBNTt+oEZTV^wY|LhHFLc7KL@z*nrX7v1=Pg)$$O#uQq z-V7VOwcj{Od;EjRefg9p2v*!qam!Y!Mw()(^^Vizki$tx>LjEcoKR>jt?C*pNU>*1 zIFcfvz~FM8=^Sh^qt}eP=Ut_3s?>5ivNO9g=`-`~hYM6;P{avTVIf{Arzjd{Grmoo zu~@n>6YD+!GuNs0)!n0rmy}~<58*d|>JiA^EGl_nsYbdVA9F*XWk|QECB-eqz)Y-$ zf5C0JP_0WzwS2QtjDnXpRpWwQkpaGyfvYDczhSg^d24ZDak;a>Yga61(u~%`BlwVt zxM9Ifr4WzlaIjJn5L2Vg)#En2oRRP#-azF6W{DkY)0jxJWdKm8nm*x=XY`Ylen`G8 zq)yE&4o}Qn#IFVVnt8uMOToy$m=w-4#fOzvgPzVu*K}JW#=VP8`8$YRd50E|(u?XR z&Es+l&p$M@r-@D%9b?66NrJhaPqy!3%Dg-kzg$&ZDduBSqw$vUZAFZiF@#wsbl5B! zF!>>Y`xSx`bu?e!;LLdx7DP5w|Bl<=6V2C%cRL2#wSW_R;jm)HS69Y6-;Sl~&@6Fz zpR(lGby_{G5an@sDkImQHc+&)pij09W5o*_Zf~Dm@g4S${Ni7s-;D&(H{0Lwh4c)$ zVWpU`TJMB2xLW$>;*NlW&aPKOqV@0*88CUyMySCgCGij^laTAbpIAh>qrWIvc{-U< zze@*!l@ERZ3R?G!KoXU|v2)-sZBe#arhcP!8;Qz8*@*5Y#Sa8q-zuY0eM{S`tmT;2 zZhx7y8d*MNgT5YfH6-#;h*xPtDUU`V_<`^9K=_v46alVGMcVwR(vh${kY2MkDHQtq z%yl8}MaU;+d4T^?kD|DE+9|6gWT#p)&K7kd8N8wS6rb-VN_wrCEqs;_iuS=2`L;iS zZbEV{diaKDRVoDkaGgMmJd~B^#Jy-7PCp%Z?wp^<=$I)-#*uCviE8i;?}3eHr%wfS z|4WG{mkEu{gUhbtpTZ<1;SYsD&8VZiiK%}U=e(*9Dr*7oeXFY)%{6uojyCLu~fs_c>lI-cx)?@SfC!k*y#?6J9w{P(w{eQ=7wS$9=) z+TKQgWs`kfaJ{SJWU^#Yfg#-xai>YnOK8AmXr=c-ufgBqtVJwvKLJ( ze)GhA+3S@$aiS~o=_AzQ<8xuKdRXwEo?kjj4yZf-OC9fK*Khy{->3Ci?!K_g=9X;` zreqesT`4(!>;HN3JYp2?n`%kezDk4o$=)gJ_UlBQK?<#aH|cP$6__!>1-ZjOf0bcx z_uJpDAUSgQl_967LtD)N3TAwkCD6CYWGC!g&2*JbqM4=2)^j4HIkM@M^yql2n&mA0 zQR8Nv>|S_KuA9L?Eh}exw^b4iTjP|7A$wn5VVU8p=eh#yC^~u8E0K9GOlUz`O~c~P z72Q$#k2ZXa4?e*NK%|#om!Yzj5N|kpTqHnUDT)P`^#V?T;&#^R8mh zsw6Hvx^K12$Sq!>uU=RCQzDwip2K7B@~zFI)p)7qCRor3yRg}}a`qbNl#i7VU6+BF?z)urOqStPC8s<3<;Y;o2km@S!! zB0#t|HigMI=#kAY$*J-9;yG^rT8CI87*i4Ks;JW2b)ny@w|Q?>Xmozlwlc~U8>02B zvfB2gX-i;!6ET>VD7nm1SS}|Qp1sC;=mKlOR|BQ`qXq5n4X9PG@6Lg z0vO>MKbo_ZkW%|x&dz~xetRZvm|^9gwiI>Nsy(HXT^`ynA#N@5{zIn9+i0eijt}2B zJf*8|CKP)DzUitYxs##(J;-UyZ3W-zJfTV3s8x27vuJ*kF?akVW-ZKbVDQcl|4wMN zRW|Mw|Kwas#L&xgQmW9U+=uo3dGka46|`2W++nt5^V!9FNV4$#IMXruL4PjDPl4&$ z=i}H&KW*2w;+8+=r(v4%2`xjd!D!S4x4-_gyb@8m1e^ zWWmLc`7%HC*U>cx-sRfa7X{bnqt5rDmm?RpPuWYu8P`|#)lULqq1>|r!se@KgPz%s z#>OR~&X2AP@Bha5x^?tH|I~k8|FgpH#xlAoci&&-ov>K*AyeyB_C@nq@%&}c>RIw3 zY5(ZHT>mp+)zPw4vH4T_AK2zO=5}lLMedfcn9GKw+(*6FXojj6eF4wMsDE%&M14Cb zZYG(a0JxNpuVJndb-hLcAe+|;?YJ!>pX90*{l=m&qs&TA!&E&LjKD*` zvEUxYI<{?!G?7R@qf7i)5eZM%;t581)v?7Hj$~faq-B#7s5inB)t0Uy{oAzLRUbFXOEE@KT%Ecv0a$M`nH?16fLhY9)pU_%w!m z1X;{%dd9FV(lJ~@@k?5aM-rM%6w9W!rT`z0PAclv7hC4qskWYe@$ZCSh3& zfiz2PFGR(o!y26~$)zIYQ@(K9LQFA9ILykKDN3a1P5ra6acTZW`r6x%yxOwGR!oJ< z0fC=%*qvebsmp0woWzs_q(Rzc9mm3%M1PL#M`_zrdo zbggtngpL5=2xtA5lpjkdT6`=nq~?KF?VT9;3UT5^;QF7E-tz2!W#pVD7*mw=1v8cWpbTRAeV&isAxPvP&eZ(FQchJ*8acnsyi*f8H`9ykBax=~m^PFx zsJOBZzYAAmd(#TXQGo8eRC9@}?ngT2d@|gY(jxLRv$2{c_>IMw?~a*K;-+U-B>SsE z40YwCI9zX=U63PI^jTTdFF$p=Ab$Bcn~<=7JjH=RA_Ds@9A{&EI;w2yR^k}#EqoXz zjR)t8e=QZaMd(s&N9&ihtoXmvJMm1Ah2WpoPOajP5Q~N=Q%ow6yfr>>>vU5WKJmU( z&x%E$2M{=%vd)Y@RJ*9Fk`8W%(NKyGJB6^y5&w%{qiVJ8=FA2}9b7a}R0-lUq}`RZ z9_*j_Z4#a~pE!@9UI-=c(up1C^42)d4}Y567=oJ#py9zdU`^uXmB-6;QxJ8GKWorSHsKrv9_t=^ zX-Mx^c4(72$t65IamjU7l#C;M#l2iOli_16Ie&xL$G-|+!nR}V3&y|p-OVFTivPY4 z!nYozh;#mbZ^trt9n0yAlZ%1o=|wt49hR5F2R43h?SU$Lq6s0SEAT-l-_JmcRr(_4 zL#(~!4zx!3Z$A{;hA1)bnpshf`CXM~$Nw=l*E}OaiMidaUS!j9uJU1G+Wf&CYyJ_7 zn8NSH%U8WF?Sw|UKTGr<)q_H-S?JwSJt^HlXeKg_Agr~syDh9(RORXvJ9(HLuz$8r$eRTfPJoF( zhm%ygJGE%xkh;>uLyDW$%14^IAZHHYgOaJecV$h|HHX9{9z3br`)5U$!F1(@hv&ld zv;66LBmNRP>sLOymkV7d=Dy69D*senKeJMJ^Pbt3FUo3)ht3hWs7hO6f z;*p#5#KI3Id|@YZfl`8=hRqDJL!fe^ZGjU_83!d!uxklBSZQZ8D*fxMi1f_4D3pE1 zx&cKpQEDu{5W3%gW^7Mus<7oqCur3i0wX-9dt(M3>xCMKqXhg*LmB=MHME{JDqhz7 z&c{?*D+=vrA%b^&ai+bXd45Xk&P02kn!R+ZS@@+lZ(d00+(7GG*J_bHk)Mj3W{ZB_jqPr4!NXg^yk_oU+s0L4dVLG4y^S9$L6#bF>#~RheOV%EP$UZ1k1# zeD7X~&@43Rj^0`v;F%fAnFQzviiL1W?ULpm7ZLXn@24mIwu#Oq!8tA9o)O@rz?ZT7 zG%ZQ(AN(~Xg(!(^?+1@$VJi&T)ZFx9daQ}zSaM~|3~Xqv>}_ZdDx2|9pO0X`eQ+{( zbqz|!aUV4R!u;8i+@%zy0B+Y;2$FUd56Pz6Aew&lzv(_jlqL`%>jQzE-9M_I#; zx;wvJ<3R28dMh6rDE;2_%>}d@hVqk&wLs!~$Kw&rArt{cuP2;fWFDl{HEhi3{*_jg z?1MmQJ{@W%m{{NT^12*4wuqhKKFD-S+F^1F|6?K`y*m&{+ZqR0(F{Fj5<`a%p-?j{ z*P?kWjaCX9>q1W>lY+#ruojVu#U_*eub<2z6J`(=)_pB}rz`-EL1-2f(no0(n)|ps z?pSr^*rQi8L#CT8A$?}=0vq|}=-+hU*V|8asHfb5J2q0(npSnQTYAi{ zK38&5S-@I=@l=GdG#m@|s#*UOBs>$DNZi~0M+6fDVvV|1<-N?kO?PJv&-S*D5iw+6r z!{mNbyemoz`qAp@jU}W&!OWu-I+;io28kDg-Vxr{%M$`XX^i?7XQROh!erKc)jVee zxII3m@{VU8%ngY8dn%9jX~(AssGRL`Ui@NB!Zw3Um_yc@Xsdq=>X!kXv%{mrhdRw9 zdO%`5WMVxuVh)L9ndXpID48qbtyHj&ROmXrSPz|852AEO%T^kA9R;r{4wux?JRC4a8e-hR70FJ$8os9!{-YZ&elT2GU z3?|v(YB0d)qQi5`fTq2O82#%PMZ(fJpgA!e8_$o>E*MN3{<^N#^_?89obMHEQWQEm z`ptqe;_T35%jP|heOd6BI>Fq&pVymEz_sdAc3a$FVQFlSie|ZYo)-T2_4#LT0|JSY zaMcC0p}X)~g>NskbcdK98@_P_4>BRrQ;`1XbLHRIDiX%z3H1-@Y!!jKUsSj`^E#P{ zI{j&Ti(}9E=xDnqhY-48s~RRr7c5IHT=|2z7{w zz3B~Xp=7a2pP6;yg1e@5IM`VQTa2?hbFc<^AUGcSP)ND@H$3kJvNPq&hI57lU;Fk3 zKEzWYT`-6QfBBl14V{c@pabO&--j8Sjq_~lf;j39h%c2-=7meShAhR0EG34H#D|)N zkvP}r#T-(}++h+8b_~blKfa4yCXzkEimGR1&(|Z058*PD6pxMVv!Ka%(%!ZKJXJ#Z zsl-}f5*>UmTNEVI2{n31DcVq4k4o6U&~RY(&I?X#%xJ%xSIj~PDrFy|j&#rgTHFSd zacwNaz$;`SkR(E(qK?Do;vnED6p@n}YCt_t)75mSRno&uJ31D@hRKX!*e^?Vrhx1z z#X0GkrO!!NufxOGyv(yds9l;mR1@~Q`t28^L|SVWA2iajY8zpnDT*k?6dyCrc&Qx%w@JwUcWso($h96rc@_bS1<> zNayC><_@f$4A)How(_DKY1#{Ag*Ni|uepWPY&sI`V zB>Ko9Os?QFd#F;E&p)dh6D9&v6Q*awJr9|z)lknCBxBMEH^>}zBqfH@znkk(tY+P{ zwnm0K2X4y`mmhm(NZk3zy-MHuOqm!^F7bM1TI?7ccL|H@eJ6ifD#r>{?X7?ekA>6r zb&}&7H^28%Q_z3A0s^n#$!~UzPP~dyO;4QE8z`eDUIQ>0yRY!TK7IW|6?gu;q^S){ z;ZA}KZ0&!c>nq+o5r}{Q?62VEm?zZy_&4K)h@Nj8(PN7UT)eK9fZ5@CtPGB^u5vZJ8WiHpv<48 ziuTD#_Yym@@M8(zO83YC!vs zP`#^wCv|fND9U_M4MN|Q{-W{0PUTP^iBKOjOhFi>WI+irT9K0gUB!d-Kw_KJVuG|{f{5X!i_=cywru%=xyY{ipoIspk|8AI#RRmF z#+n~1b%u)mljV3jrGd~QDZEWwTn_<`B+E7B1_5M9@a^=(&2gbu<<411`aSRajTreZ zZ_H1jpKS&d>wMxpFmSw`uLgG(fM-346UH5d#l+X)Rw3xLO@Kcx&^;>LJ0M`hT5;o( zThK;1x0J2w;!`1#I5EEgKL+rxI}43zioSt;=sjN8ETUmIz)Ku!j3 zPlJgu28G|En!h~rtvBgV859_%+o`r--JKApLVi)U{UPFyCE<0RTHQJ3W9bp`+BA&c zZ^OZ{QK-9uPe^fazchcQhlD}Rax{2(*neiYQsXYgqB-g*bNiiKk8s#ZZTi4iMeMwO zhiGOzh&99Hq*3k0dm`p740KQaV`(B`q9>G+90}#DTIO!Cu$w}91YPHsqV&{ahksyL zO_RKMBfRp;PL3`y1StY^TtB&$-YN~cQ%u5E?^r{)h{LWoS=}k?lvLUXeq*T>C%8Vr zVFp$Ds)YKWU>=~|tsTzT+Ss$LtJ^)VmP+^v!os=h->0Za)K`~wHmZzp!LO&h(MSH2 z8aBET?b6CB9y&@~tZVAg5Z5a$&cI*=Jm9_}jMpp1Y4P_4UWho&YSdNS zrq(8Ut0?-iPe0o~%};)Z19NjuQr2aS%jz}sLO^{s^In{7y>I!s5BKQFo#Um2Mv7bU zUd)3Hb}h?wL*zv2*CP&FxB0Qd!%kfF=NJ0Jm;FyYg1C7$#KRx4yQ19AE##-b*}hxZ zh;?JO+QwxP?|+u}Os;r})d8;NW0*ZDs;8GquI?Tn)Ih_My5Cy2V_&}rQl!=qJPJ7Mf0CmLj3$9LOyt<+#SF#^<)tdagZYD$zlF>#ASs zvT=3(I^*=~2g%DHwTTReH%F0NcHXI6o@ckV)!jHpmglA8yJANXe zXTv@|Z;4Zd?+tM=jqQ+R@X3V*2MVqnkj`XHxjhLmBhd@hi(d2HB_A zRHJq1k+WM5;fPA~(MK+((Xsf|)zWfMqX`||QH znn$Rxi?UmO^MFsO_rf|#PvfmawN~NdzsL6Hrw4SArmo!=^{;}1-FhKTy`6dFzsccK zQd_=QSk7GjSjon>v`Bc_E>m*=Nd!?+i6mfB5@3svnM5OCGfR0n4W=P@@AW^NbZHfqOW)4|3mox%KG$yX=wjXtladV`=C=C&U{aQ1$dy6l zv4)?c#R;|(nc*OmpuYCmVDjYG>TEWh2z4dKXes4%;hzgK|L<`oqa$kCO=V0;p$QJP zvkN({9Me?-)t*G&@^|hNutG9^`yq8#%O6Mfgb=vR)-zZ?`&M?=$vf@gP@Uyi9#)z3 zn*B`~tLm+i-+&-=WYUS4Y`5~<+6d||U<8IIP@`=%Rrgfq&=9bbapt(X$2*yb7VT_U zkeMDkfWDum<|Hv54nf(Nic@zp`Zhix>QI%a4m$8U;&S^L=_Fg?O3c&q!e^l64x4cJ z!s%Mv%+xQKrWE5LTbf_wrK7s{-Cyhqo<6n;wvzOMRA6z(L?Vu)=_nWmlfQwU+k?$> z=^5#Ej=tLTW#%NLNp#EKlKu*}$Q62A`|gEY{QL5t%89|~DBA@7p%-0fRK2)Kx-RDm z!H>7TPEsE9HZ14pGAk!vFya?s4x2mcOWb%4fhLzQl)_^tn;o*U(K6x-*Qt{w_-YQ` zKMEAhTIE)lOG}qT6IOF^tTl}h&R6&{TJCSIc!5t}Q7{s9TT-ZC$u#+Xb2gt&095$R zQOy9PY4|vPizSbtwzx;B)nFomG5e$wfF-pXMM&;1*~0xY*;LtSA6S?vqeuAF^-5sP za4?dv07tT)Qv)G1+rz1hTh2MtP3R4|d)MRNHWDDJe<3u2Vxf1r`H5OwUK{IF7?#Y6 zhOWbIzRjPxV2*-9c~E4G)z_Xq%xh$e$zR?t7JJ%OOhbGuT7FTIW!hlvFWiB8IKvu) z29%@GwxND7M*pB@IS_a4I{^~Mb)oOsNy^Yt3qbRwEG~yPnzE2ace+XlP$z55uL78(ai8&lB z)hRkQ9iKC$u6ID;sG29%;8_?+VvI$}Kv5@{fqt^-Y=)} zT;5^hMYpycO-TxL!I#oK-X^o_${*-xF?Bi_7xuM&dDvfZ`~{-~$~z?mwP>()2>P+6I5-SRgT}w~XiDrO(I9l1 zDk}KG`k9w)9H_cP_t9Y*a}4&Rr`ix-=TH8|W0%1r+(?`H3fPE8o$H|1RT)#@9W*Fg zS!u_eQ17z2LmO6v!=Sd#^%6%3IgJEhmBJIF9sjG!^k!PEhD0dTcp59@B z#|xg?MM*=`AeV_9W_i&NaFQuT*2h5~LG<^?o^@J=8P`AUwGNzl=3QpXskNCJYV7Hp zum9}e+YLJ{bzhHG{*$7ehuq#x_lk|NDv8cc%=!#I7WEzDf9vD_0QpsNWB>pF literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sim.hdb b/YL_pulsar/db/YL_pulsar.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..ceda2bc115678bd83fd51fe422ad05cfa5a1ab61 GIT binary patch literal 4764 zcmV;N5@YQV000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C=k00000003+b00000 z005B*00000005j400000004La?45g%6vZ9K_kaN6D{n=stS#~~A>kezAe6!^yUVe% zw|ngF9U+NX4sL-<4(_-INK8D-RHZD+B&Ia^!~B2^4bJH{Zo7=iO*kikHwtKoi{p(-<`ZQ{eh4VNk88F|{C-UX-$+ic`o$X>^caa#w~< zAQl0G&P+Fk3T#c8nT2Vn_s0rL2vi9;Z>(M`cOShc;^Nyv3el4`*N_WXKg?76V;+X>Tf=L3_Po%kayG zb-~|(Y1z}w-d+3x1WkRcl#zS-`U%rl;S zqS*3u`E+S-nR*_k5vu5ErC|vBrnzosi27OWH_@|x#kQ?w&tlubJD(+Uzi0g{o89DD z2c12w`n@J)8p3wu!%YZ569WO~fm2(*a(sW=kUii=ynU={_8UJHbPvvu3Gf|r$1@ad z(1&nlnl)Z0leG+)0N+g0jXSG9-{@JME?+s#?w8Wsj&}Gv#Thbz!bDqbE@o1 zo>r{QN+n;heYaHV$Ll$YmV863`(~I%IiJsaF3{!EjTK!!D{c7nw8}H@K`VCA^%q_J zicKrF?m9hnwjPRn#mdy_Sxy`H_|vsRsiX}>yX)#c6y+5wbGY@?l~-(9v31wwvvi?{ z*?#NTRV8wdWs&FgyH!8$^-tFhmd$S0*u{J>@3Y2v-xJW?kF?68mm~bzVdkK=gSDo5 z+eO!3bZNz|)4F_?&GMdYYo)#5X)`NrpXdEuT{~Q=Y4j?{bK+HiDtdJoj&3v=6fm1n z8(}VZTEgslPD06Yks|1&7jkxO6+M*do5N3=MX9ZlMG>k*D0(QGigxN#-IPI(f05Uq zO8pJ5<&bYjC_JlrqPB3gd_{->`BLui#~IBJC`S>VpxX)J3t_CrJr7e?1h7DT*mZRtQ+n zbpE9%S*~QW@IvJ)Ljx#Q(PJXPQH;-W zc@gz&Gbr|0?9L*;Q)!PmC5tlDm5s9^;|Ej<1ldBL5>o95rIcbLoTYr;y&wCuyS7S~ z+TbQ8x+9d@DqY+BLa0-Zhea_1sIB~hjGaZfBvuThR8Aqxq3%>{iTj%Hp@D0vlrm@$ zrXW}sUpl!^KFT&I_knUBxR`xFtORARY=N=`$`&YFplpG%1?WHyh?VO23$ zBEk}LSt1Goe>$thkWR+0b{XGkw-hfX%_9qe=-a1>7qW;Iat8>yXP8JeH0JX0SY6y% z6=!%UU)*vabSE&EiKMa(=}gX<-x*#J6kNj>+5?1|B+{5mJIio}*9Bmz!O$qqCF&EY zI%n?C?7lM^o%jniE$TnA_E@j45ZHqBx$vX2DjT~Bon4hZT`ODLE7PkBvCh`Dh03n( zo|g5MJ?(9k@nk$&Q(awY|A&{p&=mZ)6%yv}c=o&bLsxo~{+KOmXzT3`y3?W%>4=?v9>rPeF)WgM*QE7Z7^p*O-lm>yqiYkz_a< z&*ji+S;Ts}`w>f>WNswu+rb}2I{gQ$Y@|LOj%362jmcahx;UEj&9M=*M?)Z1y2lXE z6Ps9KGfQj%!PsmMkL=}S3W{X2MZ z-{g@zk1XMlW+3$SBJ9Q@sc1ar)>WP_4ahAE$h8FI3IVz00l5|6#-dW$hPZ!aR|XW; z3eFrXnRrAR%I$wE0Q2nt4DL@bgRX-m)(E2Z7Ppr2yi*WUYh;Yu?_!B=wv--0%+Wccd^8GS>k&vaW_lc&k{dmi661V zk3n#Y!vg`BpRgr82!dI9A7X|4lo#?d9(j~4XCp6U3y(a`Bingo2aoLJkzE4OTq!&c zM864mi7#g#kG#wyfAL4;CC6X=5jp5z0YcXb$#_#d={u{u3W};drBdc|`~TvLdJPCS zj{Yqe-H!bA0L&Xg9Zgg27l_9to2=XcAo|um$O}0Hgsb*ZUd*uoVvd8+>-!D}YVP`Y zEYaw@RXY)&tdqWF$=-Lymyq_QFVHgc-B_%4`YMeF!3b&Kk%c_6l}EPm$W9>o3hm;B zJjo-wdE{vz^bd*`C1SbxdEZ^hGrXkV1L6Ak9|ADX24ME^>ijW)nCHOg+u?bZ*vk?x zfM7c3pLpa&9(jpJ{>&r$c;saudb?eZWIZvFC2nAeE)a}m?`4I2pBHi;k37gDzu=M0 zK=`|MTLMv!2cotHqP7L1o(M#34@B(Cd4D$u40iu(&ApiN z=`Knt9?68`shF!C_UV7X>6_udEU}*@{s#gY>mDP%$s-5Yat^Y@A(lAI5=U6#EtWXS z631BLI7__E67R6YyDae@2&Q#UutH9<#3`0I%`5UgE95Loe83VPvcx$MjLl}_uAqKs zF2InYL0&y|N>w=9(Ekw;ej7-Ra=MtHA4ja3Ijgp2R!vnnTpw8+uB!cVxTdPccaBLS zhp%)g8h#HBy3uSsj?XV|Z7cM9pD1J?QMfnZ)4bKcP{%tD2argWzQuP6-h|{EK-9Ol z6xy<_tG*Ix>n?OQhi`5wbhfs&ulOR;Jl%`PNZ*PT57okWUgpUm@>QUuh5v1~U!whJ zVd-pd@6LBLcXqeR-&w?Ulj#iMllLpow13E^8#B>3#o#Hb-8^(o?$@B`+ieZWNHks_ zPv!EFR4kuKH_{skCgJh%2r_x7N=6p$Hz1g<_FEqL9T2lOOrJ5mwrbi8Dc$rPeQhJF z&to9yt&2?DtY|)(PR&auqPj|MLKb?XqOlGx>llVIzg7H}s`v zKWCVJURTBsw@?2qY$(dyFhp{PLf5j);Tbo6b{2Do=Xerh-7`(fqkW1D>wJ1`N|)|T z`#%5x0RR7Z0i;z;Y!y`$KEt0a1=`1dq0|Q|wo)v*DHg=uH}AfAQ{LPeXKo3w;DRY) zHF;`~q$HY1j1t`$NpxdkOav0*hCf-F7}*#TO|Ds0l@2jocta)*oD-r*Ni8Xk!m6^jh66s6DJ8LVpp1m-;$%F#AW%= ziT3fSW9_4p)v5Lydq@L+8%s*Zkatg|ZWu(Nl1krSb6-jG=znS!N#c7dn3v8?C7mO8 z)hXPD5wSNx8jU^>$$N$0KM#eRQ|W3yRQHd%3#JzU-1_P1-yHO=FZEFHX%lJVt3(Th z*W(9K3#ImZ81~~2b9^)ZU5P6hRU$x~YJ?{6VhhBz3Wsq$Gyc&TVy#6YEZw%L%Sfua zRIV=*67sj1bzcvjouI!xNQCzjwo4; z!^N0+QD74t8(k|RTnFnBfAiLtXK{mdNaRHsUl&@C6v)5=3>4tP_FYst(2Ya~!icWH z?2|5WneD&;;*ZWuZE}#a5s83_ADBC{PG|VgBT&Ud7q+zn;^gk!aZS#n?DwIAZ+=0* z6-cDrZH4Y$Vk2!bi&)XUjBV_l5)_G#5u5oAn#dn*wn)M0hHTs87H~- znJd@nTrU!x6A_oG^(K+=KHrs$r+evOm-@H<{L0)TX`m%je1w)I(1X~@*~$l`U=7mU zymQvVP84;Xo~6Eq)hVnP4_t&Kf}N=DG4|ueiIXKZ#_lfWeui%Y+hTg z$hAJN%8@k5vk2)rxz#P&spKfiN2ZTwe2Q3|BPxid?|`XUkIT+%Xj9)|(sw`YGmPx3A#%2Il`m+$AiI!W0p z?BjIOe#4h`o>BT1LKRo%+Am+>mWP|zft&Xj1+W}G!F2?F9VT1LkhqR4(iz}R{pOv2 zsL6m5Ge?@_ib%MEM`Fjh_x`4T#qi1=BBSw^r6wV_>>#VY^1e$wp6A;Jnqr+NINr(1 z3)6(j?v@8!B1P;r&0bkF-Zu7N@YM8+gr*98USr;C;~0!_10*BGVu4*g~Y0RR7=m?qHx literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sim.qmsg b/YL_pulsar/db/YL_pulsar.sim.qmsg new file mode 100644 index 0000000..3de9fd0 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.sim.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588562406104 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Simulator Quartus II 64-Bit " "Running Quartus II 64-Bit Simulator" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588562406104 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:20:05 2020 " "Processing started: Mon May 04 11:20:05 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588562406104 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588562406104 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sim --simulation_results_format=VWF YL_pulsar -c YL_pulsar " "Command: quartus_sim --simulation_results_format=VWF YL_pulsar -c YL_pulsar" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588562406105 ""} +{ "Info" "ISDB_SOURCE_VECTOR_FILE_USED" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.vwf " "Using vector source file \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.vwf\"" { } { } 0 324025 "Using vector source file \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588562406504 ""} +{ "Warning" "WSIM_MACHINE_BIT_NOT_FOUND" "\|YL_pulsar\|pulsar:inst\|s3 " "Can't display state machine states -- register holding state machine bit \"\|YL_pulsar\|pulsar:inst\|s3\" was synthesized away" { } { } 0 328028 "Can't display state machine states -- register holding state machine bit \"%1!s!\" was synthesized away" 0 0 "Quartus II" 0 -1 1588562406579 ""} +{ "Info" "IEDS_MAX_TRANSITION_COUNT" "" "Option to preserve fewer signal transitions to reduce memory requirements is enabled" { { "Info" "IEDS_MAX_TRANSITION_COUNT_EXP" "" "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." { } { } 0 310004 "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." 0 0 "Quartus II" 0 -1 1588562406586 ""} } { } 0 310003 "Option to preserve fewer signal transitions to reduce memory requirements is enabled" 0 0 "Quartus II" 0 -1 1588562406586 ""} +{ "Info" "IEDS_SUB_SIMULATION_COUNT" "1 " "Simulation partitioned into 1 sub-simulations" { } { } 0 310002 "Simulation partitioned into %1!d! sub-simulations" 0 0 "Quartus II" 0 -1 1588562406589 ""} +{ "Info" "ISIM_SIM_SIMULATION_COVERAGE" " 100.00 % " "Simulation coverage is 100.00 %" { } { } 0 328053 "Simulation coverage is %1!s!" 0 0 "Quartus II" 0 -1 1588562406591 ""} +{ "Info" "ISIM_SIM_NUMBER_OF_TRANSITION" "400 " "Number of transitions in simulation is 400" { } { } 0 328052 "Number of transitions in simulation is %1!s!" 0 0 "Quartus II" 0 -1 1588562406592 ""} +{ "Info" "ISDB_SDB_PROMOTE_WRITE_BINARY_VECTOR" "YL_pulsar.sim.vwf " "Vector file YL_pulsar.sim.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." { } { } 0 324045 "Vector file %1!s! is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." 0 0 "Quartus II" 0 -1 1588562406594 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Simulator 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Simulator was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4484 " "Peak virtual memory: 4484 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588562406654 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:20:06 2020 " "Processing ended: Mon May 04 11:20:06 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588562406654 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588562406654 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588562406654 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588562406654 ""} diff --git a/YL_pulsar/db/YL_pulsar.sim.rdb b/YL_pulsar/db/YL_pulsar.sim.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d6114130116aae2bb3eec65bf9bcd9c47f325a9a GIT binary patch literal 2553 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HC&000000086&00000 z005=|00000008+300000004La>{wfGBexZnd#`#=i{8=lCFw3|TO-v?8$ZR7?S+B0 zE!5iG27L&q8A@h7Gn|kdxe6AW|J(va@7wQ?9Lw}v(gBrrfY_OVOS!JoaM|am6~mqs+o-0w9I1? zhGAWvCrsH(p_x%rd(E|A)D_67Dy?A$r=t-oxVAY6Jw|s4vh8N@e8E&{i_)^GOod@n ztulw7ywvCAz#i6Xbh$AqmfVVj zB`Pj6kz0SVm?v|rlKCcWMf|DI!kBcyOgZ_Zh%M_{<+y<$GvgSKRh~#MjlgLT^T_kW z9)rOg67F7<6BBD$I6XR;X(4Fw?Mm*aI9eQyV8=nc^~?q_xb*VoMUl#wPg23~bt~{1 zb19*1*1eYY5~2lJCjDNwu?KG1qB?vDaRZpdY!m>C5*p%_qT3X$KRPdS!!n+iQy$w= zLza_8wGTRUpt!DXkOj#WdcaF?qUA>wEQSktch`=Yq_g)8iks$1;_OeKv-{$Y&nF{}V(q>AnB>gQ83g z*SoN^yYuTQ-I4?RhWouIMrhM3&81Ym^IRNiITt-+%Vg0jRoXjxd^8;N`!z&eBOqFa zH0!(g2F)T~hiVSbHCylU=+0HHv-Rn=^ zDr9Z{rwxYRC`tW?sGdq=NE-b$A|GS?6N)@hELRqe)JY!xw10=V=>p#n_j(rBX~nBx z@h*+nz#xGF;BLFmO@EF>dU%OxX@Qg)KSd3l{CMet&-u?MH93K{SZ`P8&v$ zT(5U$?2Ko@?ogPqyxo2Z`L(esc2Y4HDLcxow2RF%U%iSs92MdWcs@i(h*cp=w394&xB18G_niCk8a#$ zRs8`p9Z8DsS;4O?tsf+l!ch8Av>Qe2P2c-de8$RBR#j#bM4yP_$C~HH2lbwaT+Ci{ zQ%%S>y{84gDv+7jzyyVsft0p%%rlvWK$H$-xQJ7g3wCmX1`5#}x-`Z$U7UF|8Vm=~ z@!oIuhQIN>cdE@d9n6%Msb!7hA&1itB02UNu<77wfo9w3jyp`da+rD8r$5@N_2c$J z$eX~4%PWvj`6Wq;PoEw823==g=+K@bxuPT?rv%U-FR9Yo_b~WMq6*lzuwJM0Hfje+ z!U~BT7DTQ)iDMBfV~hNkk@O+0qleBA{sJ zQvi|WK139Pl-mef7A|JEq^y#(kSMD$zyf2uX3c;+_f~?` zooi`eeCch&zgrX{mQ#tBh1$fC2|GC(JsE#+bol594U~0#e?UL3k~{Ft+6F}+og}jl zX?bgfXDPTPBt7IgCUPgiz8nb-nhcCvcEkD72G5b+Tx$spsj@P5LZ;BLXSBgu+t|5# zBU=Yrn>PxiM?zC_#~wMQC!GO{q{_GJFxm417(>r+yS3_mCTD+H`OUf-&;ZoPjd@8D-UKM!?iSRCQaT=AT1(LE#Zz~u5SK8zEIVS>|le14A+<; zt8(>qa&>WWb%CkkU{C<+kKOU`)j}W*vmRn?a7d7+pL@KkkE^e%AH@3eKt-GkAV<`k zEGY+43_?H*axp{;R4pSbg8|UiFg8nH4xqdsiW-P-7?~L)fJ*or9VY`RxDp>%cSj$X z|j(&UQ2vh+MOt4L^5g{-YObi-8-Nrs{(ab;R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.sld_design_entry_dsc.sci b/YL_pulsar/db/YL_pulsar.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.smart_action.txt b/YL_pulsar/db/YL_pulsar.smart_action.txt new file mode 100644 index 0000000..11b531f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/YL_pulsar/db/YL_pulsar.smp_dump.txt b/YL_pulsar/db/YL_pulsar.smp_dump.txt new file mode 100644 index 0000000..0cf834e --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.smp_dump.txt @@ -0,0 +1,7 @@ + +State Machine - |YL_pulsar|pulsar:inst|ss +Name s3 s1 s0 s2 +s0 0 0 0 0 +s1 0 1 1 0 +s2 0 0 1 1 +s3 1 0 1 0 diff --git a/YL_pulsar/db/YL_pulsar.sta.qmsg b/YL_pulsar/db/YL_pulsar.sta.qmsg new file mode 100644 index 0000000..edbf9fb --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.sta.qmsg @@ -0,0 +1,30 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559813380 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559813382 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:36:52 2020 " "Processing started: Mon May 04 10:36:52 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559813382 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588559813382 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_pulsar -c YL_pulsar " "Command: quartus_sta YL_pulsar -c YL_pulsar" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588559813383 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588559813560 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588559813874 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588559813931 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588559813931 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_pulsar.sdc " "Synopsys Design Constraints File file not found: 'YL_pulsar.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588559814025 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588559814026 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814027 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814027 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588559814030 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588559814043 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 0.136 " "Worst-case setup slack is 0.136" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814051 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814051 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.136 0.000 clk " " 0.136 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814051 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814051 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.610 " "Worst-case hold slack is 0.610" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.610 0.000 clk " " 0.610 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814055 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814055 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588559814060 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588559814063 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588559814064 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814067 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814067 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -5.297 clk " " -1.631 -5.297 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814067 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814067 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588559814124 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588559814127 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 0.641 " "Worst-case setup slack is 0.641" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.641 0.000 clk " " 0.641 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814170 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.235 " "Worst-case hold slack is 0.235" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814174 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814174 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.235 0.000 clk " " 0.235 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814174 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814174 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588559814180 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588559814187 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588559814187 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814194 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814194 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -4.380 clk " " -1.380 -4.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588559814194 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588559814194 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588559814218 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588559814243 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588559814244 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4546 " "Peak virtual memory: 4546 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559814306 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:36:54 2020 " "Processing ended: Mon May 04 10:36:54 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559814306 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559814306 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559814306 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588559814306 ""} diff --git a/YL_pulsar/db/YL_pulsar.sta.rdb b/YL_pulsar/db/YL_pulsar.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..c69881d2665d124eda6e6722a36425bdc22642e7 GIT binary patch literal 6303 zcmV;Q7+~iS000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I7U00000001->00000 z002<}00000005sD00000004La>|I-P9LIGA961-{>coi?=hmjph)jspE&xKLl5#`= zM2R**LI7piQB=@icL0pJyR({^B?Qr^yyYSJ4S7fT zcJ_i@h=SzZs>M$C^qf9@&gpaMKGQfqKR^FJd>0lLUdMM~adF`{@be_-_;2<7I5D^i zx<}?-*K41CGl8MnqS`kZ+!pl{QS=r78iaF zk3aN}yta81CPC9L!)JN%`=47_*a&;4QE+sem>U~6P2=v}#_~$Nv1;zM6F>6IMi}+N z$V-B-yY!u~ADMpaoA9vW_RFzp`EhX6HAxt@<6EX39tBNv*zY#^bZnNqZp(zpu^*W@ zJWNi!$TzIA&3PFlvAMUsvtxQ*lyuQhVJu}pZpjl~_tb>_q}NZ(VbJzta~Opk+(GT3 zK?mkAjQpdJG+w$9bervdi`Omx87N_TQFs)29brKTT!K!&Edx|wH}gK0yf_Y!b^MogSZ(5JvKJ?jw0V@=eJV2Z-cd9jc>LrdGjZo#=uhP?e%)?py?g7 zeS=@=!OLN06uM=WPJ-kZq(!q%pkuv-F?fkNO_?8n+yG26#~}dr`j8M6iY1q{$~vWa zY&u@If9N%negs+`oTlR-(*Z@QmMkquTgZXe2PRSQ5osU9NfaFPlQ4>F#_Y6x4;@DS zAtc1oc*z-JHZ(!>upf!cwfw{j+Hq}3ro!u(lwZNs&`D|b`kjs!ol0x_=hyMujC{z$ zeuByT0Vnf*oVWWa++W0;TKF$aM1C)~0N{cMasMK&cD%@IxBWIIX{Q&c470(XyZ4&; zJ#*q=js`L1pmocDp5&@I_MZ6$b07(NWM>pMA;zGz@t%QTY+N(2br#ZTZnAwk%j%5!nyC-J+{4x3M7sj`0zej^`>DcNtzO|#tdx_*1V z>vepSw&*D#`iuykN zx;7xS3;zN;068JFEe|$lI5bM_C`kXTkiLp$+mw=K7*RF5Ml6O>sF*K!LO!3z-$inA z82LYmn~EAJe*QIFx+B~45-&dX{lx5wjEx%FA~{KW?0)}ADfWxFC9-IqL|!+Bwkinm z8g9Enyq~143ex<%1mX;j={!oA_K(`#&!XNU{A6QekImAL(^b-J#%4KATGaB(AM^tZ z)15re9T3{pHlRETVLe+gAvA@1_jfn%@9CgmC1Bo6Gl-h~Ac;<)Kl~V$3g$7jx6tQs z8erU6LkB^$7?qfV@$TL?*-!RyFplD7XVap)_$vVWb{94r z*2oLG7}AcH92aICY?)Uob*o$g$;?+Lr#7CU}_)?#L375HcGg}?+!}9dng4TX=42>cx z9kzvfJ~mt1_r5I#-3JFxjp%*K6de(jt6OM$JxaT*6!vGA^r+R)A zge|%f0u)_^UHEM@UwsNV{hJS88?Ey}-KDGm=BtGv@?bYSoKNxxSXc((l}zPW1&KICYo zx;mC;3%Yt3+5`P^e5oUX%Zh3GCSCLj1OH$=*2jEw7}74>nQ{J2Amb1O%03iL zQGxecErx;4PUE%TA52Zj8|nq$}DOf7YSbf zgSvavEV*ZIE?Kh-gM2Y=lr;-DLI=PB?rH$s$v#tQmLYIw#+f{KV3%iphBPjw)+{67 zt0>U>9I9DBSZbC6kiy(|ahz7O42M1uhN4*<7$fGqi|sU;WwKr_CKnZ&#pz}i&GPp$ zp+&Pe5X%a|rO9~BG6Ik?t4g!{T^>lPI$;n{>F0A|Pam`|P(6!&=JJH7fRC#ub|gLh zDtT#<$GUj@v3L60=rk4c7uPu?m9~$|50;JT!UAgK^SAhWzl$|hc=}l3^XcYa zLjVA~NYCY(#zS7S8TJvL(76`>c{vG}<$}S2ZL2 zBP^|B2^jtkdqKPf=!^?a%>fps!xKd22rIhQt4EyRtO7{C7g-{?n27!d46FMEff$ab zZgJHwZj;QvfL|5iIh8@gayV4b5-x>#Jpq-mMpO}yh+MyBUT(IZz6>DQ=WlRjU9Lno z*_PupYzm@yU*h~>F4zzq{=M%xQH9&_nZbn61!(i`k)N$aKL=K4@0sPUMgfg_-7M?w z148F)Q-P70tmKv{RxW3a{v8zKx7>i`i5l7U^eSOaeP%TIH6Z4Un6h0#>AxPN^sx=~ zE``uex1T;bFUY>dXgV)mFVZ=+6HcI2LH57O$=(UNve4%5pGZ_Ti&ziu9K%VJ`!y;6AOT3ePWHZ?X8(MW~txKDGn;XmoLBeWl z6A?nOErMU>ay);2edF=N{rdH@vp>Qj&}q*%Qqj2nb(A%|L~B;0<^(C0SVip^eQxc# z-n@PNjO|;&v!LbgBUwa%yef~_gv`^d`i@jHJ7d3%loJc8(NGvtA0G#Y*^L#w(LwT` zAK6tZMRH9b#YpHyLW>TD>1i3Ui$HvTiX&uE)OSdI+d>fz&(3qOan9S1OQ% z5hJZkd#hjn`fq{48`z3U;t672AJwToYMCx}?S6Xz;)&+I> zk(20g4_P{eN6lt{+?pfvM)={k(14OQ42&aiNGj76&1`wGggfuPro_i6xeKuCV=vI= z#R6Zx8xTpAiUqZjZL){0fF@I*Hn>&7dE_=sg@tlIjvJT5(=IR=FBwC5YAIDPx;e$kY&&l&f&t7YdLLFikmK_ED7kaJ;u&Y+f47J%-xUN6#!HmDsG%MFm~}Ca&pF zjHQJ2FTI=UcBx&}Hif!1);Vhg*DMWmfydk^(cDp^4m1)%rbJ2?Ezi!l(p7Xt&5hKJ>*96g_~!T)cP$Lh zNg_2kXaR3;@rZ+hL~QA~4lVN}Gy?w?9xl4P=@6?W;CDwLEPhRVbAl$DV^9{inLKWL zaFdYOn&ug6U6NL94a0sg$_EeL6JZ{_1#x|k&V{(R@&1Hh2XSXj&*=v_W(V0FmwOk8fGvOU8W2iE~8x2 zDj}6gon<0MJ6WsuFLSt--Fzt#r!S;jj^CYp(1YA1<7M_$g^^^v1YuS~l{C{Qtfl_~ z<1T8sWwA#ohpVTk-c5DQXH!WZTZtG&o#FCdq|jVP(;><2j@1Bj9ere`)6uVwQdflu zJX}O4Q@)e6dLQ^)nnfC-qG|Pp+NHvnr_s>N>>kw66)W=-H~dpTEPL5lwJPitIPSLU z8L{S$!;J^zRbHOXfI|)J;Z$CPR~Nnw_*OZU!KUfohy8Zj8g4z9;d#Y?>{bJ|i>()q ztFikhV;j(*mi_25nz=(g)!-c$x(s=6tHq2Px=s{~{puR)c}O)h+MI_}63}GYz8F+b z5HC&8WNxmfHP`cyDvNn5%|ifdzY z9i6QN>H6cSL>@ADagc%(wKgJGr&+27YXXX{^@jwT5}4A0SzBWp%~W*I#L@ydq~@@A;9O2))Cj zU>0N(Cq2mWevqK<>9?Qy_b$G5s4 z^*aaYspZTh)iP3763rb3r8-{o82#!qpTiF`j_~0w*|ztgEJFYX9Qk2UW19wBEXb*# z8?u9v6X)}&e}Xi7X&@(a?8q68S|&HPBA&)lJGmsch@!~$79KM*D}G}M~Xg<$SSse)A)(V-r-QO|*EsUVn-5hF6W#&#YHJ{QfX_9ME&@lsz?P+8J>Ww&z7``t*BR zRZ)@=- z{5*Q)UZJ2IApe#dwe?ji#Kf1QyIiQ8KAUoe?lR7Nz#(>z_HtNkX#X7(xitbHRqJf)c?yF^Qd5r0G!3@^ys=IMkf~xj>}BDk$m)J3Qx-Z!RFC zEq%WyeQ#mpGhZHf=0TVLNnnSEx8fc?>|sT&+)J)%6g$fwbWZ~2(^h<(ODf9Z)AkUm zQomMy_@uowqn<2xJoq@-%42r%gAXNW7w6YTfxPCC-w-=hp>^@^BYb&Mj7+0(`_dT@tmREHZS`;|aA`}_g*Bd+y_`xfWu{M2l zg!5#10`5%(Q>7jFs*v8L*1C^f*d1nza8_t_0g=j?oeA`1!C!A!Db?<#g}+Fy$tm#} zAT6rn)xC zrJmDJ>qz=hu7mm}H0j!&Q%dW0`5!6ZgV-Aszo)*{dIPe!T3cCntc+7EB2akn>I}h( z<67!Ml*?CW5JU~9-9yPM!wM9o6t359uiVvprQ)Y)6sxt{uUbEaqi~8NM!^XnSv!vF zMU#z$t5VJGu4`-9W^15kcWaPmjv@Fge2z0~n={tCGt zAzMzX#Iq0aZ<^8y27(VgNhEVvz-Z*5BpErCY`TjV7vx_G9S1#ym@Botr~XM^$Jg*R z>eD*nhS)gub;(lwCsQQ_LNzJrYa zHNt=XL=HD8fEb1bNl2ESXF&QTx&Ai!#Ux{mc3Ii{y zN&Z6@Z6v*roCU`S(X!swP8;dnFQE2K{6jVO#B^;qqBD)+*z97R(f4?W{}tpM8aTEu zZNR@5>uaik<)VGD&gKJid{FXAU09u)1<|Y5O2urdPQ@@z0-7Z3VIII~V%Hj54D>Us z+i6)hTdz|M_DdKW%^v^p%F}o2?{TazXtXnSJJoPGI8H_xNcY^Pf zS7;DcZGF}k4yIcEpy)Y{{}l{u*VBc}iw9bz7-AsqTD{xA@bOWrvc6_z`SJtX)jO}| zJzZ^jXA8R*r}qGXGapt7T%ba|wz6th>y$M7o5vXiwO1%8tPGQ%b-~MW?T+29^ul#X6f0 z>=O!Xxt=pyh&e6mX6tn->6{0))-;b&H;&brmbKPHoGzN4inH^;7AjsXd5O1~C7+g= z70yDbi&8P`aVkz;f$06}M1|_$++J}uj2hULwZ$tG6H-X3H}%C2Xw*IvJL1mfF*)ND zuMjJ&@*N(YJ7`ZbivI@y0RR7Z0bG$iO9Md=hG(P3#Kc5{AJIarBz6Wx41%zin}o>j zC0`eB9oukCNllLMteLCsAmxx3S&fh9DWE8Z+CbSy+sT4>v7hf3Kn z6zJvod-6I@embOrVVWNI8#TvAffAiSM4MEkVV1bN_xslw@+wbHr58|hxI~k|QktAw zx;#n{HSv%1A}*=9gNKi=7S%Un85M5LsYYlwwUnt3Z;l5t{2 zd)fvUVttS&B*Xayxd;(h<-)9HP$Qk6Pp+z{EFBsy!T;uin_|NjAyDm4HA literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.syn_hier_info b/YL_pulsar/db/YL_pulsar.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/YL_pulsar/db/YL_pulsar.tis_db_list.ddb b/YL_pulsar/db/YL_pulsar.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.vpr.ammdb b/YL_pulsar/db/YL_pulsar.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..17e473e0c8ca1322e20c1745032cb9fea925e3eb GIT binary patch literal 258 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR{s9^S0#_gugASBt0@(rt6^t$Zj$91} zJl#i|n;v~Q`l#OZM(wd>aWZ^5YqD>tY*E?CFyjxSa>B`wQZFv=-TNXr#bw>U&N447 zj5msC=BWQ;{Nw1~i`Q%3U-_k0x9yX+-S4%tzqtQM|Jfe5SDJz0|Njccq?Cjdj)a7S ofDdmjG6nH4IlOuCTKc`jqxaJ9U%wZ6|A<8>ErBTs literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/logic_util_heursitic.dat b/YL_pulsar/db/logic_util_heursitic.dat new file mode 100644 index 0000000000000000000000000000000000000000..f8af715e05a14a9108318f8c5d377bd7b57f0bbd GIT binary patch literal 704 zcmb8l!3}^Q5CAYFCJMp;ps@d#u5j(UM~chwnohVE+#7CzTj4%%8{7_ez@2bkxF6gF HcfR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRo&g#H0(T%3gASDD0@(rt6^u51o?HzE zJTk$8to%n;&;OYDsD5vUxn`l7SJ0$$msCp2=QCA(pYrofF=9NRuf zTULGab4|4AdGdeHlW%ADFTTIw7X|%ZQbQ;})4^EF{-ixn?e- zg~~0L&7Bi5ZOtO4+;;k&^Iv?Q^Sqzu^ZMoe`TX#HKF{-(6%Y_0NeTX($e*(MNigIN zG6Vq!7@XJD*EIlGMTFcA0szkg0s6*z20%TazQK8b3*=5@NFW5@91s`^FaWsN1Kc4u z05(A(cYkhf`Tg&lfWQ@re;}|b@-LYO{v}HAOTHsq#YXnhLY~jKdl~+bpOpg*@vBy!Wms0138wwHwAL9hHIvETXAkwN77e5RyC}gds>ilBR=vS zZW!n0iQ*Fm`U@d&XKI{(XGz>ZfJzM} zVmRuB22@Dv57C;pTcXCc`EgGbn5+fw7zGNfreV>PvBnV>HW29w9;8*gPt(!!c%3aZ^?XlzQedhMa+CTTp8 zroUT~4Zobd-ZX4M*Wxw2)*1xPhWhT60g7=H-#Gd3CFQI>ihD35_1QefsmfHp``N zsT|2POI#Pi-1KttK&lO*H)W85meyIKs$mksYlD4}N^N6ukcoM{R?Xz^{Jsy7VQ?jN zS#{lpGdhuZJZ*p4=u(=(UkCLS6TY$oZiddew(}o=!gMOPP%mi}*mHiIHbPN5XLx?V zJocUO)r;P|yy0u4=%^S|U)Uvu3wd2iv+l#wr4pZqOLu%L^%@`AQL4C8xcyAcZl`0F zcIdUYxY;j{$?%)KOW9VRDG`ya;qc5Et}TNU=p{#}K5l_c)nVgRx7eV&pwfdaBU9y-uoctKcdQp;I-dJG ztWd+tsmQawpi#ao%BKvb4A!6S5EPd&#P_z;im4!xMdABm+lI|!;jpFHSrh-!=58iO zinE9K+3b8iO7OnxfP=y{dCNsR&(EU`8)YA#SG-X4IGJl+f{%`xYmK?Jd=W|92IGEp zr@wYXWQ)MgVGeuQHpmKji<}%gbuvsY_ZaEaw%pXQhLqgFi`%vw3)X8ql1Z4~8~8yP z75CMb5NO_*3ULh+f@qVIvF-XtUJkBzoIxt;1w}TwOT4RAdg7c(Bpg~X!YnKwUpyK# z{PbvI#(Uc;-OMsUF=fHJ%qONP zW#VPVc@{(qfgB0wjL#mtcy$)!OnCTbo_)SYunwjzJ5jL&5o&Zv3J$(0t@-p3J^q>B z>-)<-%6LE=osZGplw>X02dlpTq_=*jjd|8N*BZ%YVP0yYu&rg|c+GQ2BWrJLM|fZD z0pXKn;q(fZ^$#-rGh#N{y}hyHxQfPEjW_&pB_%Ixek^Of2UcTxoSf-W>pX!Pf<6$c z8??M?3AoFret@r-fu)?5dKQ?XEXN&V&=K{+Uhh0g1430k#tdrvOh4N~YRJR=M0&EL z37wA+<`8E6dZI^my z6DJQ;wFh0wmjjFET$xeIS4)C+nIs-YWH^<-qH+!rh}CV+5M06cBB%qtvtg=47-F3G z)c)Q@a`4w7=j&!VD<5Mw3@Jad?!h$VEy1*~pb!(x^T(@@4jVc!t3bFuBfOfn+<#7G zp2I}d_&r@m?|A;O*$2y)xT|rd*EH~`%`UYuCbi?$B{Kb#`sVoz6)CpNKd<>WPz`K! literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.dfp b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.hdb b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..32779884744955671d99741547009ac845253706 GIT binary patch literal 9506 zcmaKPWmFunwl(fHxHAmJ-QBflafjk=gHzm!yF+m+?(Xg~SaB&7cc;kLd*6@m{dz0w zoPCm&>?AuUD=PpfD5z>w=zrezUy=BSS{7he8z)B~J0}Yp3p-H4-NxP=$ic}0WaD9F z=V0YvW9I~_TYx=m%q)OkOw8_BySptgl6P}t(?W;LrIvR;#EmKb^a%3Wd9ww(0ZoaboLE7V1r z@hIzfWl6L5#rVFq=v4D@z1{V2Cf(T!>DzCiSY_p zq)E~q(@u&jZ-14)`4k-IbD|j&+E1JDDMNYOyR?vi2fNwbbZ~?nG)_QvlMEa*AeUvQ zMhTF5q2M4@flBtMt129BR8~;Yw!pk1myFz&7I4YQU!{;e9rZ939sn1qq)f0{|}^ug{Jf&V@_kO|wU7e(A>xTG~(g zf<#FDBwA4@dynsh862k^tUy0*$e`-&ep3|j0VSXHO+5pZSu8olGrCWR8cjNFgR1<1 z^W^roBa-~yNO+o@@&{C)#LNB=2rcylxfUl+q*g9POYY(ar6wtKp($o;!$;6a7YVViO5Nc5 z{x8g}470AwzTJ+;sHo)I?c=w>gyZEqjbVLJVmJPI^{yVpd8ibc@CA!0~ygTzRSvg0c87?!!Fh%cU|HP6R&VkCg}sWlO7 zPlAKaLWw;#GBs8@H5SPvr!_D%)S{Rq^GmVepFt3Ql@gmDM$o4-ww%ezS*YQpKy}kRN%kNBNy^ zt{bEl%flT_JNT{6WyE@|3oJK1GCOU6Z~nBXwWyt$&JVQg>hSW55I@Mt2kxBF);QNw z#N~@?poAIL+7?Da>!yyZ&@;gOKFjmDeRJAD_B5F?3dfKj;rA_pYbGTkC(ilRW^;Np zw?9%pjr*aTvI8V^HxQX!$^tTH3}VgpO;@L8&eH$9_~R`KcfgDIv@>`piMo_>c*?DT z%v5M!x!46O(5q|+#o2>;EM$DjkS>@}x{oOtN@X$UxT;cNIRRa?xUjgqSO>N_g|y(O z&bTi2_>E-G=Uy+0JFn<&UU=8KVX;*ZSWvJTzdG71rmM;#DC4}0wy?dxmX~<$ey4Qk zDNof@o;vfza9+}!2uggB2_lsA+@e{au9$>$V`x!}XLDXu-Ow2@P9*wspRbE$-xcn- zE|*SBJ!IbOWJ*eIUsA;?psONj`B=)Qwd$N*8D8z9sa`iNsbqDv7BL+bRxquoSjrno zA6DbHJ0UnN>*D$m6R7!gqYar4V+o(b9P4ne2v^~7+4S5j{A*3 zniB`l7|th)MUgm58IAZm6pk^BPc}h6p_y!-Wwf8q3e7gGE_g4)kCled^Y_;rRGs;W z{6kf1jHLOTzXYaRslXf@h z)yxsZETYj!g%%ME1koonQ4ND1nH75{IaxAA(#DbOqC2yk&#L$%cF1lpF-#04Tc2Ac)o1YNygVSfBw{`gVzEEj@a0wU3OmFkci z+?9#SH~2tcUHAO5_#08K|Jx$>C1uPVpI;2TZj5E!n0}z!#H3^x42YTeG;4*Yg@tIsiNGY{a zwOu+FSFM8sp$(Z0siV#|+s52tab20yMQN%_kn0R@*a1-Iw6A~$o!A1H#g}I zcRN-i-|JG4r)}`3V zxE6uwz21ey@eysvu;z?bz(Rq|Z6lLAL$k4dw_^H(+vI`~i~e%aA= zwRXDCWV`(r*6A+N?xEXf0Q{k^k}bu;b%6ex<*p6msVyvvZrS9*@?)Y^x3}xKw!<6i zz3V}{oAXjZWe&4Sg(Q8i(ROCFfX8#}G(yTjnR^W8zngYEk$vn_%v7NAqt*4Zyz#*e zuXe7&cOQrILfu*>maG~fZQ-^X1Fq9)RQCX9=o5&nd&Lf^ozCSWLXZAc#bg*+CiB4?roj~nU%O0M+Ppw|`A7~zf2Kmz*^*;q2gNQjCOtE)q}yJaKJ z?Mb4aNGj^+{Gr;4nSq(Tb^158^*)0J-SWZ6jk-UGTUh>ZBD;{;R9=#oFh3OG(UgVZ zS!sbtysqR@T*Paf^oW`#$eTLT`~dsdV7_~HlF8t{MEYJ>=s(gP;AMr|)>4I0{>re(J5 zpQO6MGt`}nm4o&v>r3Z*5Y}0RJe4Ve&c$`|lk;?sF!24FeNRf|isxapzw2jZ?Qa=cGeO?Uqpb#XTe^7R=h3!{UGc zXS&7EaiDSb@eC<1U_{?0q)*y0uRec#$3PN>LdB|%0QsTp1c}PTL`=NM1i09leP+N? zUV8m<0w>AeQxr%^V|xTbEyc>^*gdA4?#uPZ#Z*B7Oa|=}1L}*TsU}<`ULDnjsd-G! z4NMKqKc~JdhR9OW@tYH$C}E1A+?K;hOLqV6lf{qc8#) z%OvW4j&N5E{$Y|_6mAKLI|+`CTp7W zRdYstqFa6bYdsx#E-t84HI}VyNUB=eh+K*(V;=sNTL_IEl%`=b*?PwQ3s5npcm#5- zrz%zb>rp7*&L4fHAw8Vb(4b?+FjPoER5FC@0+9X9%gp9B*fSn>N zG|W>9?dU(mE)*G|Ltw_0%8cL0-Bu1~2ELDz>&U1Um!!9nC6vaGlnMd)ssR9bM?nMw zykf)12&z=OJ9N}6YE_osEZql|mXJp^Xfq6?hPI=TLacpY)XWT@wrC)+IKk7JUb`!} zs#DLr*t(&pUAw|^UXRh5(pN0&z#%T3U`iDv<_Fq_@9ckHamLvLei6UD49q23Ehkfy z(+Du4kCpqG<($^0nZ0W)3Z=Pe8Q7Aq4`OXP8^D@;B3wXU9izzWouQ!n9`EHPEWja` z^Of)jFBjM;*%9~=3=UviXOps0b6lyolUlz80w=W5l!af z7?=6V{&M@llBWqngw7zebdFElU2|fK^YP<$aUAmkG&tc$oQci~&3S2+!mHNk;ow(v z)bO^#r))bkmtYh2qK1%dnji_6M6=4j>LKTlgwG{oiobpz6qp>{+|>QRW9dv{X*A8( zrL?`HsJ*iN!fF!#H(s~?MUhaKd^tvZ@`(BL%Z!diBBDx2H03`L=+wRs$9Na~Q-ckT z6H6wTGGo3l282K$sWw=OkiN8+?;aPyw$Jt{6$h<{fgHP7rKKe+RsEU3MSbXN%$*v0o#uG-=JHh&l`U7V#4Yq?fd`#d$DaZ&$VCe zhizRLcnrj=V<_cxdW&Bz--#Afj4g7BclVq(^i zk?DKB(@W~pjv~yGtqO67aPe~pmHYE&RDMa$Zh6(Hmf2McJ7);y^!y1g;P#~N zI!&mdHnI5OS+iWQ14jj(u5BUH}0= z6d&*b4L4m)u7d2a`)w;g+VC|1#+gm6v*Vj!G+9{7#Y`?(w!YW?(vm_Jx!>@EPBIsI z$l;GwQ2x<4fKmhawC@y(8ca$gF3>RxL7^#>g-#OqWL;hqw97|M{CV<9s28jmk-@*V zQiud;Yb#J=Arh1cCq+ei7iNt8O+~zvWF30|BgU6b=Skob^;Y>8Ww_=+!m7P;gMUu< z=+XvL9jEXaua-#m_-;+zpW6f=Uc^T)*;0Btf7gbE^z(%!ip^noD``X&&`n6_A(cbq zHt?~I-M%(V4Fx$R)qFA%gH#tCbzw%JRnKU9&31B`o|Z!%R}|f{!>q%qd8s8^U`=hv zhzlmoB`6R=zrpVb(0RC(U!HTxo7_<~2i8InemwN-`|qRpjl**6ixb@>pn2Yb^)@cIE~!c5zf5$+zQao0YHdne zr^G=8t2lYONFCAH@JAjc28uhU!^h)zldC!=|D0#n*P&T_%}?B3t1#(k6MX7-Tg zLuEA}gX5q=Xrz9YNhy%_gj7uw5dDE9trs?wH9#klJER}1t?%@+adFA`jIe1_;RUZm zlwRSA9#!gg{qo<2ib$Yc1oxFd!JK1U*>*`ydO*C`?t{JJQ{2okeW=}RR)iP%FjM1# z)+XEhqF?%Sv;^GTHD!fR+dlw!N5|(zOZsO+Z(9`-D*Ww*C)!cncqM!;r>CQvCiSh| zNg)}f4>l}0T1O6jCAwcio&ufq4OQxEYr9G{ju`LL&SR@}aD3qB`roAWdlfsXX&~ny zo8Ch6&otFUo+U9g2@hJ4!PYxV+=(nJp(5QhcSPI;f`Q7nRMR6r0-X`Lw76d88SgzG zn{A?KyILnDlmEV*bL& z3rseGm6InZ_g>Q#TU@N{>RyJiTG87fYsUAos)pYRgV}h%j85;gzIxxf`FwQsqM&8g zD~N;H+hFjJ8za=zK{z!ec^l|z%gmOdN*6o2rsrKH85A=ZH)07Zk39WX2vw?a=Q*(W zsdL0iL(0CPZa%^uKe8$aceF?<>O0;H!(%N=jwVB{>QVpQad8lNEEx2nisfQ=%MNg% z#!*b~U)A)>ID7~A?nL%j-``vX=bgwL@eyWrqTo3`Xbzi`~T(y}e)5Qf7ItkeKw z@yfW{;aPHI94Vc@o;QEuw~g53P+KG8d+ofyG{$3Z+>XAW#93XCm-5@KC%|fIwHtT2 zM9c8w_-d34-ROic+0ooPFrbPj|9;m6t7U_Iodsb z>d_Sgf+NuQHNr`0Bry;}$r9b)PLQC=PA>^&PL)#m(#^3Er#t_J8)3SSkCFq~$d<9jBCrOB6JEc@&r&=MiKPXH_{Q z*%oIwhZUZ9pJIi{%ZQdVhnS!a$@<{(>?ktE8+2LN`+}YMY(!$0Wdb3;q}{yMVnUjD zsz^xJ1==kbAB{@FtK~KcWFv?TdR^Q;JqvH!q?%qzrnFiZ)afnFcf)*Bf02KCYs0yy z48-beZuq!FF#y9Esh%-Xv-uyKGzqJnSJL}J_XQ86Q{~xd{IFmubg7*B>Y4r)eKHF> ze);k@>PNq+;h`8}PnTflbkETne7<@P)K3Oq0DyN-0kk&>+9L+Ssc(QJ9n&cvVfM5R z%kV)WkfJNpoQ2}0lZSMCIjNws?OmM+s#OW@(JqS2l;))lpO3Gh){2lhq5A?iI5kZ# zLIA_UV#24w8yA0pV2w+pdEo3=!lC)tEr&TG@B(F@=FlxPOU#wRN$-u4A7fd`ENTn} zC|5l1*cvw9!!cMFHRAXas<$Dh@!Qa+;hU(D^P>npdAHO$CgTdd)&(K3N&@yJ@!465 z$yQd-lnqB_D|C|4=O}>j?yd~31rH-s0c0BeLC-dNj7!r`vJ$Sp-7ux(6G$s6LQ_nR zTLb@R4hv`oO2YXABT=qQu~;G8Z8CwJ2waMG3@_E-K*8o1f0|BIok(dF>AUr>CqCdZ z0p8mR?wGL7F~x@fm?O7q*Yyy@!=#(;!@sGBewjAjEgOzDk>F}Rh6OysW6x&vXkI1w zwPHC`JsCkV6G1W_p`QovWO2@L_GwdXVeF)&s*ml1kA)}cQ?nzEJhbS`$%77&O%0W4 zm6kHVqD-sc)g+OKmyMtv-#jLEl#o)4GH8*Bz&GpDj$y$C5J30q7HG~zQ(?zW;?AGk zQUfAOmWWPXx&g?4vB&;O4V8fQ-aw|=5p5DuugwupeY}Vk75Groi3~-YpLnY zQBaC|;jj7FEOesK;|D0l4&yZ%EyGx5Ph)*W`^IPMEwK2Pb|yZ3N*~{t(l7l^1{L+u7B!VFkj0 zggN#ViNMup?K3dfsRFs4!a#Zy8)`HmHp>9 z#bX&Uwe{-KCncVkkrPW28TB)K-JDBHperLA{e3=rhmzDrZrohTJ2_UctN%94{1o^* zd?E5*SR!y4TDwB{D;8GkCWg2NibUf?!7-B3??DKFI2IWQH))inEM;~JojG=ZA3-xQ z%8V5|FRBOBL(heBfD3y_{X1y}y^DT9eD;2JWW*g|F}F{^K|K09@L&bgPOH@ujfcg! zM`}_*sy6ZYT`YV97HKp$4w9wz{oa3vR;*uVYcClGWKGbUs7pp%FVKuSt81q)M~o-c z3n%h@cY~ith-0nQW#RMi{O2x=Lg#_3#Ov51v%}nsK)Y>oKO*>_pHaMJRA zj*gI(8Y%+qu>-E#BE0^knYh#|0I9$u4>wYX8kCCmliV#M|~lIjA2jBo*hfmrq) zh-=iXqq4B}&}L=JM%Yf*PssTfTCXZQqnCrDqa!qkt!S|-jyW5ABZkn|>(Op&A81_P zfAm}gZM9&#UNJ;HQH!8Fvx;4>F#8BZIrPIyhj_tRV13(ZhZhT~n9UO}z*TLYKWh|2 zDt*pDd)5|fi`#6gvRH+L|49oaT|@c{ubRsW)9--MT_UC`#JSYmP!w~hekSjaEZvs( zHNT83d$BR)3EV-LwYU=TPo0_*)%^ZkQ>TDcFO>+H+e$jhj2FAWI&hV1XYC|c4dSPg z8E)|&N{}NKU>g^(jSbkw2k=HmILt+3t~2YdZOm;fuFXN(?4S2W{wy#5`E$TLna<12 z?DV-xjG)Qp_o4VE(%RWOqs_Bk&<;Uf)9DFaC-ejThK>8hPSX8p`sjT{b6-AgcVw@9 zGcjf=dd<<1qu}5jZBvm{?bipAC77$MH-t;l4*54<`K@+N+6$K89)?&w14=Eaje;uU zJefe7Gv+7exugSy>?&T`pQ%NvDGan1wpB?zU52rmF>RIgpuM<3N40UhMo%UWa+gt4 zJgy6!s))ArI?$fuU{pjWIwReeq4D>P^ksIyztRJ_BhVZN+^MSoS&-*dQ!m5NrcP|P#l zgbSX)w|u%dJH;d)G}z}Y)qDu4dCPS4O@KvcuKBI%+ESyXO^vLK@(vsGl= zM7s3}AD*_AzApW?)=ZkRk58^!wOQj(86zuiTtJ2V2$Qc}pO+n#u|Mu1@dWkR2zV~l z0Vqcy+qIU)z$!}X=UB5&bs`)4l3TNJmo5nkh0t)Km!wb4tTi+f}nlKkWiun=T`%MfU zMH&SqtOfVsY}Iw9#ftUfLVO~mQmuwYI(R54Uk(X7CNdw3QYIuajpisiDye)P4j$Wd zXfh-mk(|q`UqR8AEpYzhucwttD|1umXUi6=cc-4`!`|sdz>;YKmgy<0U{8L0c|q=M zaY&9Me1|4ih`snUw{oh`XXH|9u9akDP9ne5 zf@630{2rq1ZseX9k{fT2`K3Fc9qx;G2T;7DfmLmqhio0f-0S3Shdt%aYpx|cJEm*d z%SS-NXZP(uquD;cqa8#D+dDk(WVs;y1`LfCGQ34!Kl!`p8C4R6mCf4LDaX&w0=W_| zN|@K+#mT5B`Qt{w!5;WRH?N#$x6SgBs1^Bhu|9hu8$OPL5PvvRdW%c3Obk-DNvpL$ z(ceP!>dFaa5? zkL8DRO@`bP8yOr$Xq_3YM%+o&MJ1IW3j-cKlM74tZaoQO50umPeRcOAMG1D7v>I^B`c{u<-2x zd}I?Y$P8>4U#E8z23hHs?+xV)!osuB%X552Ifd8veoKe-NE26uUAuJZt2nOyFMmj- zB&k$&v{NiV(&T*XwbRAVPkq9?YA2zRfoB231W=)G_2R_={c@cZ>)3o1s!`QGGQv%k zhuJ#pAiV-S`)dBVvn1Y2ECRU}i_7165ZV^Sbb&A%53}~bYolYGJI+Fx+)sB>&LDSC@KENHRa-BUO&#F>(@m6#G{B=11G2Y}J#f9s>muu5RjuIj zXLvBI9_DBdI4=AYMrD;p4h)^}F<9&IW}AZ`ZO(~rsrnHD?Jax<(9^d92s$PUwe$w* z5@yX%MLF(%&?v?h%m9KUm{?b@E}%x4Y62Nk%J73VX-b{ux^cBkv+!V=Tusf1rpItV z3H)G*Ca`DBnk3!$=w%&}i*}5TA`+3Nn;@YU%bI#7nOT17kolQu)Xo8D6G^~9$HMx`nTPls+As8+;(fILZ6drnl zUw0wzZv1~`mLtf~zS!l<&6nrAyu-c>`AE$sw;jRotCAMfYrWP%b)Xf^a07kE=!jT0 z%N}3h|3*&~FGfcKbNX0=Z+_3dM;bR|q?uA*(PO(&NU+QbsON3e8urCBd3wzuRhmC{ zRj0K|1)M94M0Ad=kH!<79kKZji4+Rp}}WNM{w zkhWPs6pBP;B~ZKeu|Imh`j6Iw}weY(YW zsjd(={$t3JsOW#F+mEW+_FtogMuqKt_+OlOaOS9#4n|$PvUhiwTHALz zWgmbp{G2$9NB4WDuSua9TRil{#;?|wBwm&Mh+=uG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C?E00000003MA00000 z003M800000001%s00000004La)K)=j6G0Sy+cer#Q@!X_#U8wfN${el4T)f|v|z=% zlp@j?Ly{f@+59bkK!1SX(Tlf&H>1<&?Hkqdaf+3vNsd-ox6mb7Z?Ysn78tF+l}c3*TJzG`)Q z@4BU=6gNbcCiFXxMJ_?R(cDTfHhP_A?xis~sJ1rW5+{$*+3arimLaH{>Uw=G`2;Go z!ln;+5II?SUSEGuZr^Lw9<3$IzvEFkg-7K*coc!5o*WbN+L(z@%BMh-qwCnu44uaS zvtSD#gDnOR+DD4MP{R@24Cs@q5q%I6x{>Ld_lhBAKP=mhR8Oa{o zUV8NA<&L1`$HUaZ0)!Ux+WFbCMG8PfE5&iNcsFF1a4B9+to5E+J2GraXhN}TNLHVN zZ+ryef!Y@FQ8+RDZ1dxNY!1z5mF+D;H2V7x+tyi>eSDg4s^=miKh9V)H~I^paXCD` z%an)s*SLk9OEQ2}PG9bU=5kJD8`+#J@)aLNW}l3~vbC)2o%zegOTCYm{Ep1W5!a!K z0`F1aJs)__XS`cK%+=RSUa3dMMp0TTduP{X#eM+*0RR7Z0b*xhVn|?MUj7OIK

F~rl~FW%Y1-_zL@sFHy}4TxD8B!J}g zB^J*`fizANoE(Eaonh_=o50A>5wyPIiz8C{#dZ`Z@YS91LtpGnr`{TOJaJ&>>=Er>iEj zSek2yat;Z{X==lcatWIuGsn!1@3;Tr`<&If{ zCN}}A1fsI(OXK~{(O1a$W%j+!TC%V%cNBF%H|3S{6Gv0}9$p<&!)@M^>g`V=Rb0t)SWP8{{l4Wo zLR_#WYzqyCbOjn8jMi6e5V58Va)MvZeS%t*D&99-hJHybQl6`G_+R*mi((PqklW50UZOU9hC^n$`Xme)@U))Sz-^6rN zD&IF9@A!;0UT`aV`{};sm3Rv=!E>YT_fVf;&}y?Mlht7L=G{$u6Q7qtPWI1)2{QV& zs40SL_=#tNW62e)Ifk@_EkJ)MDT1O`5H$P&oTb?K3S<5d=2U^x8h(m+t zT(u-yEe|uOc=N>Wk;I>G!(;UxKYjEe^I~|ITJSRpB$iywuAQX@cZ zn2M=Qii7N8Ss4o+T~c@0!nOPLLyG~g0JkY^Ag$tqS*c5|7R)sMr0T(4W=*#j@qasS z4S=q_{!+7jC&PGSVnhDw+y3xd<&C`o(K(5X$o)MRQXI?U|HKfA_cV6Z-8jUUq{k0O zFdNE&M-p2+F|VsmbiFIxi~|w?q;DP&?DlD_R8q&%uOCy^%fo_FeKbX`bXy07WBZr# zj2NAM)3&?l$iqn{=*q*!#pBEqH~B{*3uxKJjB&1jT2fT{N?9pg7N9_GQ+4j?>(1~; g(6$vYWj{>l=H|i)`E#0q)YBogx6Wnf5m6}q0kbn&z5oCK literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.dpi b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..7c595ca10706ba6f6ff7e8c8a3159a08cbec1e34 GIT binary patch literal 776 zcmV+j1NZ!_4*>uG0001ZoZVO7bDBU9e$QWV<8$lrBSxCJkWnP58513&Y4XGzaK;-? zu*YHRO#k>^fS@Q+o3@!I`XKjxySMD_Cwrjt?{fy0ghwW0l!k}Wc!8p`JEqJBnyvxm z1gaX;6jcYegfFQ_zyNzQpn}^g@P)X*_LE=;Zf;i$M1x6~Q=LH<$Yc;KphbrO&xtt- z7YHU-MRJ1Yl&wtb!RqyI>~0sq3@MsDmBGBe)GykXtz+ZZY9g3GMXP8Ua^XxDSWL|y z2yQO7qEbepB9`O3a6*NgKGFa)C)w_y=PY6tVP1Fr34%qkd;_ss5OZkvTBGirjbO4Y zx8#IjL6@X7RFOO&lrtFiuWV~n84|lu$YPQ0YMsJyBzpP4~p(n2N|8-`c|u!0KNQx;?uiW2f-0a$vc1UZN=RdWsTn zLs8qL2&8F9IRYTF_S80AanYc#tSRp5RLpQXhtGY0i`sr10cSi+)OjNK`yS6E_mb;k3#;5X_dlb_ezaO?{TNI zl*Hz8*E(tIzV1HvqoM<8vDKZb=LT{F$%!VUuFLvY$u4AB%f0pxGE?Sr5|sIrbFzr# z9bYQ}(8$S87-u!XV^aHtI;!SsVw{N}|M&hSS+qMB|C1_|*HlH$l~W0woUOuGEMif1 z&??yal;@^T*AgilKu&F Gbi?C_P=g!* literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.cdb b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..54c6f1607779ff8ad24d753ac80dd6960e5bef36 GIT binary patch literal 1299 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+-45k>OnIN<?^`eWwm1A2YSbWo8e~M}8{!(6}@26C8@zA5N^n^K2Tt)2nq(@GBntbQ|<2vc@ zuXn6BpMCc9uNt}YAHNmkZf`$+^jDe9vG(P!E2^v{^#57So*#Qo|NH0D-%aa(Uw+v9 ze)HwkpFh6Z|NW0*`fLARzr3%R@3(m-FC?GKfBpR9pKHS(e@H7Y-+udT{`cRH^(!Qn z_dlNZ{&~6Vd0Y1hg)bL0|8Ks>*C&>FFZ-Ouv76EFdR=d}h^|R+trypMWu7kkI%4|n zhh0 zKc{p}a_qalQKLq~ih7Bm=I`~~ch}SHrWKyO><`Rh|NmDowglSxA2tx!YsSbWsu1D8 zqoZJWVPVtSRTG>ZvnU_u?EGzd*QuUCSlBx00sjUgL!-@xi&k~qKPXftP|g+@+IhtD z{QH`^x^Fj2BbwA0VrHF`6MQ3Z&gp6vYoV6WJ{(#p0QlNa?Hd5PpVY9-#=)go@Lyl%>FkH7Q1MQ8Cj z{Js{OKAqR;qn`0`y+aKy=dS$Kn{s=)X0r{FsGFVA1HbN)<=HU0XB H4HS9+QL=By literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hb_info b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000000000000000000000000000000000000..8210c55998f9226aeba57897397a9e541102c45e GIT binary patch literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hdb b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..7d669142f9bec8f7c8633889851c6a50678d88cc GIT binary patch literal 9017 zcmZX3Wl$V2w>GZD-MzRiQd|~TDDD)e6pAh`#ocw$qQxoh?oJnXcXumpx9@j7-54DE5lbh8yb86ME-)yM4sWs%Ob<9nvWz4Kx{%K0!{>K~)%q02$6ENOb z|1AaS{#*WlJ@83g2MeD7>k_!6W#HJcm!Qz{_3-tbho`m{0N5TW zJva4Qj!rv`j(iv(GWK>fGt)GifB9E#1ON_RJBzbg%iO};q9P4cVyDv54akTTk@>Jg z&T@W;;}f1F0!K@HF4kf7qSbwcXVhPXe;|>^t$nR8cEqGYftGixasLoSw8TR2lp+zw zVGi32(tyD9udb*007fMRL(hV+dg6ysN^3aB^}~um3Uqjh857@_rbHd@uD^w=r}_$g z$anS>`jDV(E_8TgnWiohVv>{Xg(I5njG}?iS0XsUc8C~L@V&SxRwco#(;-gs!#5A| zQJ9Tak}W@Z2NAT7=O)YW0IZ!0_|9zdXDetJ_T45ex%|xEOg-ub3G0AaxDrHl^a4UT z_W1ufz$S`Lo+OBJ0%I^vOd)9Q?Rhg_1MWLthS6bPB?uHZb)`P4`3p_8PWqop|Hle_ zO?T&NX0>pWgh`0W^JQZVlDijhVEx0nZL@<@BpG|=H6bPe^c8D$DrYD@jv$y1**ycn z|3f1iLI!O!(-wy!SFgKuA3|SC2ar1{_h+Ote8e+O$35(&^ng~*!{!6*xFL=Qn~~JM z*f46;rn>yzIG%WikYMLf3la0}>&IvrX6nQQ_nWWTEFT8gS}r*8RjT^L#l!OOE5Yhl zw=V6b8e-0-xUEjNKYp>k3B5??)&uu^iRA~jaLv7f>4h%@9Ash}T(G8}g*G)d$y1!{ zCJw0X_&YVRF~!erXI~o9K9p38uEy}4BZ2frg`t2E_3arCGw;@!JJWJ@io#UqZe$&m zqU+wg_kHFFlGqPdQP?71KAFykZ7T zw&)mfqvAjRG>b*5jXyGZXDbry`h3?9cOGA>44nEMDzDMEcTMYssUhSU!?Im{* z>6yaUu&t%EKhuKWR)&f~Ee1gfrQli?t^6|Lah&s3sU&2j=={I$_im>fxwh{yIPY_l zjJ)RprP$9>Lp4)d(|;ctmm;>(uKt{{b^FmEhN*V33W>-n1ajS@$z`82k?)=Cm((DQ zn)z-YZ5MS@g{>^+C%Df|uwhkILmNxu#X)JtMPmMGdLZ+4trM0xVIRf7SFHy4JN?+i z(hMh%&Y{h*CA7lc%KpewV@u@ubtU&*D?Y&I@LW`(e#5yahSP$_8h?*C=UV^xAW@ZL zIoP~lC&b>t3Aw{P?@Mzg(*ZfshB~Km!&8?3_6;0Sg}M>3uF(c$3aVUJ^I3YP)*~bv z)#0M9Z0^MD5Z^uG5!TFE`B*qiB}I$1O*{K(lbN@oT^vYUEYaz_fNDKPmyYQ?`$gCr z%cE0z4`onO-0|rR+scDzQ}`YSV%drQ8ZGEhzTQMs(>ZN6s?MWgd>N%2&(mxfBkQ286$qX{b>%$ERXI4Y6br)~ww%jUyU z$P{}rEKk1vPr<0cmQPgeyVB91J*T#+rl2^N*yn}k{FmcV)jgeqMK03e_v_%Ra~8pg z(J0pazdAc#wnPqR(|p6x%pUhls`V>XGgnMrez@cIp?@SQ%ZBT3-Epp)O{>CG^|*R5 zP=T%o|z`JO+M(IbxwLG7IcxhcK3Bf3UGxuVb0XWD&8hZi-DnZ%AICJiAL%| ziJgi%HklP48u=}v%48oEJvr(t6ADt7BiW5g$ucOET+<6)IiwjiI@NV;j}&j4u+xg% zQVNty;*=OQI@mPtfHN~j{2ewib#rSIUs-1aEaaPr!S(aCv+3iSDV|I1+M=n>LM47| z1ssaE8T2X|dR8xhxjEnsZ;a1u@w`A{C3=lV1wd(s)3s(`>AG$%mpzMFxm1d|bG}id zN~p1cED_`P$or^{pO1-9hNAwe=>nbW)$(l14ddXd{_=7L`+xQf1}Ey4aqy=#3h0Y2 zZrcKEVs4``$@e%? z0$sf^J1yS?IlOC5zp)VM0C%o^26N&*$1=azDPa!bSFulsP=WRL1fE!K_2e=uCJG&| z8rQW>P05JB=GBE|vIe3qHGdR$2%8KS@|9+#iYnGmARA8cR&{CMbIYi*Fhko%_QGb* zq_vOE%*j52ho*J6>XCIz%k#xxM}-pa5{|0{hYZ8r)>jWCcUDY$E^o$l^p$IcozklO zJrf>#AG1$jpWylG21$Jb(Nj}Bm7C?x=fi-Vd-!ai&sOfDmwU!rW3wJ~|7hSW)YI?w z+Ea68O2OI0mQ)4V2OR49y%ulBddf#f{=iW7SFB-Njo~-ZwpEf9e^)L+|8J-q>W`8 z3Ac&Oe{bY(UfmJNa2;IMunU^I&)?&yo4-@H@vAP>KW}VI?wA+I7_;4pNNiei#mlB<3cW+ySrVb8FFuD=6%`Q_} zQ>3Oywd#Qz%L>XG&dyo(^yNL5pZe6NXNsaKIu@b-d{~J{KT~OfNXW3Fb@8v_&UV!R zf7{~mSDlEuzJ}8!-u?dVRz39*1j@T!yy^FFtMhQO#>Mi zD)(X@M#uZ&P*ir45LOy`DY}O{SRrSQ122iRA0E6CtgEbf!PMlOs}o|@(qeuN_7;504s^h z0FUM8p^SLh@X00CZ}LK@?!#FmdAlzN6~mK(UNBqWqDuELON2(x7BryIOVzJ1oS@m(Uiukm{8P?1>-by%hZX`ZvL zLhJU6C~vNwKMU9gJynG0{ybRxS8f71sXj)uR{#3tBqHqFK?riNGs(b+LD{IxYpV)D zq}3-2J>7cds79B3jM(!!?62@^5;R-AS26cmgyS9dDCo%xLAx?uO>AEW>>}4YI|2#O z@D`*ml-CdLb6CnNNj#?Ddeg-y=Uk?oP<4W|m-G_1S>2CDk*3p=ic03u`0_#>6^)#e zLgu;BCN+(t{q^(C>WRUB^z0|m+zWM7_9C|@@}>~^iggvsY&)}C^18^$C{!A3^?xF8 z44ZSGUiA)7La%$x^ivH|G<1(mb6Qd62IIOetv54Hj*f+i*+LB}$&1epqK2RtJwt^faBQ(dN?<2As{3TSg)LKRmQ6!96qL%J`P3^EnWzHoWl z&U+Rv7J0`f@}T_dJf~10&-5-I45r8?Wsgx*v=h6S{a>N(@sLjo8ebiFNXZ%%o@$5O zy#!actC5F_XrekbFt<6y?KUeG)cFBrp?tZXSbS5uUmbMubo-1$21E zjUyUP=(GeIj5v;kahKko$rSR|1GC1=e!1REl(n-42nfI<(zC`;-IcT z)3xXn#ySv?9@r6~p<6LC(*u3?Uqp9-u) zg+$p1{S?@tt*!Cq<-&*`bb!Jf>muAOK5Y7I+9t)R;(Vllm)1}1IJStrN>n}e_bD2E z2T9@$BxYMfg9Lv+A^ls;yCu3EK71{9VFYNG2)T%Qe378{Wx^GQ>)|M+R6(`9!h0vZ z`3B?dXQN{8=ibS>GPSa%a|*>G9P2x!lcV8X5FZ&%lsNtFi-w@}$q0uOo0Z9_AkO7B zn;=PKTM#YWTv`>cHk<3{a#S3-b;d8T>anaG$4SFYiC-RRk zy#W3?8onpeY(0FW-4DBYssB%5NAo zOe&b?Is=?Yuv^>>IM7>hQSQ+YT;nf})i+j{M-UI(b>NK=iQmV*{Sgf(7)eMWo5f&Eam#v3;Km=L|nK_{LBku}>@{m{9} zKet$4dfOZyOR(OTAQ1+i=P~AvBkR98vm)9~>q5X%i{c}ION`&7dnv$OwPQ}hI6Pby zpt{qejVBL!dm!{x4f3Ry|TQ8K(`A{AtduD z3atnuotQ(QR^Eb+jJtOJaA>JCQCZ%^??|yamR9uo$=KEa2V`DtUL)g$`ejIvrlKI7Zk_bcil9)e?ioDG?#4HS}`nD zRs20g)_Co?%Lw-6{5=`*{wr@^uS~Hp#1@T3NZ{8^dMr;rF^);GhjtV`iO7GxQLT77 zwZ{9PQ#C8s;9(02JmwVEhsYnUA3%paBu>wKfb@-jmTZYaY=a4hhMKBRbq9Jdmg>+R zdFp(Aie-t+7MJpP`eFqyD%==Bnn{CA7HrIRsmyj?0oODn9tNOnn85dx=V(TA8Wq?r zHe{U$pkJIv?G>-Ux-S2I?Hbu^TS?w+k_Qrgday^yl`hPK{nVqNH2Q752L81OilPqn;?l0o-8=Q0Ry##U^CveXzb^X9V){aCgwZ%K8*94hi4<|oCbYqhDkc+ z$}1qJ1FR71#b|Q(xw~Bew$2#t`#Zv@0xlOay$L2lVgUw4QoOJL&HZ%n>PYuiR|P`{ z`IT+seoFr*bQB;a1R`a=%S9qcj)F|P^E8J8^M(9I#)2UnPx7#C%3HO|^a-|pl*gS)EhrtpLe6m~^VdC>^i181iV}0Nn12uTI`}c#41c=KJCwEE>F8yYj9+ojoU-__1;)kl57BXp9$#(gKd0r z1;B#=v{pNsmbuom{sJiXYucGsdo@vRippb2Al5OW1U^hA4q;)j8*n?Lji5zEFa*Bcfy0II~~{aKeVz!8r6)I*lw zYqWNLaaAVx7n$23iR-qv0Pm)@FAJix zA)FWdu0M%7#4&}6aHus~RJy-0iZSEYQ;wKyJgX%vE(8+ZZBry_&GcK5^NVTswKm=_2@)6a=xsoFZAJ1}9__6RvP*(YJcpYD-!Q1}SYOVZ z#5R&~-k3si)9316F?sS@kJbR!=+x>XuZ0tf``umg-7m{JL#7*E{)=Z`Rh;zup&){1 zF=L{Y1u`tCDWyF1{#PzHA1Xweiz{z7NuxNj8+D~^E4o}o9<1KUO8i=64$3>)GejWv zG$UPABILw;7m1_=C%i-AS8B*AJ)LnpgcEj4=u&sUHHb`pFzO}M{PQPx$kUk)E|;-V z_bP4KO#^~yC+46L)&_QjzRY$8b@1RLJIP~Xw6`qiUl6lmzS|~o>L!dZ<+iN~5m2Nr zl0-@AFu=dY)Ey=OI8{WyltpKTPop3%t59;rA@-83#w@-r&8_J60xb840M;f0j{?;% zPhWRJT@4Ed`E1gq90}G>cD=jk=-lD98j8!RYbMRxsUDb_h*|57lh6o&RKAQBuD^fH zS&9A_7y7#!YgJTGh@YFQ^KAE}|KBGUMAY$Ly5XUJSCCO_GdKBEg@#4} zmyWVSs^w%f3Fz?VLVNrqJ&O_XdxAbsEF=8Mfc99u-o`(r>is}^8vtouW*k*P_LfMx zl7uv`GmdUZtZw4%9~gJS1Y!&lz4aSvXGQj`XVpEPGvb(TMv}iSY>vYAkb$@bQT8i2X5y zed$zoe#cBt%?eo0eT#+5zha5pWS~TQ$5MT9jq0rQ4tthRLygNxsz%P%hr!1e^&^fj z)xxN-j-jmY(L^V z(}+jVuc~m(HeqJWCKfzswv*CSRN+OOyc*2qOB8l`zne_mWyeoYhnO5sz^uax1w2p9bk-t!GtH*Xr4LFRKH1Kw+ZybxRua>(Z};! zvWBN*VXZhjJ`>QeSD9=#)tnrP5ik_6yByCg<{B>Etq^t&-0Gz$+b%#PFr^QKH6KY4 zfc>yz*<&A&zEICgVy{f7C)lIng>|$wf>aCy1qrk6b79d>ZxikVoDXaunV^6H zIfTAY2udgh9nJ?b5G`?Mba07PXdjh{-;RIQWQ#X28GEA@I^!oXJmEpy=T7@V zy%A@8n6cx@OHnakLsbcb*=LOLP9Si;c=QTlnih(3toA-uy`DX2t}`taRB+O4r{@`E z?h?wFmcJ@;DRO|K4}JdCXN&s|yxkkT!UDM{CfE}we($#*!P+vAX+>+}rhr1lHbYf< zs2U0*6(*9wb|ou)RI&|EM!(l>Il~^#2B;Fh-CPdsEed2{wsSWPlr=lF?cF1@7zj~m zA+r7{<-|GCH@Q|?XtO@(>pnN|Ce2SfByknprda~AVZvu7|DL`)a50Ch$e%E z7Sf1+ce>;}-e|#jelB?&RHk0}Q6`X{K@x&ZPl_i&1B^z(V^^9aB4ALl>et$8Zea<|I`!Q`P`?2eB|JOUehW;pCP%yT>@6sEhk2J-t_eY9d z7uLy&JQ6c>>&nkOS=~2UU58g@XN|EHWDD-)U={G`k6MzE=DCkQQzi}UKaQIH)e0^8 z#Owd`-TMa5JLc2w&1qiQk>otn-=M$i2uILPIldJaTZN{V&N;()6T4=bx?>N}IN*6e zH+8eTi=(*I9DZ($pojPY9P7)I!EuUAu;9pLyiH0 zG}aVNN>kEj42Sz+j`O7%eJXw14rTQiiqwbfSnLS}d`-UKTM&B8aAm=L?rW4QFA@)| z;CVQ(B&~s}EA{H?3UfJcVPbDEQs!Qnj3^*q*@(EB;E?10h_y3!QQ&dG0@~g4+*>(& z;E2nrA+KN7x7(GX?n`bneKzjAe0F$3DG52=D=#1vI54eA7~BXo*ep-bORCPkK=N&M z=_TccT&7lauE|hw2MDb&>?4WgKd}_#1wO$*@~$3UKnUr?pDEC7k&V!`k4V3#-6+qS zoiCqjR5zR5T{oVD5%ybok$Rzq4VQ!QN+oF0ViuQw?rBfVnO;I{)*hJIWz{Snz_t)< z5Z#%GYB*0u(c$D*G*_mm(&?3(c9cJ2Mwtayw;c@!)hN)*y!I_7jU_y1-=}>xX(>9j zFFHwuv@(>O4O4TAOkU*j@ld+#_ zOr`6Atc!X!IZF)o>ontuwl~>~VudQUX_T&LGpB>{usa>o4Tl!eF(RLT!*hMGHj_!U zr*y{PnVuw}aHVI`3$2PaV^n!QXi!1I1BPZSgbqjJqP=N=xWrwh)N zrW>1h9>pSra++{Ckc|zT9ft{v2X!WvNNr@=5J@GsxP%rekkDPz8(;oSYqfrY*7XoG zgh@{loPulo&`-Ge^I~qpRkco|kLo(T+KX!{EzD?9R!q1ftLF^oCi?uY-I$E?MzB_@*xnD6taix?N|S5txMDeWAAsvLyjmtD~x;z zu~hb#!%25NF1}D9Sp>A2cC)@Vc=CG(M1x$B2JCVGw@=V{VKwedId)DK1s$s;C>uLq z6oQwR+-5p!2mCDN8zvK;#%;b5I}d$V+VSV0=T#rvAs||4!T*vb-_oE} zml9lzx5~i$|X>E&fz2U-d|?pZi_sK&YB7@u%n- z5D9}fIa{`=O-d|R{JJ9bO*A?d*Wsj?3%9s>mvXPT`ON2|qmM4XyB~w7%l7khK}P?0 z3Y5J~iU$P;q>Qe=d0G`VZvsj=JgHFE6T}k2njJ&nRZHbvxz_57OhDQOZltiYo;TU) z*7>D${IlguqU$*INR%cFX+7FIe4kO2nBYkM)wcB-98|ZujeAbH9;3NypirK3A6|8{ zf^F(qQDEtPl`m?)d5cH|b9PepdX0gfG3IHv1rdmVW!I2O8!Qp)e8sxMXTehi)z)vZ Ky~F>9X#NZJ=Xd7- literal 0 HcmV?d00001 diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.sig b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hdb b/YL_pulsar/incremental_db/compiled_partitions/YL_pulsar.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..2b49b80d494d0eb5df35511d4389c8edb2907ffb GIT binary patch literal 9310 zcmZ8nWl$VIlf`xMAWM*Cad!=_0RjXFF2P-bJA?omG`L%E*TrRn1$TFMcbCg|_vh}a ztNL|KRllC8M8=$99$fTZ1Z>zlv9mEnd`o52EL6w|4DVuHlm*pmlRcnfeK( zCGNGg1=a{FgO#3ER-UG&rKK%~v5GI^EFES|R4noTs#bm!wV*j_xRa!ml$55Nbcm+nekexpnA0!LS#c_+&Hr0Dk6cxUs#I;WH?p-nhhqSvk};Z7=ns{^NxZ+ z__OElbMgPZ!0|#M02V;Ig3CD9{&SmvwRJQ~zEmeWf)#85S;z6#>^L?MinZXIR9Zb& zN-c9x)%^bi|A%q74RzPJK&F~_j>iaMbd{!L>N1TFnGOe3hBX-tHC$C=#)rHwOemvo zI;2vhS{*>ULbu^WhU>&8O9>&!NHAJe<{M$@0{s%U(~qOSF^swUfD5D$h&Qw*j`PGB z7c1G6yV^za2r_|wj5KR6eRsGO^UQUmT&!?UCJwG_$Yi8fCDVGewy+@Pl0uD%vPD-s zjtvzP=qW>2<@OztaM5G9VoQ)ClKEp6@osW;z|Vb^l9jlw9#e7=AEmQiqJykaAOxLw zH;$TYdy-*ex338I%W%O?><~=llL5iS(B-y?EG3pkY;6k-e(j~Q2>1N`bFs;}etkfe zs9I~xAM0P%nKa(_J=4OoM#C~rdzC7p->sHB^VRyl<^@Re%i+CL%**T?3cSk8OZc>L z6w&}^BbqdF;L!t+!8w2Co-DzCjIZvIf@lg5s@Z9A4?IYRLbSJ70mLga?+C?m2J&Mn zVk5AWPFDIG(qgy5mP}xrDy9V8UPHHG6-&k%y~seG)`6C&P+j0)jmgsdz4nOj*!xxn z72|64uqX~ue{}t$ISDDms1Y{@LcY0nMms%np}N^Xdn!H*b^@Uiyh#Qp1}-5Csb4qT z?S&7@(yuCZ9$u#9U+COxNmVAEL;z6#HhYfaGh{)=#~> z1n(Q{=;E?ghhnF__hA-bBYI$xq z0uLhRbYhv3u-{+U&txw|ecp(LF2LgSZd(h*k%!*&KF{X{{gJ1{3DYf$dr!r-EAl0J zEjG;~6xo{-PfIZ)d{d0Vq^TC>BMpx*ffjPuMq-FERTXVoJy!A>72B(4VnR#B8b*_470REjcNALA+)^e&>%2232H?3h4OLZ{f2Mn!aPb$bLRWYHr1#?*B{?Wvf{7X z)p5a;MI574@nkXl50@6tYIc;}?gSWKeny2FF*1a?DW@GAo*(#jy%fs})je?~%Husd zgo`+?c`!Kfijh-s#4-4H2jrBpk>F9!?nOF7Z0EA$*;2Fvu;HkhP3-kM?yeX@)Wa8# zaescwd5rS^w0f*l>ah6cRFnoObjlw|YoDMb*olYAJ8oI-mxHCmlGk(67I(8wtO}dm z(hCa*$EPo>=f`!Tp{FxNR!Ms_gwtt1TY|TOQMba*%r5aG&O&OBjgJ>-=h2(|Q2pPq z24k>l3h)n_XwiPDCdGWWY2>v}s6_0TI8am)*WiZVGoNn=~jp|4t?oJZKp(X3(9d6&|A#fgt8S|T|m>yNZA zq+~-59aNn(5wc#YdRCj|xi69ncO+40^{>l1e8Odu{}-+g+qd$YCAc`QRUr_PwmZVmOcDsD$r}`J(e?JU8u8d$s2bae4`ZzM{>!s ze2nk1UY+&%K;41u)vF*6`K=6dw@&ha-jwKNvA-|a zsee!@dfv*Y%6b=s@7HoXd=)*GlsZnUGFHT;bVxZ;Co>I8zzu$$U@VuhFtppA$Zgp4 zRmvJJSFYoZcI3Hb9X>Y~S!-gLNQ+=z4J@!Puc(+_`Px4yq%+sL9kX-rKAqOf>(#Eu zy@B-T;ZVW#aH&{%YNFRm*pF`#rF`((5S8ENYgXIAI`Hpw`-eTBt*DBF2pN9X+D@ei z-;=_fnV~!xzGK}iughHbZ$m?QI~V0aM2EMZxBf;Kkpz91&PZq6Tb<}ryD2qcl!g>|W}@Jd~2gVIboaIr%932Y!VQ3Y)ni_G$YiIqJ{jo7DaISN^M zoy--t31=bO&-FUw+1Irm$(+EZ1!2-H=V6-ez6&efgO|Iz`v&UI5LUdIoDIw5J|##6 zYI#nqV~w+C(9&i$Q^=)aUR^(IDx*Q}^*Q4*9uLwUNBnmo1!^e$WTV`^lyO2l3;=a2)_{aT6iL|$(n!5ij2yiJN;8`SU;V7&##!gDV595{IxXuUTn$T=f@k( zi)GrY>s_{4fCT^C*VEUVFLx@?7j;s~?9*$Dmk&!v{7V5AtuOUQV^FVu0gJwQWULju zHWwXb?4YFpnkrt1bYC9@%5u^v-}0swzN-%TCNNgbc_X4uq23Ua^)*+5CNo289@kQZkcbKxuAdm$KBfGymz%YmFrLoJ5r$qjjud522CT&4brqE-4PN`&{X+ z;uxT*etCnXiQfh~~OtE^eIq6>`0l zGJ&`pSOQkMlBMt>xARP?9f`an`r8A_FpFE1KU~<#VPlPbRyco=Yx}Yc(FDnsU&XDD zr?NG_-WD_QnTU>w~w`$} zd=j$b?5rx~?eD%Ww(#6fQ6~+?I;3i>NDa!gRvIag@Pap)7-3XWc+GB+yAxsFPs*D4Qexc) z>6(QpXQ-Tdvw=l4s|u}BguAMgxUj!);$lNJ?MlO?*PneX>u8a7aA-hcfBv{xQ+7yR zcNYFY>n58#teaEoS80t!_0F(a^Vettr#|{Sg$2sm!rFut0#ggo{xfwQbs&|`r(G&) zv*>|62Ay!bh+}m@Wph1ET@lW@%bUm|OT8P?9jYg(+k;B+eu^bRH4F+SGm8yDJ%{F1 zgYoxfjAb&6781a~oRG>Q%OOiQZi($=@X-ZMl6zR;ASwybIq~33P*Cc!x_b?wD1*uG z&_FOsI0=Kv`T-DeHbfzo^?$$S3MUZRQ$IL5R1@zBUED3sMgDQP%IL^S;&6FSe;yr! zYVmj~*JNzD`YHe07-Mgkl3swF3U6-cf!fXcmvapk3~m3%@LCNreTxcPFA5GbXw#Ho ziAi429-<_ckXpfPr~L0Yxwp$5O>3rBc-%`Xr9@rSZ1_az#EYM%G1C%iEy$c1#M30q zwVYjQOP;|F^Bq zjBPG8J$+!b67S-@fgnEponvy!w6vss22w%6XRMafjabw4oQZ1U)I5wRzm4Pw-(y@y zyXT!cP{FmmkP>tkg9F}zNhR%GW)N#K?m0l%{PZS2!mLgPyB9`agS=2!A0xHsqXCr(n@&E1F8qMG#Z^%?tqCR*|sW-D*B+CZI5_ zx;(7q68#b4=FvaZ)1=UclyhflQlFZ4Gk{q^=T*eASUsI6t2O>7yroDLC%vbo}nOPXCHbRjb(!UoT+ z3LKjPiig63zci@q&az9PnD@s3fGELb%M(gZau8Hmh~f|mbJ_fbCg3*u+UsdLbl95^ z^dL2$BRXA0261x!`iF7B@#QECQG52sb3 zLV)#e;Wp>u;3-pd`YDC8kB-)!Mmk??7AKCCj_s~4`9qy_2GD`EE6jaX*C?R;vN}wj z!ZP7``$LU0b|grZ!X*Sotkty|_yC3jq6%u+I3m&fiCsgI#+qA8iX*nI5!7;UtV}fUyp^3YvG{ugGF_jxn)i!v zZ5sk>r6A7|kY#!)3OE`uZIGu!fVd~tC`?1w5ZLgXH7JTHaJ!QSf0l8ElWbkNm#hs_lD&`E9!9r@gZV3QET?iSqlmgeb zHqiOsp)!QDeTEDtQ_=Uw5SaJNbD6>ayM)~QZTc3ql8|zQZKQrDecPnbQa}|;=8S2UI)^fOF||t-jYmDqBz>h#R)jxBQ&(kj8khUCLosTEP?9mpH8GY zi>Eq64MwzeysI4yZKvR$*~S@+q(J!RExBQwIzavXJRvZB`?OQ^?RX%sL-lAjN$pJG zinTkTSJ)H4kTLLF^wtO8@kW-J4X1E3lAH)(Vs=IujVnK{ z(Ix(4tCEn)5<|rvN98zOTIWOVQ6tN830`FZuhKDJ>HsgjfS1U?OBtXu6!3r#dT8Go z;)<{8kI^&h*D~*)gBzH;J>rVjgWs@M6E+QR<6fb=daMLABjWl~dHHTVPPjByxhY6e z5s!b%H-P$?C{moN)b@0LlU;gWuDe9kFm^Zap^a!94{qn_=_AO>fbwj~r^Rpb7Xl!B zFKX&aMu)!tlX3zTJ4!GqP8CJ5>qFIh6`~oPVm$j3(J~c0JXUcue;qJavx0?lJ*4hF zo8s*~=-Kz#dAV<6LVeE2!_i~yTeD>y#PaPdj@Pph)kGxmEdv#w?)60&^Z@^W%-@CY zfAkE>s9`xgyuQ+1FIm06CF|3l)s>k(=;}WOiS}F(qRSWguXBX-CD+)h}|XDUviLc37#kH*xjzKCbb!hwG0t2cq zO~U-y17x87{F$@H=I=(=L#sbYWU{E>Zzm;e*?SxX~$dKhyiu%$is8`jW2) z218JZ=;69_ekb1=_cY3SzSD{Pg?b40uA<93BkPqgFSP8M2^wt7L8*-$TBgZ%1hhA3 zagCKxG=C!!ALyU@?gX^v^ag@XHF-g>yXCgJOfrwg9RT?>C|2OTZ1BWrvJn)UuwtJ0}RnysPurO{TGng=*{P>#!)pmk06N5NQx(8Y6hczmJ9c5wU`%i1jK%-}lW%zPTr=I$!@l zR$J|LA*$N5%|N;h5p8dvbMl6XvUhUFrjaIMh*un~Xw_DR?(CYQ#_m-Mr$_BJC^rLV z;8W$5I7__PZlHFlZx><>;4vT2tP1f}ka9kK2SfPiKkeU5-N&`hhttEgpU$mcZGC(a@v1{ZvXA+l1|;xm~S9`cCyna5{?QPbGw%R?&5;of(Fr`W$&$)xekU>t(+ zD&G_&{Ab-V^_2uo=H(KqnMS*${8l)px(3=k2OI)SXB<%6!rg$~t2Sc#Q=rqnE%3z> zv~7-sb8n^t^BQNxgrx8LOh<66rXom^oZa>=j2g#ne1Cz7H>GIDzymLxfzIuK=1xR( z0&@qX9^;r9+3ZUWc~2z%ff$vcm@$N|pNN(kNmx~6N?#MTyF}?-9)&?_kpVdm?g7*e)xgAr5w;6H8ug> z&xlYb5Ym_-9hX=;H9d#Fw|Z1v!NEjp($@pWfi)clu~i@T=1i`QnAWx zun>9$J5mSj?;x#Fn-qCGr;^YnGBi z8v*Ozf@JTtLVZcmTyIN#l$ePeJ7dOl5?~H(C5tBk7bpB3JN(^_GCi5#O%HMLt+t}m zKgm=cVa}&Scz2(y+q0V&Nb;wr_icmF3lz=>+&|(clWYZ>A|IO z`;ikqC_w#-HM_33=&?h@5E691C_#13-=v=|dTQ;5lENk`Y#Ws9Qs%2eYzgki)eAVL zfyb|yR)%7Ln`nT$8H{iIrFMP_ujTQ3%heJ;_G2pF`*~1zDw;sEEnMvw#g+Mh2meSZ5JKcL1iM7w&7?Jm=x8zsMC+k>yUewA5Y^hn>%<2WX=iN2Rp zQX>CaW37@uJck3P z9X%d%V-hot-rxLvLxh8Sd-H_P*V3~ilp)F5q1!P$^}s1kl#KnMki!}x; z|Mt=fEm}fgHI836NqyK&4|pBoSJxZHLkz}E_g{EK_LF9<_oXA>&L{j>_61OjEHY>H zF0(l-~KVc!&(UF9o2&@?$2C=>L zav$I|i{Zue1GO_tw3PF!b+ZXI(6avVaM`0xIjR~c_sL3yhWl-nD}9?aNL1&q4Lsdd zFakUi$r+9nT|J41l6Xy?D=i)R%}xCUD}`cNuAG=?R>e_Rc|1+rymNpG4Z5DM!WF0`=n`C>BVpmj0U#)9Qb^1HM2sL|z z_Ybe{0-y5Ov!46}{DNyG@0>L!F^;bcYa&Mn^k(u)Y4R_lTSyS6vu8@Q)Q`*~3 z9&=D@mMTXL`x({h%_Wf;-a&yr@7tfJYjgoZt_1{QiF*u~N`FE`@VoYvnD2-;ry-2^ ze4lbqOmmn-sK??zA8-A2^8VA(x(lG|B*{wPC%0#kGnrLc%jg{+w_=zkw2%F$iu7yL znprKNZ6gcQIwC~s`*A>l2wc~jHmLTGQK7+#Jv!M6%buo49)+*b(oqU0IL-K-ovD}6 z{EpQscyw~U*C5(YA$y+Nz!hV~1XSONFzQoc+Bw!wS|2}-a)>5@Ww##}Wm@@LPEd$C zhgxEQ%g>$$)oW3#%9kq?muaPq$jsu)=g@4vA5OPvNhtT~v_a;re;qnD0MD(a>&Bk< zLT-a~Q6_jfj{$9tws$eS44xKEM<2`njl|U&4 z!HfuC$e2JIB(!M%Wi{kcGvz}jsU%QPc=lTl!^fpmB2t`eh1gs%I#yA4{3|-uZs8T< z`0mT|{D`e^h-7@PGaPKy!d^C-i&2N1A&u6AsC`Y~+XntOn{;_C9;Xrw9+AFlCNgvY zWrxwaB_LvH2d6Or#fM%!s9kW5Erbs03Yjd3BJv{5zS}O*HH%z)pP`EV5y@b1g2;!z zhTN2TasC&YMrUV=;mt~(L8cooJ%PkM06z~+Z$b5eWhTH^$Td56Wb?UX-me6EYWi^} zC=T%JhhJ_sNH11=uhCAtvQK#*f_?exv>SedJI15w_W9|o%bnI{M=%oM!S43FTWZZ( zc8T?rt{YJAwP$XrZ$e# zb+}tNI?7+cGL9$rca-Dw4b&}JJ-L|MNKP@UZks>7s?AAwXTP6=^MEWX^zN_7GlYo) zs_b-K>(Vehfav9Q_I*K5T?TWYN-D??Pz$k4d7S6&)wyN}`1wAnI&J%5!}|M0pU|dL>?|K% zAMJf)96($oh2Y>zY+*v2q?`A|=HQ(vU(Oz3c}5P%QQtF zaqtzVTrxUtziebO$%VY`tSl4VN-`O+bm=w~9*)u-vMREqwCuPH{R{KDEu2do=BmAJ zyJFw=2iNB&=e?uG0001ZoYk0JZ<{a_hVT0;j(op>Ax(QhBCS#;W$mg=i8f8sWI=E? zD+HKrqDJbEA73SgdJ3$GMlLyDe*B(;jc_)xB)$isyQO3F?SaHeAY4A!x-s!Q1etqB zxnNN^MtFb`{7zgh6LGM9E(|c6!D#sIj!F1ZxB%M&bAaJ4VSx{pZGky5uw_^#wqZf} zj;$!n-PHy#EIz_l>cP}!GR;JjD*$Ih_au&3CYelf~ZPdr9O zo}i`x^HW|_CH-VVQeK9of!Tg1EELiZ;=B37F>*su#Hgy|7)G7r?`V>{p(ywjwr4$? z)q?F=*eY1+a;4~Tq^53^5Xv3$QIX!7{MF{;2e$@lZc zG)r7)@)VOw(~$4g#_d)cH{ZVTGq4X^vEyE{U$89hrLZ3d3k*PRycm3^;dGxeL zlN2>piWo0d4g*uHtOrrs-x+1}CKSieDRpl7uKOe3?FGs=?2mjm7bqX@k9<}~6is1# zk`d7qwoY>LX$sqCI1`-QN2Kcow5$_E(I$xzti_fTcYFDvj37+5MKO^pl|5GTL{?EC z@*&C0N_R`g@Nkbc8Vm)4x|Z5?r&^-6{hb>m>XfASc5YJluE}&1vX1qdLAXtj)h5W+ z<7-eIqu$KMNNc3T7a}EYBjxd+6G>_5q_nzA`5L${Q`t&N9e!6SZJm_%|DVd^0-RLJ hf0@eip*Wt4Py6N_-?Wrp{Mjj={n?pZ{Q)OlF@~KkJ@Nnm literal 0 HcmV?d00001 diff --git a/YL_pulsar/output_files/YL_pulsar.asm.rpt b/YL_pulsar/output_files/YL_pulsar.asm.rpt new file mode 100644 index 0000000..b07a16b --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.asm.rpt @@ -0,0 +1,130 @@ +Assembler report for YL_pulsar +Mon May 04 10:36:51 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.sof + 6. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon May 04 10:36:51 2020 ; +; Revision Name ; YL_pulsar ; +; Top-level Entity Name ; YL_pulsar ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++--------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------------------------------------+ +; File Name ; ++--------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.sof ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.pof ; ++--------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.sof ; ++----------------+-----------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+-----------------------------------------------------------------------------------------+ +; Device ; EP2C20F484C7 ; +; JTAG usercode ; 0x001B083D ; +; Checksum ; 0x001B083D ; ++----------------+-----------------------------------------------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.pof ; ++--------------------+-------------------------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+-------------------------------------------------------------------------------------+ +; Device ; EPCS16 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x1DD9EC68 ; +; Compression Ratio ; 3 ; ++--------------------+-------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 10:36:49 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4558 megabytes + Info: Processing ended: Mon May 04 10:36:51 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_pulsar/output_files/YL_pulsar.done b/YL_pulsar/output_files/YL_pulsar.done new file mode 100644 index 0000000..6635fb5 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.done @@ -0,0 +1 @@ +Mon May 04 10:36:56 2020 diff --git a/YL_pulsar/output_files/YL_pulsar.eda.rpt b/YL_pulsar/output_files/YL_pulsar.eda.rpt new file mode 100644 index 0000000..410b344 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.eda.rpt @@ -0,0 +1,92 @@ +EDA Netlist Writer report for YL_pulsar +Mon May 04 10:36:56 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Mon May 04 10:36:56 2020 ; +; Revision Name ; YL_pulsar ; +; Top-level Entity Name ; YL_pulsar ; +; Family ; Cyclone II ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/simulation/modelsim/YL_pulsar.vo ; ++--------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit EDA Netlist Writer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 10:36:55 2020 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar +Info (204019): Generated file YL_pulsar.vo in folder "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/simulation/modelsim/" for EDA simulation tool +Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4529 megabytes + Info: Processing ended: Mon May 04 10:36:56 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/YL_pulsar/output_files/YL_pulsar.fit.rpt b/YL_pulsar/output_files/YL_pulsar.fit.rpt new file mode 100644 index 0000000..cb115b8 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.fit.rpt @@ -0,0 +1,1138 @@ +Fitter report for YL_pulsar +Mon May 04 10:36:48 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. I/O Bank Usage + 14. All Package Pins + 15. Output Pin Default Load For Reported TCO + 16. Fitter Resource Utilization by Entity + 17. Delay Chain Summary + 18. Pad To Core Delay Chain Fanout + 19. Control Signals + 20. Global & Other Fast Signals + 21. Non-Global High Fan-Out Signals + 22. Other Routing Usage Summary + 23. LAB Logic Elements + 24. LAB-wide Signals + 25. LAB Signals Sourced + 26. LAB Signals Sourced Out + 27. LAB Distinct Inputs + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Mon May 04 10:36:48 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pulsar ; +; Top-level Entity Name ; YL_pulsar ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 3 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 3 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 3 / 18,752 ( < 1 % ) ; +; Total registers ; 3 ; +; Total pins ; 4 / 315 ( 1 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C20F484C7 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 16 ( 0.00 % ) ; +; -- Achieved ; 0 / 16 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 13 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.pin. + + ++--------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+----------------------+ +; Resource ; Usage ; ++---------------------------------------------+----------------------+ +; Total logic elements ; 3 / 18,752 ( < 1 % ) ; +; -- Combinational with no register ; 0 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 3 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 3 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 3 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 3 / 19,649 ( < 1 % ) ; +; -- Dedicated logic registers ; 3 / 18,752 ( < 1 % ) ; +; -- I/O registers ; 0 / 897 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 1,172 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 4 / 315 ( 1 % ) ; +; -- Clock pins ; 1 / 8 ( 13 % ) ; +; ; ; +; Global signals ; 2 ; +; M4Ks ; 0 / 52 ( 0 % ) ; +; Total block memory bits ; 0 / 239,616 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out ; 3 ; +; Highest non-global fan-out ; 3 ; +; Total fan-out ; 17 ; +; Average fan-out ; 1.13 ; ++---------------------------------------------+----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+---------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+---------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 3 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 0 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 3 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 0 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 3 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 3 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 3 ; 0 ; +; -- Dedicated logic registers ; 3 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 1172 ( < 1 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 4 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; Clock control block ; 2 / 20 ( 10 % ) ; 0 / 20 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 17 ; 0 ; +; -- Registered Connections ; 3 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 3 ; 0 ; +; -- Output Ports ; 1 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+---------------------+--------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clk ; M1 ; 1 ; 0 ; 13 ; 2 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; key ; R7 ; 1 ; 0 ; 9 ; 1 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset ; M2 ; 1 ; 0 ; 13 ; 3 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; output ; P5 ; 1 ; 0 ; 9 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; ++--------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 4 / 41 ( 10 % ) ; 3.3V ; -- ; +; 2 ; 2 / 33 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 5 ; 0 / 39 ( 0 % ) ; 3.3V ; -- ; +; 6 ; 1 / 36 ( 3 % ) ; 3.3V ; -- ; +; 7 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 8 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 325 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A4 ; 324 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A5 ; 322 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A6 ; 320 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A7 ; 306 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A13 ; 281 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A17 ; 265 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A18 ; 251 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A19 ; 249 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A20 ; 247 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A21 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 82 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA4 ; 85 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA5 ; 89 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA6 ; 97 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA7 ; 103 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA8 ; 111 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA9 ; 114 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA10 ; 120 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA11 ; 122 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA12 ; 128 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA13 ; 130 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA14 ; 136 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA15 ; 138 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA16 ; 140 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA17 ; 144 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA18 ; 153 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA19 ; 162 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA20 ; 164 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA22 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 83 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB4 ; 84 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB5 ; 88 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB6 ; 96 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB7 ; 102 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB8 ; 110 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB9 ; 113 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB10 ; 119 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB11 ; 121 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB12 ; 127 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB13 ; 129 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB14 ; 135 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB15 ; 137 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB16 ; 139 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB17 ; 143 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB18 ; 152 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB19 ; 161 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB20 ; 163 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 326 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B4 ; 323 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B5 ; 321 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B14 ; 278 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B18 ; 250 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B22 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C3 ; 1 ; 2 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C4 ; 0 ; 2 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C14 ; 260 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C16 ; 254 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C17 ; 245 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C18 ; 244 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C19 ; 238 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C20 ; 239 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C21 ; 236 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C22 ; 237 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D1 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D2 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D5 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D6 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D7 ; 311 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D14 ; 267 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D15 ; 259 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D16 ; 255 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D17 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D19 ; 240 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D20 ; 241 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D21 ; 229 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D22 ; 230 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E1 ; 20 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E2 ; 21 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E5 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E6 ; ; ; VCCA_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E7 ; 316 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E14 ; 266 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E15 ; 256 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E18 ; 243 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E19 ; 242 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E20 ; 234 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E21 ; 227 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E22 ; 228 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F1 ; 22 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F2 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F3 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F4 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; F5 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F12 ; 276 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F13 ; 269 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F14 ; 268 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F15 ; 262 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F18 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; F19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F20 ; 235 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F21 ; 223 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F22 ; 224 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G1 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G2 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G3 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G5 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G7 ; 317 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G8 ; 313 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G11 ; 291 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G12 ; 277 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G14 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G15 ; 261 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G16 ; 252 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G17 ; 231 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G18 ; 232 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G19 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G20 ; 233 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; G21 ; 221 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G22 ; 222 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H1 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H2 ; 25 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H3 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; H4 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H5 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H6 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H12 ; 274 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H13 ; 263 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H14 ; 257 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H15 ; 253 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J1 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J2 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J3 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J4 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J7 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J9 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; 258 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; K2 ; 32 ; 2 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 36 ; 2 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; K5 ; 31 ; 2 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; K6 ; 33 ; 2 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; K7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K20 ; 215 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; K21 ; 209 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K22 ; 210 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L1 ; 38 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L2 ; 39 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L3 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L4 ; 40 ; 2 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; L5 ; 34 ; 2 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L6 ; 35 ; 2 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; L7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L8 ; 26 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L21 ; 205 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L22 ; 206 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M1 ; 41 ; 1 ; clk ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M2 ; 42 ; 1 ; reset ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M3 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M5 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M6 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M17 ; 198 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 202 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M19 ; 201 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M20 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M21 ; 203 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 204 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; N1 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N2 ; 46 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N3 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N4 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N6 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; 194 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N17 ; 197 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; N18 ; 196 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; 195 ; 6 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; N21 ; 199 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N22 ; 200 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P1 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P2 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P3 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; P4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 55 ; 1 ; output ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; P6 ; 56 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P8 ; 95 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P9 ; 94 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P15 ; 193 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P16 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P17 ; 186 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P18 ; 187 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P19 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P20 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R1 ; 57 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R2 ; 58 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R5 ; 63 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R6 ; 64 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R7 ; 54 ; 1 ; key ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R8 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R9 ; 109 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R10 ; 108 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R11 ; 116 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R12 ; 134 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R13 ; 145 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R14 ; 150 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R15 ; 151 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R16 ; 155 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R17 ; 177 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R18 ; 184 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R19 ; 185 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R20 ; 192 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; R21 ; 190 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R22 ; 191 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T1 ; 59 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T2 ; 60 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T3 ; 69 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T5 ; 67 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T6 ; 68 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T7 ; 91 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T8 ; 90 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; 115 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T12 ; 131 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T15 ; 147 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T16 ; 156 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T17 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; T18 ; 171 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T19 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 188 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T22 ; 189 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U1 ; 61 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U2 ; 62 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U3 ; 70 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U4 ; 80 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U8 ; 92 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U9 ; 106 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U10 ; 107 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U11 ; 123 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U12 ; 124 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U13 ; 132 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U14 ; 146 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U15 ; 157 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U16 ; ; ; VCCA_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U18 ; 170 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U19 ; 172 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U20 ; 176 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U21 ; 182 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U22 ; 183 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V1 ; 65 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V2 ; 66 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V4 ; 81 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V8 ; 98 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V9 ; 101 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V10 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V11 ; 118 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V12 ; 126 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; V13 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V14 ; 142 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V15 ; 158 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V16 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V18 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; 166 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V20 ; 173 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V21 ; 180 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V22 ; 181 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W1 ; 71 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W2 ; 72 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W3 ; 75 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W4 ; 76 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W5 ; 79 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W6 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W7 ; 99 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W8 ; 100 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W9 ; 105 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W11 ; 117 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W12 ; 125 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; 141 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W15 ; 149 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W16 ; 160 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W17 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; 167 ; 6 ; ~LVDS91p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; W21 ; 174 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W22 ; 175 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y1 ; 73 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y2 ; 74 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y3 ; 77 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y4 ; 78 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y5 ; 86 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y6 ; 87 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y7 ; 93 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y9 ; 104 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y10 ; 112 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y13 ; 133 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y14 ; 148 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 154 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y17 ; 159 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y18 ; 165 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y19 ; 168 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y20 ; 169 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y21 ; 178 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y22 ; 179 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+--------------+ +; |YL_pulsar ; 3 (0) ; 3 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 (0) ; 0 (0) ; 3 (0) ; |YL_pulsar ; work ; +; |pulsar:inst| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |YL_pulsar|pulsar:inst ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++---------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++--------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++--------+----------+---------------+---------------+-----------------------+-----+ +; output ; Output ; -- ; -- ; -- ; -- ; +; key ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; +; clk ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; reset ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; ++--------+----------+---------------+---------------+-----------------------+-----+ + + ++-------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-------------------------+-------------------+---------+ +; key ; ; ; +; - pulsar:inst|s2~0 ; 0 ; 6 ; +; - pulsar:inst|s1~0 ; 0 ; 6 ; +; - pulsar:inst|s0~0 ; 0 ; 6 ; +; clk ; ; ; +; reset ; ; ; ++-------------------------+-------------------+---------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 3 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 3 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 3 ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 3 ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+----------------------+------------------+---------------------------+ + + ++---------------------------------+ +; Non-Global High Fan-Out Signals ; ++------------------+--------------+ +; Name ; Fan-Out ; ++------------------+--------------+ +; key ; 3 ; +; pulsar:inst|s0~0 ; 1 ; +; pulsar:inst|s1~0 ; 1 ; +; pulsar:inst|s0 ; 1 ; +; pulsar:inst|s2~0 ; 1 ; +; pulsar:inst|s1 ; 1 ; +; pulsar:inst|s2 ; 1 ; ++------------------+--------------+ + + ++----------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+----------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+----------------------+ +; Block interconnects ; 2 / 54,004 ( < 1 % ) ; +; C16 interconnects ; 0 / 2,100 ( 0 % ) ; +; C4 interconnects ; 0 / 36,000 ( 0 % ) ; +; Direct links ; 1 / 54,004 ( < 1 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Local interconnects ; 2 / 18,752 ( < 1 % ) ; +; R24 interconnects ; 0 / 1,900 ( 0 % ) ; +; R4 interconnects ; 0 / 46,920 ( 0 % ) ; ++-----------------------------+----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 3.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 1) ; ++------------------------------------+-----------------------------+ +; 1 Async. clear ; 1 ; +; 1 Clock ; 1 ; ++------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 6.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 1.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 3.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP2C20F484C7 for design "YL_pulsar" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP2C15AF484C7 is compatible + Info (176445): Device EP2C35F484C7 is compatible + Info (176445): Device EP2C50F484C7 is compatible +Info (169124): Fitter converted 3 user pins into dedicated programming pins + Info (169125): Pin ~ASDO~ is reserved at location C4 + Info (169125): Pin ~nCSO~ is reserved at location C3 + Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20 +Critical Warning (169085): No exact pin location assignment(s) for 4 pins of 4 total pins + Info (169086): Pin output not assigned to an exact location on the device + Info (169086): Pin key not assigned to an exact location on the device + Info (169086): Pin clk not assigned to an exact location on the device + Info (169086): Pin reset not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_pulsar.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176353): Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 +Info (176353): Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 2 (unused VREF, 3.3V VCCIO, 1 input, 1 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X12_Y0 to location X24_Y13 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped +Info (11888): Total time spent on timing analysis during the Fitter is 0.20 seconds. +Info (306004): Started post-fitting delay annotation +Warning (306006): Found 1 output pins without output pin load capacitance assignment + Info (306007): Pin "output" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info (306005): Delay annotation completed successfully +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 +Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info (144001): Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4844 megabytes + Info: Processing ended: Mon May 04 10:36:48 2020 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:07 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/output_files/YL_pulsar.fit.smsg. + + diff --git a/YL_pulsar/output_files/YL_pulsar.fit.smsg b/YL_pulsar/output_files/YL_pulsar.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/YL_pulsar/output_files/YL_pulsar.fit.summary b/YL_pulsar/output_files/YL_pulsar.fit.summary new file mode 100644 index 0000000..4b87de7 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon May 04 10:36:48 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_pulsar +Top-level Entity Name : YL_pulsar +Family : Cyclone II +Device : EP2C20F484C7 +Timing Models : Final +Total logic elements : 3 / 18,752 ( < 1 % ) + Total combinational functions : 3 / 18,752 ( < 1 % ) + Dedicated logic registers : 3 / 18,752 ( < 1 % ) +Total registers : 3 +Total pins : 4 / 315 ( 1 % ) +Total virtual pins : 0 +Total memory bits : 0 / 239,616 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 52 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/YL_pulsar/output_files/YL_pulsar.flow.rpt b/YL_pulsar/output_files/YL_pulsar.flow.rpt new file mode 100644 index 0000000..4044fbb --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.flow.rpt @@ -0,0 +1,128 @@ +Flow report for YL_pulsar +Mon May 04 10:36:56 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Mon May 04 10:36:56 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pulsar ; +; Top-level Entity Name ; YL_pulsar ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 3 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 3 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 3 / 18,752 ( < 1 % ) ; +; Total registers ; 3 ; +; Total pins ; 4 / 315 ( 1 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 05/04/2020 10:36:38 ; +; Main task ; Compilation ; +; Revision Name ; YL_pulsar ; ++-------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 345052807169.158855979822976 ; -- ; -- ; -- ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; On ; -- ; -- ; eda_simulation ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4593 MB ; 00:00:02 ; +; Fitter ; 00:00:08 ; 1.0 ; 4844 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 4558 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4541 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4518 MB ; 00:00:01 ; +; Total ; 00:00:15 ; -- ; -- ; 00:00:12 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar +quartus_fit --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar +quartus_asm --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar +quartus_sta YL_pulsar -c YL_pulsar +quartus_eda --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar + + + diff --git a/YL_pulsar/output_files/YL_pulsar.jdi b/YL_pulsar/output_files/YL_pulsar.jdi new file mode 100644 index 0000000..905fff4 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/YL_pulsar/output_files/YL_pulsar.map.rpt b/YL_pulsar/output_files/YL_pulsar.map.rpt new file mode 100644 index 0000000..0abaa51 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.map.rpt @@ -0,0 +1,286 @@ +Analysis & Synthesis report for YL_pulsar +Mon May 04 10:36:39 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. State Machine - |YL_pulsar|pulsar:inst|ss + 9. Registers Removed During Synthesis + 10. General Register Statistics + 11. Elapsed Time Per Partition + 12. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon May 04 10:36:39 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pulsar ; +; Top-level Entity Name ; YL_pulsar ; +; Family ; Cyclone II ; +; Total logic elements ; 3 ; +; Total combinational functions ; 3 ; +; Dedicated logic registers ; 3 ; +; Total registers ; 3 ; +; Total pins ; 4 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_pulsar ; YL_pulsar ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------+---------+ +; YL_pulsar.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf ; ; +; YL_pulsar.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf ; ; ++----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------+ +; Resource ; Usage ; ++---------------------------------------------+-------+ +; Estimated Total logic elements ; 3 ; +; ; ; +; Total combinational functions ; 3 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 0 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 3 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 3 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 3 ; +; -- Dedicated logic registers ; 3 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 4 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; key ; +; Maximum fan-out ; 3 ; +; Total fan-out ; 15 ; +; Average fan-out ; 1.50 ; ++---------------------------------------------+-------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------+--------------+ +; |YL_pulsar ; 3 (0) ; 3 (0) ; 0 ; 0 ; 0 ; 0 ; 4 ; 0 ; |YL_pulsar ; work ; +; |pulsar:inst| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_pulsar|pulsar:inst ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + +Encoding Type: One-Hot ++-------------------------------------------+ +; State Machine - |YL_pulsar|pulsar:inst|ss ; ++------+----+----+----+---------------------+ +; Name ; s3 ; s1 ; s0 ; s2 ; ++------+----+----+----+---------------------+ +; s0 ; 0 ; 0 ; 0 ; 0 ; +; s1 ; 0 ; 1 ; 1 ; 0 ; +; s2 ; 0 ; 0 ; 1 ; 1 ; +; s3 ; 1 ; 0 ; 1 ; 0 ; ++------+----+----+----+---------------------+ + + ++------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+--------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+--------------------+ +; pulsar:inst|s3 ; Lost fanout ; +; Total Number of Removed Registers = 1 ; ; ++---------------------------------------+--------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 3 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 3 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 10:36:37 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_pulsar.tdf + Info (12023): Found entity 1: pulsar +Info (12021): Found 1 design units, including 1 entities, in source file yl_pulsar.bdf + Info (12023): Found entity 1: YL_pulsar +Info (12127): Elaborating entity "YL_pulsar" for the top level hierarchy +Info (12128): Elaborating entity "pulsar" for hierarchy "pulsar:inst" +Warning (284004): State bit assignments are not unique for state "|YL_pulsar|pulsar:inst|s0" and state "|YL_pulsar|pulsar:inst|s1" +Info (17049): 1 registers lost all their fanouts during netlist optimizations. +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 7 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 3 input pins + Info (21059): Implemented 1 output pins + Info (21061): Implemented 3 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 4604 megabytes + Info: Processing ended: Mon May 04 10:36:40 2020 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_pulsar/output_files/YL_pulsar.map.summary b/YL_pulsar/output_files/YL_pulsar.map.summary new file mode 100644 index 0000000..f640491 --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon May 04 10:36:39 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_pulsar +Top-level Entity Name : YL_pulsar +Family : Cyclone II +Total logic elements : 3 + Total combinational functions : 3 + Dedicated logic registers : 3 +Total registers : 3 +Total pins : 4 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/YL_pulsar/output_files/YL_pulsar.pin b/YL_pulsar/output_files/YL_pulsar.pin new file mode 100644 index 0000000..aa6bedf --- /dev/null +++ b/YL_pulsar/output_files/YL_pulsar.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_pulsar" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +GND* : AA11 : : : : 8 : +GND* : AA12 : : : : 7 : +GND* : AA13 : : : : 7 : +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 7 : +GND* : AB13 : : : : 7 : +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +GND* : B14 : : : : 4 : +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 2 : +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +GND* : C14 : : : : 4 : +GND : C15 : gnd : : : : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +GND* : D1 : : : : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 2 : +GND* : D4 : : : : 2 : +GND* : D5 : : : : 2 : +GND* : D6 : : : : 2 : +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +GND* : D14 : : : : 4 : +GND* : D15 : : : : 4 : +GND* : D16 : : : : 4 : +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +GND* : D20 : : : : 5 : +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +GND* : E14 : : : : 4 : +GND* : E15 : : : : 4 : +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +GND* : F12 : : : : 4 : +GND* : F13 : : : : 4 : +GND* : F14 : : : : 4 : +GND* : F15 : : : : 4 : +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +GND* : G3 : : : : 2 : +GND : G4 : gnd : : : : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +GND* : G15 : : : : 4 : +GND* : G16 : : : : 4 : +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +GND* : H12 : : : : 4 : +GND* : H13 : : : : 4 : +GND* : H14 : : : : 4 : +GND* : H15 : : : : 4 : +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +GND* : J14 : : : : 4 : +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND+ : L1 : : : : 2 : +GND+ : L2 : : : : 2 : +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +GND* : L8 : : : : 2 : +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +GND+ : L21 : : : : 5 : +GND+ : L22 : : : : 5 : +clk : M1 : input : 3.3-V LVTTL : : 1 : N +reset : M2 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +GND+ : M22 : : : : 6 : +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +output : P5 : output : 3.3-V LVTTL : : 1 : N +GND* : P6 : : : : 1 : +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +GND* : P18 : : : : 6 : +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +GND* : R1 : : : : 1 : +GND* : R2 : : : : 1 : +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +key : R7 : input : 3.3-V LVTTL : : 1 : N +GND* : R8 : : : : 1 : +GND* : R9 : : : : 8 : +GND* : R10 : : : : 8 : +GND* : R11 : : : : 8 : +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : T1 : : : : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +GND* : T12 : : : : 7 : +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +GND* : V9 : : : : 8 : +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +GND* : Y13 : : : : 7 : +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/YL_pulsar/output_files/YL_pulsar.pof b/YL_pulsar/output_files/YL_pulsar.pof new file mode 100644 index 0000000000000000000000000000000000000000..4a4f257f03d621a5e241c2d45e061e9da0a7fe1b GIT binary patch literal 2097373 zcmeI*50G5-eHie)%QZb**U>E}_aq?Ptse3r5N9N8#|4|aWrcT<6bVoor)g`%p9vHk zJdV?}sVBKR9b^SIL@Vq;PCQ7M$>4Ek8Wb`KQ&KB3la3R)28D59l9t5w*y)U8pJd{I z3sHY>?@rp?+yA?Gx#+W?+kNl--rL{%yg&PU-}n3d-p(D@CK8Fh#6KUAWbG$UIZmMms%+2k{ zzWUHZTh|nqn7{Awhd=ZA;^**wApZa2c)wYA6;t2->Zd<__r)iY$#o$I-}%8W{^Ix6 z|M1aA*S#fw-`|YCGCTW@@r#oEiA%$$iFmO1XWih^5)#W7u`uS9d++^);+%JdPj}zG z^yii{a~^x`*s-s^_WDaNoqFGibI;uzzWmrLpZLUA|K^nk9{6@~&hLaz--`!}f4|`pnu0I&Z z>fb3DQ~dnhctrf?8*i&v-;$I~&wKt44;{MjU!VHBzq|1#s(fM7i&>oawSV~D_fEb4 z_4hY#-uz=rODh>uoO=3<_Wh9A#a9u@yrlwf z4y#k&d+mSz&+i@k!CiNK>BpBgR+SCAu#3IWZWv*itc~_TXq`u8+KQOZ&P0$gY9WzOm8%(XrwF)KDUw zi2sZwh8Bj4lctiZ!lbFufzjkp6q1b&Cevf1sgbB}EHN8TOvKYtBLiW+*?8vJsYE<| zAbrt$cGq5yMpIfKS+=NctK&=E+OOd-Dg29ffaNIAEWg4cbm7vLkWW4J9ANj(W>(Z(T>Wpmiia`}F4ByUI%`sq(|?Lw~ksu(=hilInu>-){x1JR^w< zo~W|O(oy9(EuE4$@~i9qS=oqI28urv3%}OsVo@xVN<&FBKJ!e)%V~1tk)OPLw7y-% z3YX?_cz)miDjlx!xqR;|-)=|lzonHEVTI&{heKeb%~!+y{9tNBY%;n7t1|MXz^ z@L#{xpBP_QpKN+GGt@Vfm>$oMjoSQwW| zUlFYeMaVGUGh^qaHXI&H^)H|Iu1$v)%jVMqaalc)pYDs|9E?xK#m}XY2RBW|Ii2n= zme8_^$wMKV(}STLy)<_IsV{^gaB)M7&JC=Z3g)x<f7b@$BwRFReM8oI9DEnomxpCWfN$ zX~PT2#|r0vGnM=6)E&c@71Ea#&V8nEUUccJQ_-a-rlNaKPDO~^f7?zL zrZN+2qVRT2n0@Q)#;L7^^Iwh%!#lzPcBC#2AJ2V0dTQ!unC)ot!pzO%7iLmnY3p8` zI$U@@{Cg&vSd)3V5HhglifCf!$>@dTlhK!_cBFDAqQbS|UwTLCy;IxkX5w%m^JF19 zT(}~-BxGU7x3>IsYV4b-%XeRv3|W6->S%bo_wZEesi{rJ&kLDeb9nzlV-srz7W4hX z!qnD1;hWdLn5@Y752C`)N82lN{`ypL<*y6?XR`j-#F{6EUrA2gS=d;f_bYerNIiAi z@u))Z_=TCngOgg+fUus+0KrsG}f^sZZVe{MALBR|>E zVc+0nS9`yl>^pVdk0%yRXW=LdheX*y7LMj7M;`f=b!YCt)c(HeQ(PWYcd0tI;aR@w zT#F-rWaYPtOxVH|AoT{r7M`s)z3#N(yHB=K@GD?D#c;vsK&Y7%N@pSJ9NrSCxR97=cI1)NEpJJTkH){S(*x502b47GD%h_pcwA3KO!U1H+*(Iz4z_-`o4+?-;)+9e+uU8Rp0D3TfJWZCf1; zwmEBJ^2GX=hA&H|FH4^L%(Bu@Va?&fLt~fZ#?KUndRK^Rq9mE#UbyaP;iscZ|2p&a z?7RQnLg{t)_(IV&lwcnV#m^02p1SOKD8Yu}>;7y1Iuso*T_}A1X(+~hWBkO_`cH>4 zY+32^PojyRyER=|{QOEN#)T5>rnnS)y8QWACgtx2@Wx29q z@bS1P915}fl1~eq`5N+rPDm5PSdZ*d2gqwOk9%7T#`#&lDp<`hy=cJ>%UtpzJ4pU5XlPxy_?6+iwfsO z>;5Rj4<8!4GPfpt>-<6pF^PR$Q? zw!Py3S9`Bc)eoko<1*C2wnBDwW}6?mzZ>z7#HE{lC;UF8Vw@JEb~R^s@M!x{+i((` zZEr(`xk99U`@+r5sl^+cCB>lwA(R&)dUIz=L+##BEh!4UaRI@LpN~EtUAlNr^VV;C zYN7agZ}`HBo6%pHip#Gd5_jfq^cx$9n^@C@nBd_;SV->H^io0ggQ1w$g=pA!hT6Yt z8;?LP6O?#=7oEyZ)_Z{J0ZdHx_KY` zy`ShtFihRNmQJcAiN%;oFdBaB>Cbq>+*MC-Hg4gLA_nT_Ro{{7k5xBM-MspHNIX^D zyv0@?>gKiAW~}ipRx5?Nd9`F3jbEwP;EmR+=5#AulV~=O#xeJz;VCL*v`r(Jd3Yn?kY&A1`LT3IfWahyj`e5ek55MnQXWEfByHkf&Ld%oBv?+At*5)gO zMA|<6EjGuUj4O~-%U27B@R3u0-hNAsp8g26>F#c2D{!`O3tF946KenWt*7zTu9O<) zH-1hfzUKF}c!QU;uKi?o=e|%ntJ*5}+5Eujb80oqtvTb>$HGGbx>3wO^6pR^Jl)dv z+QECOx6Qq2Zs$n##<|sJnSc6g&1+Sr>^K6rSXRnyPlm!?MN#QZSlByVV5?c?+!M;2 z*`rG(r17in48^>eP+Hv=D#Se(TH5X_FRp$ix8u<}9@??#KV?HB+0*6KKMlpb&|Wte z+Ur&nSmRc*+e1^^@)GOuP!@gWX3$gWbxabI8n#Au|w9KJwvE09tBo-sH7wxV{}&mh{NR&FvQl!w+qz_52na%rDDV z*!!ElQlCHdx0MG=^TR?`&08gutXjb8Y5Aswe3m8cH0aQNaj>WRR#!?5odgHZ+NQfp zz3#Oa01OrWYR-7%OJ92H>8|&d$3a?%H_X5LvbfIQV*h$A#sI_5?p*a*j$PS7aj=sh ze%vMBQdueUaxz5rDvC-!^2lD}!rtiuTg?DtHZ!p|1Q-`fNE1(ozEF}OG@0E0gD4ro zddW9MSTAIz8!^V)LyU1-h%shENj@7r@Zv3R>rNazT+x>O9JDkLic)RYA6jhP+l^5E zYgczr98^)Qg-x#P+yYil%Qr3Lvn*++L5H%1wKUMveQT-1-i}HQ;YLe`SH~@Zl_XxF zyV^iWw|wmd07EO^nlsLS=~#C{CW*T?Ulx9C7yIXHH3k@poi%3p>YeRhJarny-@a5< zdOmt07niVVmaS{We50katK(Mo%(ApSElQW=q=kWSY)zke>_*KRfb{}^p{S+mU<>4nNmU)p zY-+W(UYEjpst#7&T~!^_(Mi=Eqw2_&`g2!xWtth;!xe73L=*Qg)={{l8JOy6IxV%U zI#}Jc(b!I-lBy0i60SA9(W zR<=!6buivTI%`6&>YyN9`FW<^P!D5P^|DoenzD0!pR%c)1|7;4*3v*v_bpWiTiRBq z6L)%Soq3{f%^HC5BYkVO9aeSl^rmRxL;Rc&RR??0B3RYI>ig;9*}hO)b+pZ}LT zk^^f~yV5hO4vnQR8Xi0^J-cOmYUt78+Y{-*y<<0wOe=Gk z1?kzjwdw2A+4$|b^k6Yzez^E{WbC@^LnAxK#^bkV$D-`xW5XBC72h__O~=d1XPaJR zoc@a+JZE|^#7$bh3pv@B7)}fw8QcF)V(GSCJ&ifFmE$l|PfJGaX1ddoLA&2;3%4Nm z?Dg+`riJ7cNqf49d)sb9!thnt`KWhAvn ztk}DTwO6VBl(TV#TfVG1O{j9Gej!iq3=KcT$Ck>S4Vh{r==45|%ANX!)Gy?+gKMQ; zS1((UW=B}zW~D;96??JJjbK-z;|^EHQ`?f6&`ZH|^h|cmnX-@!nh`8 zoIm&PDf!UF@ zQ^!-Oo6}QME`FO?J{M`O@Q7be_QX7s|G`pv&8IZ zU)*FZ5nmAh<)+Wo-sc)k@!;u3Lba#&^w+O;w(3t&l&tSlHubFA!WVq%n$RM+%~wxj zlHGc=Oq=VTNDM!_rF}ZF!M@O}eWVbri+|S^`#U`Hr7yiT(Unquu~B(yyx3HJbZ$61 z5?ab9X7kCBVng}S*vWpE)4~|TR&R@bK1TryuwNp-Fx_U3K`Bt`5Y1&nP{Ka$I?`NZ@ zCF#=DXyvom;GOM1mQ!r75Q2M!hjygy2%RjxHyi)kUbsKH^wn&1>4|Lgz|r6P+SJKp zcH7Bhc$iCa`}&uYxob`)b6aOO=C;PO9v2%-iw#zuuM{*YMd}TAR?rB2uC@7Eu|Ich zuJ6k15>afh-OVjF_*2>8eXFX3_(EwsJ+~(_bk0!RbLCiWQ*u=(sW(yK@E?0@u=U zvbNgp*4(&Ge*gpsn{%#6)hT<$;+h^5AZ(f{B@{@WLp=A*UG zJ>93favtiWS#jb!??2R_~7uzH~;tWTf2T>Dwt<)n%g-N?rKjD-q-i` z{?KkEF@94zG{_8rNL>fL*yPPn>$G{rkl| zEaA+xe=_*BKghR#F1YHd|I+?e`>S91XJPMZ@6|(V)fSuHWF3|!oBp0<342ANBuYi~?O#OpA$nTE1x|qa|rO*}{`2 zKJ`q=qFVg;k0LzS;yRy6KD2A4cF}WY9a{SoZ|^s_)4|cfuEZOTB>(*L`L?5gwF8Iq zm;Bmj+oi$j_xwR?-X*Ey166cT{lVpd#7?7wB|BMbAB*R|Zd{Wm=1=`?YhPWOx~D%v zr5S1E^VwF;SgH42TiK@NY2z*2m4oB1&6kxgxs@UNSqoauBrRaM2yX$alS{O-^VHb_ zZdp>ta2ZGafew%1_HS>hl+^y+U6qn{?wjmO9Lyiw_iU;!3VV2`A&IMxy*1IDsKdL% zEvR;@9@PmtJl#R>ww*LuO#J#kOfA*kem;647gyXatGxYU?ri~GmC!}nyq|PJ!#J#b#Uan=f0&o*HwZJ@ov!UOS!69sgSP2a#ai8RFSlIm-`)5 zl)GU4_uKEnqNmhdDKvyrY1_9HCi_mE*M8@=EB2~Xd&P?5zUe{FI;iXut+ct~*4c@t zLvL!DE4JA5CiKG7^d7h@VJKO&9Y`3S|Kjd0)gJU5)MZG}^BvzG8pw>THL) zlUC#k4}U1PLZ9B$tq#rVZqs@bS53XEgUVbh^^n$|S-pLt-n33agYn~v)kjhvsr&Vj z+P*Wg@T8Zn)VUBFtask1oF+C{DN<*+rva-v`Kmjqvn?z(SoWCcs%^Xjf0a8jVuN*e z%gTxIu_ZQGsnYDQ*r3?po3AS~vB74K-->i+VL?M|uwoymJ=nw8py!}^4r=Wkvtml8 zQOQp01||+>p3M)eJ|{el{=UJr=WI;I53pSfInICSSQp!PH0YVEcJ;v8{hxi+b5Oha za4Z!E8|hrS@gke(6Y2vW1UQi)(tYcrbP2E=An%yR)m~rt@5xu(Nn@`@3nU$JW{2lUXPAY&(nM z|8Gx1%^d#B^SP7zv*D2sr~A!)Y~sL^SGQf;qq z4|^c$Ea=dFaj>VCDP6fsq3U2u`+K~>RUK@JtR%6jgQ^ayI+&dt4An#u`RTqWJ~oQc zMa_y!P7@73DoQpaI+LJ>LAo=UX!TQPTe$tIgP|+4zE<;kI{jH594z*^bsD3^4?QhO zx0u({$>T#y&B4;EHHs-;>b`g(sspI{B5Jv`*d|GV|q-nY|%1GfzgZyclj3ck6<- zwf(R(wVH#a8m)ak3(Fbp*HBuqKXOI@&UL{-bl5y?v`^ zi*FaCXXn#f16c;@gq2>#`4x>>L}9-<}bm0FHG{>3vqQzV z-yMrSIF}qA+faPFWbQXdQmd1X72h_^9gLSXKGO6eL;8*P-+0dGU_R`4MaCBXtm;b) zP4>-?{ag$4R3ugGt^lbw*uzq2y=>K=b{1~o_5-+ahjaBg>rL$`byupHhu^!luXh%z zH`Vj0H*LipTOzpiHfx<}BDjU$emN?8c8#{*@&2Oi_o)Vd>fICoA6fc8+Bd4VkU!>vqk3GCO=h zZn8MT{c{(NJiKP@&y8&?97*1H`}o?ipG#dbw&8~K_UK47`B*Bo<;m!=%$67OndkNm zJapZy!}t7Q_LZ5L1BdoC`z7fx$K(0EhxSDq3-d30Zq?(Nb9d!uRzEQ`bA9UG{^Wf> zHM@4~`qU+<@hzEw)T-h)X6AO~=T`3?UPya?{(_nFhDI*Bd3^Lxe%<3k$%_uBW^c%3 z!l6}MqfGXm><5Rg?VGvoim7}4F#p2PA2zq>kg%KgE$!c7X;rJIB*ChKX8td)$Gq_u@hFdBZeg&Pu`NpKbx7~3yAxY9qf<23x_ zq}7n9B!QDw2}YxjPFjtGN~Sw$m0&db=%m$1sC2rMR;fmV&rVtmfJ!GjX_aa;`0S+B z0H|!TlU5l={Q)Pf`aWe-owUk0>JK<+)%Pi%>ZDcfQFq8mt1eIZL?^9skGexnT6K9U zCOTu6;Gy{~LOc?2BuB7ava(9*NNG z9=JT69we8WG&`oJKYq<-vNGM-R=znKYbm$gqlz9R^&nY!!l*YKP9}PgtOrzYnjR$M zM#QB(ertam*G={8>5iL8);sL#O%p1sr&BpiQL<8`&TtPe7TOOw%zyjBI;+%RQV-X- zd+nIpFG_#s{f8O=)tS6f4=sCu6i(5FRWbTAorS`j+>o=PO< zPyKD(Bd6}fp8g2c%~_p^EA{MOXO-$tvcmO!%BFU;k%(jr3Y{*PDdf>%d-jB0N@-d^lvbNr@aADhzy*ACtjcd?m2IC4|5 zhs{Q_eD%)uFP_vKTvq1vd7UZTvGElcKL*k11ZZF+1!|({@gd0#pS8a!m@MQ zB^osc)f`-Y1l1lBjx99@YxC5fqUNBQgWC%ahJfdTnXfviMA#eb1))qL8u0 z#>!V8d+S1FqE165#V69V9Rmz^>Z*qg=b!#syYBHrUpjmK_|j9}a@&)?RlNIIdIz-I zw{cJ3ihU#RChrM1wX;W;N=V~Z-MMr-{7<5Z=Wb0`-VgsuZpWi{JhWref69iN;-_zj z|7j>>eq;PZZvCgj^X}HYm^)mEZzX59oh;lD{%v0$ZfTd@5R?M7P>`+#u1Pqc>dsYCLR99Q}fe(Vd`WUo$rs6Oc$r+GsS7ezw_@q zoJh zQbSXt1Bs#0vElwzeeuYlsl?b!aqLX$+>wD$vF+T!(c$FaP&{8MvJT3g)`2PKIbJ6x*XW{AhD`}xwf)X-KK#l1?brV7Ywg{if90!R z`^wkuzWQhF-+b`P|Ng@teb9dI2S57n+OM{M^B=eW90Th^-n%{zxj8XmxTDY|7Y`WKi3>+3)0g65Z|MdHR`-5No?VtYe!=IAofByUb;s5wwKKsA_^k4md zK!*SEfBSEn<{x9T`Fnc(>^Hyu`EP#x{U6h?e)X0A`n%u%`EP#ms~`OGPyfaHA2#3o z)lc64{?C8;vw!iykAD6A4}bdOpZ$mb;L~mU&3jG1L%Z%6OgYUop;XnW2SHJ%L zH|~D;t#|+OYae|5FMjyLAN}Qzzxvf5{`sGO@E70s@%!(78P_*xV zfYg2K-C=&-ZGZlQU;pj5-aQ5RtDpV&-S#)X`rwy8`q}#gzWY~yeJ|o_$o|c*7~}bD z^Phd|lYKIpru%>Xl2Y5tYx;gVWc7JOa$hzPiO2WRHm~Um=xEClh7$+`B&@k7cy=NH zgas~o!B^O}1cD1AiX%$DGn{zNT$~MqOpg%SU?YKO-fL^{U3}&<&1bIdH}|I!b36qI zBmcN-aojmOIpHqdU{@helW~vO+1*#BXO+HpFlZYB`8)Um=|Pj zNH{!AAP_=I^#L?I?yX(nD{-b#Rh)Z!B4Cmy|jFB z0Pp`H#{~lR0Vdl8BVq=@&QK!x>7W2SkI^Q`hLJ%)04a$42pqh3v@OFZAP@-Iwe%{D z9MDW!+T&52z8=;@U?r~cp##ElM||-a1^6a5O@Q@_7EhO+H3<%0bqpMSzBhmu5D0`g zY!`yaq8Pe7Zohks2=F{cn;;uT2GP`WG@qRVu857O$f^>*L3DqlQ>Dc}I{F2@-qK}F z_XXPcm|h3|*I~4MlKA`tFCY*|6GvPKB8%eWkhi*LeMCJxi_s>SfH31TI^kcpG-8zG zb4u@_YbZ=QyN`ZY<-2S6xU z^y3q;Brqu#0a_IWluBg!xT8b)Y@rCoA&S-&o`D@^pr8`1tVrFxDj;A8h@^T8YSnU_ zpakKI0)lY}SX?{PJXCm5+=1Za7r*mhZ#fp(eMdaJ{FiW&@~HBp0niUX%~Wtpy$WO+m5-=z5?nm(dF z4_wKjnKK^{(_(2CTdp*GB&Yw%QMmcz$v{9T(}iRP>v#TUTK1p}TMZJ^yNhSQGq7a_ z=nqb|5&A=%+A`hv!kD{+19LAy*{1L!6>ud18U!s@-=dL*TzEZSBb&W*istIFwiCqV z5#z6&wvf1mQ|+_Us_SUl`fds;Q8~q(KRca|Eju}f==-S#{KhjYcA_W!HnV5h4jjAbO@z>PQmv9Y<{Gq95kENT8o zjdbY(0)dcSfN{Z;1BfZxH~%cGeg@1mSK1j((3Sk(yqkFQBUm$l_GnyCiI$fHr8j>o zHLmjv+)4(dF-tdB^MN@Offo=6gqQ-@lnX%!Eg+^;Z2p@)zcmMTW#vDt;xAQUSwIpN z*tm(xKtUxc8&V`Fz4;>xE}nr~&4Ar@v)|9mp-Go6AP@*K1+W!NIag@@EkcQS!&N|w z4g8*kr6f9fVI6^f5fp4^(h;PE2JncDX##-&YPt|aJA`06la~A(NE4y$@WwGl>=Z`P z0(;~rvgzT&H-x~$nCH~UZUnO(IgVsPd5=&4zI^Bio#jo$DM@@U+OdUG7<&4oRZbY* z6c7l67{(V~(NUZn-fz(=C$b_<^Qy!D!@|WiYHWz2o}=jc^v|)Vgl%!QSCwp86?;__ z?e7nRwO|Se1k$wm`Y{*QX3>tnH&~Gd3(#C37>6)*hccdCd4-{a&u^dBg8A-c0`Wl% zkrv!QY7UxK|2aL^3l*Ey-v@t&8~FBp1lX`171KN5VpyB ztF%VxryK>~N(7#8i0^~NMSzwG5_W9Jar(w_7tg?MGf+^8W^TBsX8q*}F-i5RVo&hH zw0${4p@d8O!kl$sK2Wr-@C@uL19T%%(!0&11Zb%e<42dT9;6cQZAxbhz?JaykrEDN zvqiy#B9NwOVejG@*mDNF`S14I?{+(K8u+dUFJHVg<^UC6S10UnW5u?T01e;;1Oj23 zf++_OQ@Hsz35PjGaS@<3hmk`7bMXu;$UwWmri#g-3QI;(P>C6ycew7}DIgFC8B6ub zRrq+Vvajru;cp5E#vvKyPIs36hJahfO=PQITh0cHGjI#(`&V7Zc}wKdN^rsjt{eu^ z;C1!pkEuhZkAw&~#)4tw5Ew6&y`TO|^X7JsDg(Hdkj6Y-NM_6oFCh>g#3a=_-k1eq zI_4S5AN!l>xq=d3=O%(v#>F$>8Q3xd_@QSDiS?Z(P;w{BFAt^7SYD+=6%Yu7h-?>v z5Zcj+PC98IwvtC&JdDf-Y$M**%I$W7r8mCYJuzX`lj@zGa*~xCOdT?PT$rn9#uo0nge#Mk%89G?MD^+p(eafcg-Gm{^rg9JR`pE8MwI& z?78`G_5AixoFsJyzuCix(VNmok=$DeA|X(3{t|UU)^V{{na}?eivJ$RLc*D2s1mbJ8e+2}sU6bMJukZy|51JAF$}0qwskIKe;HT_g}6#9+G+L>9%#A#b&>Pb<=Vs--Uu^MG0%rocx}*wK5y z7M%hUKSl$gWYLdL#FD@?eXT7JK$S?hzN14CbtszYVFXYy1gUfJ4D3Av1(j%}Nb0V% zlu@jZIkkGU$lnuo5wuit!cM_3G6-y3JOew=K*0F9B_`}-Rf1wQg`0l}I6O@t5JDz; zX%_)nMFOP~o<8nYHhYFv1KrYY~1W~kjTPru)3F6|B9~N7#G~DcoQy%vNJb%g^jO{(Z zJy$^`o=1~Nf!FLyP_~ijK;{rL3cxc7w1-zeeatC#F_QTJEK3C>n+Ve7QY{0I<2hQj z;mjjC4!)ogOWpE> zEYg^zo2&W2%#Oed2n0e*0c^^JAcPhWQ@r_`X>p}zU_k~7D$!z1P~Ld+?|($W{a=T{ zVDCV$NUsJz?6lZ09}WMG4lum`CvzR3tclpk2*d{+uw4iuBLPt+-=RH3)Zet)E;52~ z$UR5Xfq&Wth+HTH8AgXg(*yz`WTe+fauf-mnMB%?Otm<~$>^}72wG@O2o6AGwU+Uf zSB}8pJFRje@B#vX5XZ#AE3dk<^soc`$<*kuL^D$&eKfEJZlKp+sdv5Q^RD&R_F z`bap$laROw(DDqCuwz4T#KkkP^9&SJqM0Ess&@(q1VT(wy{gy~{4i}_&QK`f(tcAw zFb*MFx`=Y|4D31s1zpq3Pk`2&znL3X-arQEv60Ju@BIC{_Y5q{z-CvCcwkmn);9n6 zQQ<>8T!usV(mi1(yV6$|>Od&rdxw;8XqsRG0%Mm&8HkIlDj0PYI4V%!4BSL;3td^{ zu6(?Zlt(}rUUKyyKFE%%x8$k4a*U~ir;ic>HK8O>BJ?#9*BGy{?baB(t!F@GU~TjF z$Nnm%PhBzoO!k3Co*tOr={*CUflC=UTmn4Q@&51p>XMIN9?Dg!>2T2{C^5-(Fkd`R zv|r1yz~$;&Gy!;yD`98c2aeXr=IwTZ7AaUHn>{h!?1@vJruxsHa{jTsICfyUKEZUn zO3Zt0%nLF%6c7l6?B>)e!E-@0GJOObD=c;~;*r93v4CU~!DwA-WuU7?bc;OqgWqxU zzr}COWA+SG%s>Jcw?w-$o8C5Q{)j~Sc);E!%^!|g5Y8roY1?H@1|G?s#F`;w=(!jgWu|5#AXj%SEl`unS1kpWM7Df;~BVG1`<5& zv-w91z5f?)XoL|v_&uw|27cJVy-`OmEnghKO9*g}$<5Yw!H5WF0>REuB9U}Z0G`Ka z6J*24ApB4LE)2bQ1ZP9(Xh&i!AP@-Iwe%{D9MDW!+T&52z8=;@U?r~cp##DqtF^p| zO%q`KqQ%ptXU%YJ7DnHHzDKJxFuZ_3AY^X45QNYo3N7zasdo6ujfR}XXcLS>H1!7Jcdq*=7Mi>&{`+}W}!_Npih zJ$=4>CTqdm6%a541hxxC2<@Rec^ho~wrL~n0{(lHz}&@MWR01glUOb^zSQH4w}{E5 zfAl)tIeP+zfT!SETOh!nOM(J~iywLSp&zG7(71R8cAJ5Kk>lxw{F=nIdMa>0&PCt> zN2Un`LdZm~k}0PzaA40x=7u{uA~6QQ3ZvNUhbqMA;u&~E8BoO@5L{MBRtb)f8|3W?Ec%D;dDOtBc)s zv-!YEl62|P>v&wLL#j3Z3v*V0vuqgINO9D~Gq4~7ae+-0ldcL&MiQ_$UL_Zse<&aj z2)Ro1DxEO^Rl?K9o!|MZ++0V|UdN60f?v-bfsEF~L&t{xRavr@$fcFf2j?Eq_%~_( zi6X(PpFZ*!3x<(Fz_L`@rG{(m9?9vyb{KB|XfhBG$%^LR6c7l6TqSx{Y5u2wYirM0 zS+Oe!qHtN3fw0VMy%pa+u4i%v&wyuOSqAW@BAW>PkxOlvZhT?PUBUr0mwjoZ?DSt|kiz1VVNJYz0#eAWBfyT-pw@fy3d#@KDoGjKRp z>}mgg@Y7Wi#xjy{0F`*5%)$_YZLZ!6Qx0YfQv$RIx`1E;0u~p~z|J!ujaj<6nh(qj z3A}(nAjA~Frd$X@XaO;Wr;qKLe->6h17?~l?TjYqO8#%&O}zOLtQx@a#QzH+zI;4n?|j0f9h>DS)kD${Fo#6`TKN&u@`~t)TRDDO zehVtm8gPQro4=JB*LenRB?Ho!rJJkyz#NLe3kU>4OaW}lg&>3$5L45EehusB)&D4Xz{a_B1ZklJJYr*-Kp=pcE(FmIA=u8OB|nHLLfhdD_iv&pjG~3% zk)tT`9zJ}7Lmo!y(A#?gmEDK~cI5Xarw=lY*aLj|&=ESzn}}1A_+GSQ3#Tyj^hv9n zF#NRK3u|O`7`^feF^n&~qN6xDyx*c#PU164K7wvub;spiM577DA@_vKKDs{r%WPL! zwK&_WimcZ1mgXCK;S}xf4^1QR0s?_F?T8CO2<^w(D`~#hG_p?1;gd}x>&Msx;}B-= zP{z|MuP}7*`RxX)u*8PGjqhG25FfE)4 zxb9~C_(V)nz1dZ+9fj$bOZ&o{l@~i3B~bP^>?XG>Jp;SSz=bM7v6>Q~rJ{--UA}q{ zANMw;GX~&Fc=|{Qhj}+(JGMc6Z(#12d=M30wH|xx1dbsXNOF7-M=t3N? zRnsxUPnFD?-UY{XVLmXaUAdnObo&|V#7Hkx$;IY>yLbK*N%2FTf$bj1XH2**$;MLz@ z7Z3=9Z3=4@@Juju$n=qLsIb_@NTv)JFO|KY{!8=bc8@Lt1(j&V%ys8?{$_ffvJ$7v zCW5)!#WUa;*fIn7p=S$;^_?bAawn6E)Br6%PR6zpxtdHkG)*87LfS3_A+)0topkF! zY!ahQFb;uj#M@fA-A=IhkLS9zIa_MqE;X!ccc@EO&h`NJTyihS#hwsOR?aFuKp8&k z$0xFr>djo(QJg!*)FIPH9-~b#?&F+#&VHB!u8GCm=u#~MHJ+nAKt+~~Z*A~L`vhm> zRWjP!q)QhN2!vco^#>|zu^fzWG7&s>Xa4o{i`emHFRaMaVx?eyV-qw5(8oIF}c>?2EfpYEDH z?)=T0|9M7y-!pJ?8Q634ukrkL@#C|#XUf{(*V-TB-|S(;=uPR`yza_AVYJ@-clDk7 zV5?;yR%4n;)Xl_?z<1pI`$x7d@`6T)y^da3ydYmV1ETA!J7{?V^QN zkwBTrYl7f;Z42#zUJ)CjQ3T_Vdk({?wtFACngF({eAYnOJ#+<_z0~9ffxM`KI~Bz0cEf zFRZcGsIk|7VQN{eWqjoohMqpVLnH8i)S(ccQz6pn+(rV8ue?GBFCa1!f}ctI`m`d= zpR`TWVIG)XqsE5xydAv{Z@PI?p1Og#sqSu9MZGix)M7s4I9g3(! z(G*5;ylLtbvnxCUd&__-_J9mzg=D2f>aMhuQLKY_&E zDW|yW=R5zaHh)YVGJVV`b}{0Ssxi$`?ELhflPbqP?hJ5amTn#pFIP#zjwJ#wy^cq* zLR29pXzeI)RX4^i%Jy$^`o`;!8f!FLyP_~ijAS-scndWi^Jd;3sc=glAoMIOv@d1{l0+LMx z>2j%-ff~=z9-t!2#3qkTaon&C*{77z%8m;%@erW`;_k-0%CnKg6f1C!d7o`IcZ zpr8`1j3g*gccrDw9dkylf?Y{~20_m$b}^DE11vQp)F4^HfhqM7$YHA(*53!LXV_}& zHTcY-gxux zFou;9SK2Q&?-pAg4cFS=yT^3BiS*~*CZ3;?Q@icTCGu#JrArqO2!s+Bki3E^$D2PA z491{z#yy}`0?VC7s z$lGrRE7AmH@kKk(D1vba6E6FFZ<*P8t9RE^oH2Y%`xjPTIkTYMPdBoZi!Apd8hcrl zenl8MLH|f+<2WKG(T+dS$Wkt{+>2-~3?myUQ)kPnyl$JOL%C!Nr!e&Nd3Y&Hxuj3f zJkUluHomxv_#l++LJ%3r$supOejZWdfd5?A(d9)Al3;*TRG&E;0f;3P6t2w`O;ZXJAJen5b1QBr_WUT2x{Ifk4=%aK->! ziA)~}hd4%Y5uoK6B4Njd;E2om4D?sw>uKgQ(3PLd>I@WAqUnQ+>YV}tfe@2a?|5St zi0PP1`%MACIE1L_BFe=xu=lY$MZwLWCg%+e&0^_%}E- zO_0VRIO4M74EV(ZzWjnpG|v&PyAlHNK}=G;HLi=ARNG;1~;rkwakYvM2*_kyQnwt^!8| z3UsGU1YNYUNMjx^B;^rMhF`V$V|L;hYP;qiRYI<$=&t0)-Rva;c}wKdN*KNrxRr|l zCEx`F0%4niDQ9$|lN*BsHjYtT1Zd4+{hWVF4_n^&5IUqrHgC5Rw0#biylwWxc=*I= zN#K=ihR>fWQ5Mm}BGfEU0($xiQt{#PbI~Oz*-7;V(DEFTu%n`YG80T4GJOObnkE>B zz;>}9&`pHrtxcRiIj7x|8_|pb-49+G^LQbdqzu2|=5LP6m7an9WFTRvs_h17rNFUs zE}Jxe1n~%(|1cK_XA{A+?Xo5VkK|5b%@9`D9&dcT`L9T!n|cOrIs*yO@3i@E_WbrS zoH3OKztzKt%^tWuhVQOk4Bq_f<(+q98B0RKHoVD92Bvc^o$PAnH1U+QtjTg2qjKYE?+oIL?Uz*BIoEfC<( zB|!l~c`To>!!9JQ04<%#r|0AuD8;U# z091*<@90ozv5OJ=P_(Y_4D2ui1(j%JMe6QV0RclmqzW-X%Lt(j&{~q91pEb8vS{Ya z2VimW4D37u0pp)8Br`+a{LQqu(lc;N8L-=KHXm5SkuE*bw=)9v0itTn|H7OV;LMDo z4;@EcJOc|d5Es}~G3lzXWF!H5<5hxUHHDjh2sk`VAP_<(dX>%?fGXkX<8IECJ{w7( ziq;jy8EA`=DRlO+0jL=%ST{8?$ut00&t)pL+yU;H9OEVuh$eOwig<;K)!C z?cmkJ^B8S{Y#12?EK8+bYPi8N~|W>eaQX%K2z;_8zd0pqCQB-o-QE8K4Z4 zOaW{KQw|`e@boeAXY3N!D1phkSlQ2ji>+4}UTJ(QB7Eeg@sY=OmGBBG(TXEM>CN9t zjq5xEw~_&A%vsmmNAut8`R%Qo9GBxvmmv>>2oFQMH&$={d;7+Hyq*Ehz>b@LiyTC} z;VPiT27b@NQW71#u#SMN&4PWAjvy`e0FT(1CJ+dqrVBx|LkPArY01xlG!fbkZyaO9 zj*0@x3!-c~7-Y1H0U_`(w9^jwj6i(Q0hQedxFDFegH{O{M<@XwaWPE*yG-6hJd7f~ z7wy=>DH*=sp;b;8-V_iBgp9`*UeQq~$MH2i?WW{|uzA%TmwORS0fAy%r0DweFEU+c z)Z(nFDg~>eXn%hgtOZj*Adsfb*N?fdHj8%ry{7pio!>p-;gd}>o8X+XLm5x6ymD?r z``>P`3QKJ0+xYHf0`WnXy-nfTEZT8w8(F92OOcM7tHX#7O^|D7=#gHhAF#~};oRna zFW?jK0s?`sO?G2_H%bDy5`iZi;)mekB0$T-z_yELz%wwGfr3gjO}Xw$etZ%i#3a=_ z{-8}Drei7Xr35CcD?I}{%z)iB6EYzMZlxtaNtGBsx_tE@KJINwXAHoV@Z69R4snd) zB0wuM1a@3J1D=7A3`k=hFC^2F;iaXV?pe5cFWhhRaF}Bh7XezCA+Y1(8K|0pwkq2Uvcn8)A+(?pO(w3p zcM1puLQGPy=5SnDo`Kip(7MR2er-7$1< z&%l-$zz;oJNUZNPfs#Afj4Q}Fm!RTX5VVcf2;t!R3v$Tf@V0q(h$JU+smKREptjWfEAV z^L1gqNgWH!jV{$P@JODcRU6L&q6C}iN;FxeOBWCbgqWmy1MSEhlCYzqfKrJ}9|4DW z5=z)9BLLFHGvFDZ3`k=hFC;Th3@;%NAH*cpt7>SR&JhF7^QYMFNX-xvmdq zx%qO-wA|D!`wX<6hbAEh)0Jpu$(z5K7FT)(ZYcxOn6s{#%?IXi7=Fvmf2-%WWr%^tYk=Jzxbb%H)Cb~PWEmfrl$w7AkUa7!6b#hws-HXoS7c>>M9{}H9d=x`N) zy^da3yx_Eyiuq{xKoEhqu$d+h2q8OqX%{WDiUi6`UK0cnwa^~u6|o_j%LIVuqHHv6 zZa{nFu!9nr(ot&y_Q5veh;9hMb}rEFhXbn(b7IY!50x|$|afu(!Yhgt?u+KO=H~`i1uT8*$by= zpI<(~n*suX&^cqf5JVP*cKrFK`2}eKKP~sd8a)h6aITTnTEv;PGREfav=uku*ibh4Dj9uXw@C*zxkX_~4g)~6Rrqo?&DWg~+whKFoqecFn zunTy}lmnQHXTURHWB^y!0eaOnv&IU95^nw>;LtRIKnR)WRXSq;s)VPHyDY{4oQgsj zyTUWz85m^1Zo63_4bZab&0kV+vm1w-jobsTlz{-Atk~6jV0z-_-xLrCgqRY&sx<%8 zzxd|M0X+=(a`6n*&A{urbmeU3!@zQL3#p1d0F})LmYFpFR|NzN0nvD^>T7w+ae@+r zFR~cfg~Szrb9smi;FfU{+3MGpe6T6sz207^@2aPqE+pj?jenEokEuhZ4?SC`VW`OK zD0Y7O*GZzYJcbO|T{9~rD@;j%&pp+B9)MZTu`s}YE zSZa$?#>F$>8Q3rb-B!Na`i_@gK}r)VAP@+JA25W1#y2|Aahp3`eX~XyviN|nacRd7 zl^@w*CpeU6r!I1{Cr10q(g9D)Bs;L<+o$FG1M{+sJeva|k1Z77!(Y zGK0wJaVHnZ4uIRmOh zN?R3sA%S9ag=b)|87QbkD>Vtq{<^n-fFW!n(}Bz(j1XEtObO5eu8UpG2Y^1Xga_sy zr5{busD&TMGw?|6B=VrHyjM_(W<*lB1q1>irT{jx*mXGrs>GYWN{K5x0}C@yP>B|E zg7U_je}^%+tjfS<4Z&m1SJox_!l5Vc%12atmm(DI4MIaDj3Sb*YWF&wp@#e2m z;tJ2e!VDBtqQ#t`yz%DW|A>P7zYc@J-hp0`UJZWOX|Z8G8vY#}U^tfhxI`cjg4!+w z!L*T}TE0UnL3FIn9RNJIV~OLm|j8Iw+;lCe z#=XzVAF(oDFfz1JGPr2|H5Y*g2N~1>gk)0%04wR#iCzu0*DfghL#o zxCqelFtF`XI|F^LYm=7nr4o>1JOiGA2h70X0l6os?LWVpG`Vz8wVVWttf`n3(qIY* z1VT)OjW3x_NhxhEk5OE3T%!bI#LM)1>;#)VF5m2lQ+{sLfBuy7kL|^=1IzUZrdhG8 zLQ>?o{G4+Mn!o@bBvQQrds(fjD1gWWQ-@3+0f%N1z+}DL4`n^kTn3ER#WPSZ1FF~) zxU)($opIfjJirnk#3a?5xex@?CetY?W%|ftEGHNv-k-kR-;lErZ#Ii(QEavo$#a7D z=}H7b$tKMoQONWWu$RpiY8XH~uppdG1oNGXXJB0h?5>#=lIei!&YQoP9#?t>ZXg2* zF=oZC<^yvS-u%t9>`sX;u!U^)z;%nkKAcZg>LY zxa}h1i1@(Hv|Jc^@93PNBZasqAP@-Iwe%{99Qjkyl7=&N`g&LsftR>U0w6NUmQ@L` ze$nFT(z8ZZUdizN&U>^FL~NQKUO*raGPYd^LTC|%mUpRCJN)EEL(XC>CD4>hG@o5! zRKX@z|Dy+4tz~@W6&-hcep;xdfIuKkELz-!*MHHDyJx2rX}T}a@sH^>zDA8EP?~I6 z6?@?nWz)QT)}ev{zbhbM2#9PKj1byGr@fNqw@n-A1MuIY1nk9K*oR4Rrkrkk=?pEq z5t9r0fmv zqviuBmCX6^DZm;&ND|(ZivX>P0!k$^ecaKZe6}bfP>ind4D2-n1(j%}M(XZW0Rclm zB-N{GRhP5nI6(=*7Yhks@8TJ_e}1svLs58Kg zS-N>ZxLISh;um=7bvz~%NVVpl$7m1Z=+fUk0~Iq6D{{@E>Sm#fzyYYN*i|8!Jly<4 z0f9h>DbcHR#sE|aPak*L1xf%;4MQ2bVwi!lABKh&{dTJ~S5h46`r(E&mlbE=CW6YW zDD>k&NhO|pMC0G2`6r45uRds9?0P-`w!XCWDa#lupZ;Y|9rc7W5HL2?HM2r8N6*c_ zDIgFCF(rDHOgWKIw$3uD7fIM8>f0s@AxjdsBZp&gy*0!RRtt8dXrLq=Q|d=LVEGPGL4YKDabqX}Bb zH^Xe<9rFwiHZO1x>@YHoB_=Q(Qs*> z{>3+IG{N|MkkQWE>HW;KsRB<`Se)cO0|(DUNhMlYN>KK5Lt!bS5+O5c^=NSR9iBV2!yAPwave^Mm1kInxOeq^DK^E-#i;f4>Ax3 z+q>N?=-pOs5|sU^UqHYRwvl@QnL`*Mw1Airpap!+mA)9s%>Y7TgiYl zX6fc?J}`$O@B#vX5K{n~av=zz1;mt!%^&;`Z@3C*v4P*S@I12yKTq{BS9n!YEo8vJ}`bR6gO5hfzB8_TE}$H{yUD z#l6YtgN!5g0AD_IgwFCN;*=!57wy=>DGWV*(kdqmKP~sd8d)7iue?GG1#7biK@nuNjEPf!l32>&#|`xTs1?Io-2x^_KK*(=nHJ2|+fDY^2Al5Yxd< zlysNtviA%WbWJlw0a{dI0f9i+CM(48`2cVw0#7)^laROw(DDqCuwz4T#KkkPAOr0J zn<^%UDl8dEK_zB*-r=Hpr+`2pWGvM?-k1f#zOqj)?KcGkz4JFm<;sE#;FQ@!a4qNJ8So5jnSte(P3xkL>&z|d1SNN}{PK|RF)g!Y zm!QOCyGZb%qJUC~bnAda9Am z1Ke|sKO2&JOcfsrii>L2k56PL)mu=j=Z-OT$n-I%*u^M-5}~h=u*P_eExr+#Y8j~c z9IaYV*CIL&KEd5|C7J=r+)zLu5Mt7WI6fbkjs+fYm?xoxo#|m@5WrnL1AEWFlID+` zaot_B`NOYFA9IRbi~=auHh*xi^vt|-&ChgAXHU{s`y@wII;hwK6W*u0W{*36^X7k^ z5#RR=+*}6s-2AtCe)}j+k~)Lm>|w-a4_qI`mscx@1R`(#wbJQ4o`GA+z@D2wzNZ#% zXoUDlrK1;AJfijZ=K?L67&@_QS2-(p~yJ(?RBv5AZnjm;y+d_MwSHy;B6u~&; zp2KjeZQvsla@awEOzEgK0msEQu!RPM5gSW}-ocRNMSF4O6^6=Z_p*_t zT$%y`hJfHzhrih@TAM}u$wvFU2^ca6<1@CreXMLxfj;R>M*jni};{9!*(Hvj6^&BeAE1bw1A(Mdtr^N4pZQRsk3EO z+DK}(a0)|DpWWfK7R={5Iuq%1K4}zz_#g(`g&?rFi$G2ed8>VWT9M{cZPRp^2d3Ak zu^~)IwL^kz%CAZ4LKp}o0uMMeO&}0LCVE}C))ok$N~Bxg(V>Vs6is0i$D5{3F}uPu zu(u4TVh_kbR!CM#r0z;f8N~{jQ>#ad{5@e8LFX|R3?qYpz{NAL^9%%xKP&dEN>Hq( zaPtoVho=byLdZm~(isC#B|LrHt!)1Ny{lq(g=b(-8BoO@F#4>Vt#o+vx3c28o5=v( zW4kl~Imn7#%?DZHm2mSv{c{`9D1!0p14Zi!&p=@YUKir3 z!(LWrEU84xsWksrg{55m&i}=XVow-C7N|8Q*Og^}9&&FZTm9OSk2dAI*V_yAUGg*o#92wawBpwIp?({)yuyInj3o`DTB&~4?rt?#&?W0+2*i4_nC zgqQ-@_zE;(hg)Ob_(mr>hM%s!S)&Q$0$oAixQc*z6B$iVVKlK2r(p-Jx{hYECr10q(g9D)Bs;L<+oSUxKoYOb0TDm{9!60N}`DEsT) z0s@AxjZ6nJhcH5D0Wl>&3%H&wq_gu3F#!Qf4GA?!mT-(FXbIUaZ63*;#74Pqd%p#h zXvQanTRDS%D65QNYIVv09^GcB(43@pe%K_yzO3CbI9{{4?A zxc}=g80;PB73tOBhn*H1=AT&pjt(%q|0i=Dpsb15$q2*;9k5*pA|nA&Cf}hwMAYB3 z+AcDJamYPK(}92528diJ1Q|w$L(>ETA!MZ2NOBYjpqWJ4lT5WZ#L4KeqX=4PO$ZJ^ zWVM#@l~<0y;XAEzBJctNfe^=>wONKPkK1ntE7AmH@kKk(yy{jgAfug)Yu+0_^sq=5}-vT77z%8 zZR}!KwF^uVnm1t&&i|U;M0)Y^dRIe)b1V2pM zmopSfxU}CC5R5|0^v*dgdLtk>?nXx!qZ1eI5bT# z0fDj0q71}ERuzo83LF(Ea0YH7=%STH8uNG|DUX0M{Ho0#QwL8U+cp2F5^^O)cO^gW zW-l4A+it?}rNFIR1StK^-%8C>*Y&5&7K2P%ICY<%0nfl71Bb!(RX6*;^Q-GId&Fg5 z2%8QUU4jym?ZS@2axC3inzLvE@Eljd4)<>j!O)8JqY0|QK0$)X%wK|c zEm2Acep9-W@2}1< zRk0`VX7hpR$eX{J7FT)(ZYTo@v1Y}t<^yvyPoVk7eqgx&LnG|>@OxHEuLeKt;NGaC zmzFOM;8=Iy5&=WNWV>KQ%plkqN~AzLC;-o6vFJ^n&H|kKn~Bfih7k}s?B3*vrDmo?eKDcWCr zep;xdfIuKkZ04-Zq8)e7PAk%6F^Y@13&>>4s@SWd=rCSBleJ*(3J4eiBHINcg!a&B zucY~H(?6iYS9k_?m;qJn0ZGUT$;yg!=~o2=3<1%cTD2S}C_(rli;-POTmiUo2#&gV26mo- zfbox435wMeZ~kUlT8viEEAJOy?^?8_{vjSl!rZpU0D(zCkwRVr>^j|v+w|_Jl z2#935kjz;9&fiSS9+Y9LLGtb6R{q`ZQ^-Jg3WHcNhPBtO_*0Qhg#O5-g2Yb^NTwTK z7$CFFguS_!plnlk(+aqf01bkct8dXrL!Q2#k9U#GNor&>^h_JPE$;;JlYO|$|9H;) zTg)q@AlC8m|E{FzR@yH%Zx>r;hQB|Yb_*);1is(G?8(ocPRqTBW_&^+y8w=HL`DK= zCMatz?TNq92{0P>@%m4epZQ?!=N)WZThg^1K5c)4DtOuhwMKV8`0*-{O0=vKl(*UZ z`_K{oer2on{a7--_wmd3u%!9JSmuTT0)dcSfN{Z;1BfZ>n?FLYCjAUpjco?eXo6;N z>>3((J^J>a_QNlzL@P}RN^kyFYFy_TxRne@W0r2N<^yvm0xuvC2r&h)DHnneT0l&x z*!(wperpcw%F2IO#b2tzvVf#rVB;n#0|k|+Y)Fxy+_d@6`TtW3h&CH36fT~DooB#q zyV>t&W`?9o7Z3=9m;%_cLga3)f5ih-3B#8^_DATD7H<)6xC&^ofd@kPO`xM!|D)gm z8|Tsyq=gdjh>d9ifdFc{5JWqKU^|nR{2-!8ujd`TNsJLYWl_WySe61ChRP>G;9(Rx zZQ6TlmEDK~b`xx zMbTD&7_0?TKp+r0`{u08q8)#)Y5qtjZ%=rjpYCTb?!wF1Fm;DAo?dx{p@YwFH&}%w z;w<{^WdiX*482X^+AITy_nWb8WSy2TMN*op!(8(5?fdBikwE3t#5wHt* z0f9i+ChM(tqa=VU5qQEOZiV6^Kx+;ohcy5C6F}}(;%-Oo10Q6dpb`h@(Wb zm+F;^=kZ!Sc^hmo{KA}-7dsmz5FvCI&wyv3q<5QH3eZwf#W~NP?rlnE48WD}^pO${ z@g#Ji*xBebWi(9-dl%2Zo-^Rhf6w3ke#hSJcj$wW7j(@5`m`Cai7V;?0)eni!IT4t zDbmLi4zFnbCc7&=1D7(;UIIMS@k4#$f=V=s#6sP~v;N8wMaLRxx_Pk0)CpxY>JzHo3@j-5- zdhN!{v^~iw%E1=TP^V{jtVuv+1miviguXd51Nb^O5qzC4o&nFmmKngGiEJUUzS9KC z>5gGKl_pj|AP{1jXpJfg)3J2YfWu1~A3}%3{(a@!?RJ92e>~T%Oxa@dcClqu!$VcJ z8DtM|&ozE|Ck(>;Zn5Heu&nFm=fEabeC%Fdp}DPCaKo%mLTL z^1M}(bH`lEpB zhqBp15sX7%>*5*Mdj^&?f8>no?wZXXer5W&FlQxz$L9kats#9lHHhYNq`3wUgYO=O z_8P41HJm(JAF+=t;eEVHy!rQ8@;A@Glgz-Ln}3bxw~HU2tv#F82EW$+82@GuBS!nu z+Pv<{K4G-p{CD-8`(UeOAXa1AhG@slzkg)gBJF5|*z4$p#S3I9mBxI!dLW3vTi8q! z2!xOwy|jxKT15h7Ca(#Ch+1e5^orOJjUpI_+;bRCwGDh^LJm79kSQItCg8Z(W*pHC zA=u7^9JbJaFk)lL&^s8iyl5}3yuwiV>|QpqluJ`Uzz`6;>hM=z&*=dcCE-nMnt(Ad zLTCZe(mp@I3kW74ntO)kfb?%6Z>u|fOAjzFth}Oqez|ErCN0)kX$lAgLUYEPwOO>| z&o_;%(;~~gu;#)rBnpJiBCEBGue`$0(`R>R1pbe@h6<6+Rnd&Eyh03Zq_=TIMncFl zXz~Zvw4EV(ZzWji< z@hZ94{7VSL2f0f0s?z*t{hLuW=O79f&%lxl;1>^;jyJCHNe{?HTG?8)QP4Fle(BP$ z3J4eiB2|b9T1E(MfYy=(CEzcn=d1wSI0Q#smSun*a&IGB{o0a`Hs!n5+Y9ww^_1gP zVm5!=_4A$oRhvJek?CXYo&WM&?)>y$o;bIBY#FfIZZ;oSAxoDY>Dw6r`v8$tuZa-a zU^#^@;SGcnoxQ*Z2wawBpwIp?({)xjWn4T1o`DTB&~4?rt?#(t3et2c4W@uVAjA~F zR?zrHCpzh*a`nxcymLLxg1C6(hsBmF4Tn#hR#L4oA3lFt!!z6V0q(h` zt0Y(4OaW|WvFmaMR0+5G)1x=mXaXsA1yQuF@C@uQ0|k|6WhFt0 zqAD$A?wG0&!zc+3C<)LY=sCqMMlv6OrG|tWBuh9jr9J{VY!$=$`+)TfTaCSj-?k@_ z#w^`j%?GA4ffo=6gqQ-@lnX%!Eg+`w^x@6lOphx)12ZyEP>B{>g7U_je}^%wl(^D< zv3a-H@@Tl${@y*N>rJFT_crnTl$_daS1yr9lPq1jfIuLWxPaspOgY~Cktml(o`H6& z_zra(7gVCR(E*0{|75NMlr<4M8G-nq z1GWo6WF#QUy@Q(|(4u z=E^HLLFcT^LOf^kiPMTSwu^!&dIHq(1ZYu-1q1?N8|6X}VFmt zAux7Xp8;HoZX$H$=dwBj1(j&};JSOKfIuL`qzjR|d4eCN?dJn1lra3woMLCA1UmR2 zp^GRN&%mxTV7J|boNy(Y`3caXsD7(%6{OgiKzy*xI3f%o*j6Ib$G^cL6qbb@BB@2S9%66W?*>Lc({nJ2tcD{ zsdLXIjX8jqODkbV3LHD<5}+V>{Ai=*pAsVA7z>7xLtyN(C?H$vOXSi@7`_y^m5Tr+-~|K% zVVi;}XLO>Idxr!zj!|3$Xw6~d5Wrj(WuQ;wBFmLVX{EiJdj>oMYcp`wyWL^1b?$bD zj3rl)8J>5z!ITgTA2t^@zQlgcKc$B)Z+r+HQX`wU+X>n}2TR^Idty9%;T9&Yzsq?#Yd4#(?ezFO7M;kW5mB-*EFc$K^`TzYg z1kHb#3xu(4gy?tL{5N}k`xwrcN`v3( zVZ>$+Tpz=CS1$%{{`GR{OrC+;%0NQ6`)vLZL+}5^8yaE64t~#Sv4J0UaBtMnOUoAr z@Dc*tV{)^#T`(fTnLw~Jlt?5U6oBV3+637!G6?@uzY9a}9l_a9I@*yK3kU>4b}hY1 zBL_5-miBlQr>}=K5m_mE3Yv0^!Xkw1QDC2hZhhCgcxiW zf)H9nq2*mF)eb+o(U7wkZGv%#rk>O}KY&<@#sLA*ZBAZ@$MSF|S8(GSwbXk>t zMVL6U{EJPZ9e2-8E7EjdpyMCY>%tl}HiWs$)@EV!{pZVP9V!@$sN5A0Fa*})3$Lj4 zU(TFj_qRvqnM+wYb+(mqVte+{S8(->i##_YX(m#4FAYcf13a+&UV)&&=i3Ei5 zSUzEgT}WI3THKe(bMg#w96T^Co`KzFAYkONs(^qYAhTUCLTJO7cH7P718X?arAx2l(U~FDn*W74E5KPcjBKPh>f#w# zkb$_sriw{dg(V{i*c-1B6ssxR{6oOuX##-|GSRDa#sE|aPak)4uJqYR0#&rGD9%7z zjI0biROVYqH(C=5`HIcZzbZ@C5>=HX%NrX1Ce0tw^bz%WD4cS5p33JOYh0zewiH+! z_ef6v+QV|b%`y<+dAg9y*rccm2n0e*iC%dj+EY$n;J}`Xz`gmOr{+rg;Yxm#8+_v~ zo&nFmmKmTwIN3(%k6dcYbmI$S?h+2ny#!^O!oCH#k^l{YmaA{kNJB^TefgP*RFFqWZ&1O41kK)?{TDVTBqF(p6? z!u8D`OfH^*9cQ4R63y&LlPw?+2r&h)@pO=|!`)n$Gma`@_;$@d3#p$0GtHHDkVBUh z8Hg)vpMdG{#oHLmjv+)4)QwwwKaW)4NVbOC`t zh$(=rV9L2d^KTJK#2Xr6iw*pqgFiqLj=!~L6R3ZrOYc;qOGyoV3p;E;z=I`sCQKxH@LfF1e0$?1cPBlZAaK6Hf6 z@+RVxB)%8z*up6cJ$=$DCk#I=_re-k9Y(LbLJZ>zujnXF4)3>Um6Q04l8>O9SKV>B z7tv^famYR4vX8D$|1#TERxQrVe15w*bWIZ*`Zm6MnLvCHLvK^KHp{@_{bp<%S*PVo zk(9iCjJS}vrhOdwXy}n%rysD9n5^;L9fA5iKm~XKfk4 z6HGuTb+C8w4D2}r1(j%~hwDzy788|7Oj5ma@oY{xJ&Ea<;TPtti75ITc9Ywco`GFu z;6jyLZ2s}1%U2KL1DWOOP1xZ%L=XX5hG1I>Pai4a&@{mW1ja6&ft_c-oBz&F03ZI2 zGhnyfgp6=wHM0|-MVBrh5D428OgVs5zsNN|c5C|Dd^{&11e^Wp(4&h<==ll%dhpSCwt6y8r28-shh4d}1 zuH%BPsT>B<;C1!pkEuhZkAw(#5-J!*4uSDf+573gG;ePA=rSOUdAyL!m>KSm{mt|| zWhG9TO$66+E}j9;z?K=<>aQhY{Xr*Cawp3#5Bc$t>2%R0C^6YC5`3sApj0B=I^Yn; zn6RS=0<2w~?z%6B9$IZY05v9eKg+_?Ij$T;2AYV8I%1jOf z5qOJ3(*yz`WJfRUqJ>tGK$*#Fg5Y^=3+;hk5gVdW1mlo<4#TOofsahcVFv{=rK8pa z92eV+Bf233+qsa#78(#nY%Cdi2Sb(@?ZuT>7%HFL%SM)RX$lA!0)kf^{$}$zJ;0(Q zyopUSzBUWsxwOwu@B)GfNX|QR?|}4gA#bZY&6ZWM7f#VWzuYt*lNRvPaxbij-yrQD zDMNbc7Me3`7j_id@#mXH)@iX_6hr|COTnrr3_X2zhej4r`CNxWd`^W(r*j(#G`{i* z9lU_ZNC3KVP57@$kVEHvkT?hlAMBo93rU?W>$V9IT z*V+OBREc!!J316mhoUKr;&{{4DP~uA2KJT#RqO#7$O_3yiPT+bDWg~+b87Wyk-sPG zBIrEEf?;G35V&{-cAkNN@n^-JRSAmK6mI?@;P5noKnR)WRXSq;s)VPHyOqtqzjsya zuJ8=(DFdq514f^fvy~2S{#I68cQYBF8+Df^AO~5otNFmp5;y-60`Wmi32e$mfL28T zr4nxbr+@J+8bvUEeV}Mv;Tb5*!0SR>b=b@5j3t$5IhE%Bs<4!+-}%3oQS1pr$O5&- zAt1xFi0D;T04D{JwX1dM_ zbGM6Uz%#I62D+_$xAh$tbPUs}G_e8#fe=#w8()DY>~L$$8{gnVquK0a_-7~6Y*d#-{?JdY-k0i zrT{jx*mXGrs)YOa`sS~wUEvwnSq2I!(aK1IvcK*vAYcgF$aElc2qT0R5K{uQfa}>p zIy=u06A-Y}kWhnU3CC!HmXPhz=8@birT{jx*mbP}s)S41 zo4-npD?9@;Gf+^87Gr|)#+!eKG3-^kIs@PAVMKrK)fvN%S)?&bH&^q4nH_-_5D0{r z0@#!bK?p4%rg-x=)8b0cz=8}ERHDV2puF+s-~Wh$`@ar@!QO#hkzNgc*lDq0J{tZV z9bkC>Pv$y6Srf675r_{uV7m}RMgpQtzC(M6sK05oU1S8~kb91%1OK!Q5V=qYGK>y~ zrU?W>$Vjh|mubf%X?x!1B z%0-rY5shBlg?-=zowGKJcKnH^`vPe}D9gQw=E5*Iiat=L&X!eq-8M~!a>*7>Vd&}e z@KTm?Nd*J~AqLxpAhH!=P7ZnN_49}t2Rvjk+63c}o)9xw!Lwp^o_#L51SN($dPPh~ z*;TF`MdpxqxLcPHjQcoF-^}Tso`GFvpr8`XyaZ@bi3J1#VH>;HRjmT9M5d2~Lp%wI zivTUp5D7ar1V>yv13S+^K_!|Q;-Y$|fIuL`B-N{mJ;4vt_T>zP5-#mG1q9;|qNR%{ z7tg@1Gf>bq&HMytz4@EDapetUfF2vU?Dx*!zkAQXvJ7l?)rbdXb!Bbyj~^92#KUDc zgfHC_cCssdb)gP~625mx35TW$CLl0&S(Jge$f|--SAnAf1$YMefSS3rTqd zl;I^;58{LDxOz*T+AGJHI(YghAy5-a0wqFUBXN!K8ryD-vDt zGU4jymTnF>T^F;f#91C2o zzC{y&=eQDf#(m&ujcnd-Cuos^MY7owl&eZPNVVm<8c%BAB*a)@0zY#`xxKk2k*F{BQn?^Z|GV z7G@y9Zl}%v>kD)CB+M$czO5ccZ1%wQNqqS-2ygz93g7Sy>?{KbibK8X=gcea~d6!DH!%uEBu^U=V(4V2V4;wQIS=p zXl<5rkMVgUOSu#f2&9R#)@C_(+ce#?(~2~U7I%>~W|%u$R>fWwg`uaxQnbY({mEbg~pe9obeVhx%7`-r#oj)z!2~h zTx$yi_;X26fN=36?>_Y7Gzl6P&%kao5HNB)y^vp%xK>XE4#>F(JmAPQfj|hE=v6Z1 z^aT#=xyambM@J;a09auZoBdFQ7+pLAk0S#u!cfH?pv?*iigS^=dsRTd5RgdqW-b^Z zwBg(#?>&%n+z5HSAnDnYTD;?3Vo%S|b9`{uIi4A^Zqn-8q~NS7|T zdSD+Qs@D83%vk}>vSDx(edsvq;u%%? zfGXkX?~k3dFi;-O52gh-5|cZwd$mLaq|Msx<%8zqPgJtgP4-1W~vw z%RpFWw%!VtdoG><&%l-$z@Lh2BJ@WtwPm{Tg)w&t2hde1N5fgc>AEI5zAATRkq1OY&6TrmIg`#@Lp@=AdvET__|)hbu|Bnk*m? z2-yX&6-+sRC_!0sX;1u(PJrRG4mmOwAI+dR*s*R--1fC2ArVu=5M9Ob)JD+$$&Iw>E>!aFoz=W0s?^$ zQvjQCAqb%b#FWa-Kf(!q#ci>H-?OlkL`N^IBOq(DU|*yoNQ*tdBQ~Z91Olk(LJ;i` zg6&LN@^c_fgto&Q#~86w7)1*#OMwkT#Vd&|TRykq#X}K5H$m%e9rDvH}=9Q+TS0VM&Jbm0%_V27lIJlkF{6Qe6ML_ zotDEVn?}};u?fZ@%-*4lr&nHK=-~6)4OU@^4SgHmy-XlJh@rPBT$^R!@P0G4jjYr1 zrASJ1b(jJlLLD&`WGyGV>%9};*+#%VI#dCHK-fmP5JVV4u&o3U_3(s4+zM@i2?&f` zJOew=KtUy%8REK|_2UyUN%c-oIej^sjxpsR=nHe!h55jwcBN-vUm3ViB^R52{OI!4 zgZMydxq1_Jcn%RnfR-WHR>IRqN;ou4Fad$Fi)Ucx8Sv)6^Ao^_zvB$pZ8sq!+*r-* z1Ze%v-^`6GpL7P=twwjK?|5zVf2V*zAQV1dx|iIzo1^6$gEs|_4#puSwJVD{Yk>~E&$DJyZxY$CXpb9qb|=&Jt_O5x~Q{M_K;8So5v20R0v0nfnIGvLkt z>M3`Jo&nFmqsTzq>QVG&)9#~4d#xa1)8e1bJfjbKn)|H7KXrQEboduV&*R8Hb%Jk! z7Z3;phAh1c>>S8_FUKfo^BBcZsonvP&<BTH+ zlU{TD8rp)dVE}<8o|L?N*}UveASiIY^}^@d{daj(s`umRNoqL*&raC$Z`wGgk0;!} z+kE@}%xjwe^^0`cDPN*^^M5s){n!6tH$C#he^(DfGH|x>&i~z9^}qg4-06WU{u{U+ zMm5ye*bYK6^fKJO{?~DT8f Location: PIN_P5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// key => Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// reset => Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \key~combout ; +wire \inst|s0~0_combout ; +wire \reset~combout ; +wire \reset~clkctrl_outclk ; +wire \inst|s0~regout ; +wire \inst|s1~0_combout ; +wire \inst|s1~regout ; +wire \inst|s2~0_combout ; +wire \inst|s2~regout ; + + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \key~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\key~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(key)); +// synopsys translate_off +defparam \key~I .input_async_reset = "none"; +defparam \key~I .input_power_up = "low"; +defparam \key~I .input_register_mode = "none"; +defparam \key~I .input_sync_reset = "none"; +defparam \key~I .oe_async_reset = "none"; +defparam \key~I .oe_power_up = "low"; +defparam \key~I .oe_register_mode = "none"; +defparam \key~I .oe_sync_reset = "none"; +defparam \key~I .operation_mode = "input"; +defparam \key~I .output_async_reset = "none"; +defparam \key~I .output_power_up = "low"; +defparam \key~I .output_register_mode = "none"; +defparam \key~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y9_N0 +cycloneii_lcell_comb \inst|s0~0 ( +// Equation(s): +// \inst|s0~0_combout = !\key~combout + + .dataa(vcc), + .datab(vcc), + .datac(\key~combout ), + .datad(vcc), + .cin(gnd), + .combout(\inst|s0~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s0~0 .lut_mask = 16'h0F0F; +defparam \inst|s0~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \reset~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(reset)); +// synopsys translate_off +defparam \reset~I .input_async_reset = "none"; +defparam \reset~I .input_power_up = "low"; +defparam \reset~I .input_register_mode = "none"; +defparam \reset~I .input_sync_reset = "none"; +defparam \reset~I .oe_async_reset = "none"; +defparam \reset~I .oe_power_up = "low"; +defparam \reset~I .oe_register_mode = "none"; +defparam \reset~I .oe_sync_reset = "none"; +defparam \reset~I .operation_mode = "input"; +defparam \reset~I .output_async_reset = "none"; +defparam \reset~I .output_power_up = "low"; +defparam \reset~I .output_register_mode = "none"; +defparam \reset~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G1 +cycloneii_clkctrl \reset~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\reset~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reset~clkctrl_outclk )); +// synopsys translate_off +defparam \reset~clkctrl .clock_type = "global clock"; +defparam \reset~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N1 +cycloneii_lcell_ff \inst|s0 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s0~0_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s0~regout )); + +// Location: LCCOMB_X1_Y9_N2 +cycloneii_lcell_comb \inst|s1~0 ( +// Equation(s): +// \inst|s1~0_combout = (!\key~combout & !\inst|s0~regout ) + + .dataa(vcc), + .datab(vcc), + .datac(\key~combout ), + .datad(\inst|s0~regout ), + .cin(gnd), + .combout(\inst|s1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s1~0 .lut_mask = 16'h000F; +defparam \inst|s1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N3 +cycloneii_lcell_ff \inst|s1 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s1~0_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s1~regout )); + +// Location: LCCOMB_X1_Y9_N20 +cycloneii_lcell_comb \inst|s2~0 ( +// Equation(s): +// \inst|s2~0_combout = (!\key~combout & \inst|s1~regout ) + + .dataa(vcc), + .datab(vcc), + .datac(\key~combout ), + .datad(\inst|s1~regout ), + .cin(gnd), + .combout(\inst|s2~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s2~0 .lut_mask = 16'h0F00; +defparam \inst|s2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N21 +cycloneii_lcell_ff \inst|s2 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s2~0_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s2~regout )); + +// Location: PIN_P5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \output~I ( + .datain(\inst|s2~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(\output )); +// synopsys translate_off +defparam \output~I .input_async_reset = "none"; +defparam \output~I .input_power_up = "low"; +defparam \output~I .input_register_mode = "none"; +defparam \output~I .input_sync_reset = "none"; +defparam \output~I .oe_async_reset = "none"; +defparam \output~I .oe_power_up = "low"; +defparam \output~I .oe_register_mode = "none"; +defparam \output~I .oe_sync_reset = "none"; +defparam \output~I .operation_mode = "output"; +defparam \output~I .output_async_reset = "none"; +defparam \output~I .output_power_up = "low"; +defparam \output~I .output_register_mode = "none"; +defparam \output~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_pulsar/simulation/modelsim/YL_pulsar_modelsim.xrf b/YL_pulsar/simulation/modelsim/YL_pulsar_modelsim.xrf new file mode 100644 index 0000000..f5d0edb --- /dev/null +++ b/YL_pulsar/simulation/modelsim/YL_pulsar_modelsim.xrf @@ -0,0 +1,18 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/db/YL_pulsar.cbx.xml +design_name = YL_pulsar +instance = comp, \clk~I , clk, YL_pulsar, 1 +instance = comp, \clk~clkctrl , clk~clkctrl, YL_pulsar, 1 +instance = comp, \key~I , key, YL_pulsar, 1 +instance = comp, \inst|s0~0 , inst|s0~0, YL_pulsar, 1 +instance = comp, \reset~I , reset, YL_pulsar, 1 +instance = comp, \reset~clkctrl , reset~clkctrl, YL_pulsar, 1 +instance = comp, \inst|s0 , inst|s0, YL_pulsar, 1 +instance = comp, \inst|s1~0 , inst|s1~0, YL_pulsar, 1 +instance = comp, \inst|s1 , inst|s1, YL_pulsar, 1 +instance = comp, \inst|s2~0 , inst|s2~0, YL_pulsar, 1 +instance = comp, \inst|s2 , inst|s2, YL_pulsar, 1 +instance = comp, \output~I , output, YL_pulsar, 1 diff --git a/YL_pulsar/simulation/qsim/YL_pulsar.do b/YL_pulsar/simulation/qsim/YL_pulsar.do new file mode 100644 index 0000000..4113795 --- /dev/null +++ b/YL_pulsar/simulation/qsim/YL_pulsar.do @@ -0,0 +1,10 @@ +onerror {quit -f} +vlib work +vlog -work work YL_pulsar.vo +vlog -work work YL_pulsar.vt +vsim -novopt -c -t 1ps -L cycloneii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.YL_pulsar_vlg_vec_tst +vcd file -direction YL_pulsar.msim.vcd +vcd add -internal YL_pulsar_vlg_vec_tst/* +vcd add -internal YL_pulsar_vlg_vec_tst/i1/* +add wave /* +run -all diff --git a/YL_pulsar/simulation/qsim/YL_pulsar.sim.vwf b/YL_pulsar/simulation/qsim/YL_pulsar.sim.vwf new file mode 100644 index 0000000..de394c4 --- /dev/null +++ b/YL_pulsar/simulation/qsim/YL_pulsar.sim.vwf @@ -0,0 +1,207 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 2.5; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("key") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("output") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 8; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + NODE + { + REPEAT = 40; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("key") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 27.5; + LEVEL 1 FOR 62.5; + LEVEL 0 FOR 27.5; + LEVEL 1 FOR 42.5; + LEVEL 0 FOR 37.5; + LEVEL 1 FOR 152.5; + } +} + +TRANSITION_LIST("output") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 176.529; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 60.0; + } + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 180.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 123.471; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 520.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 460.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "key"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "output"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_pulsar/simulation/qsim/YL_pulsar.vo b/YL_pulsar/simulation/qsim/YL_pulsar.vo new file mode 100644 index 0000000..6de9466 --- /dev/null +++ b/YL_pulsar/simulation/qsim/YL_pulsar.vo @@ -0,0 +1,332 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/04/2020 10:34:41" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_pulsar ( + \output , + clk, + reset, + key); +output \output ; +input clk; +input reset; +input key; + +// Design Ports Information +// output => Location: PIN_P5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// key => Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clk => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// reset => Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \clk~combout ; +wire \clk~clkctrl_outclk ; +wire \key~combout ; +wire \inst|s0~feeder_combout ; +wire \reset~combout ; +wire \reset~clkctrl_outclk ; +wire \inst|s0~regout ; +wire \inst|s1~0_combout ; +wire \inst|s1~regout ; +wire \inst|s2~0_combout ; +wire \inst|s2~regout ; + + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clk~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clk~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clk)); +// synopsys translate_off +defparam \clk~I .input_async_reset = "none"; +defparam \clk~I .input_power_up = "low"; +defparam \clk~I .input_register_mode = "none"; +defparam \clk~I .input_sync_reset = "none"; +defparam \clk~I .oe_async_reset = "none"; +defparam \clk~I .oe_power_up = "low"; +defparam \clk~I .oe_register_mode = "none"; +defparam \clk~I .oe_sync_reset = "none"; +defparam \clk~I .operation_mode = "input"; +defparam \clk~I .output_async_reset = "none"; +defparam \clk~I .output_power_up = "low"; +defparam \clk~I .output_register_mode = "none"; +defparam \clk~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clk~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clk~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clk~clkctrl_outclk )); +// synopsys translate_off +defparam \clk~clkctrl .clock_type = "global clock"; +defparam \clk~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \key~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\key~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(key)); +// synopsys translate_off +defparam \key~I .input_async_reset = "none"; +defparam \key~I .input_power_up = "low"; +defparam \key~I .input_register_mode = "none"; +defparam \key~I .input_sync_reset = "none"; +defparam \key~I .oe_async_reset = "none"; +defparam \key~I .oe_power_up = "low"; +defparam \key~I .oe_register_mode = "none"; +defparam \key~I .oe_sync_reset = "none"; +defparam \key~I .operation_mode = "input"; +defparam \key~I .output_async_reset = "none"; +defparam \key~I .output_power_up = "low"; +defparam \key~I .output_register_mode = "none"; +defparam \key~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y9_N0 +cycloneii_lcell_comb \inst|s0~feeder ( +// Equation(s): +// \inst|s0~feeder_combout = \key~combout + + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\key~combout ), + .cin(gnd), + .combout(\inst|s0~feeder_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s0~feeder .lut_mask = 16'hFF00; +defparam \inst|s0~feeder .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \reset~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\reset~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(reset)); +// synopsys translate_off +defparam \reset~I .input_async_reset = "none"; +defparam \reset~I .input_power_up = "low"; +defparam \reset~I .input_register_mode = "none"; +defparam \reset~I .input_sync_reset = "none"; +defparam \reset~I .oe_async_reset = "none"; +defparam \reset~I .oe_power_up = "low"; +defparam \reset~I .oe_register_mode = "none"; +defparam \reset~I .oe_sync_reset = "none"; +defparam \reset~I .operation_mode = "input"; +defparam \reset~I .output_async_reset = "none"; +defparam \reset~I .output_power_up = "low"; +defparam \reset~I .output_register_mode = "none"; +defparam \reset~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G1 +cycloneii_clkctrl \reset~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\reset~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reset~clkctrl_outclk )); +// synopsys translate_off +defparam \reset~clkctrl .clock_type = "global clock"; +defparam \reset~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N1 +cycloneii_lcell_ff \inst|s0 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s0~feeder_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s0~regout )); + +// Location: LCCOMB_X1_Y9_N2 +cycloneii_lcell_comb \inst|s1~0 ( +// Equation(s): +// \inst|s1~0_combout = (\key~combout & !\inst|s0~regout ) + + .dataa(\key~combout ), + .datab(vcc), + .datac(vcc), + .datad(\inst|s0~regout ), + .cin(gnd), + .combout(\inst|s1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s1~0 .lut_mask = 16'h00AA; +defparam \inst|s1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N3 +cycloneii_lcell_ff \inst|s1 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s1~0_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s1~regout )); + +// Location: LCCOMB_X1_Y9_N20 +cycloneii_lcell_comb \inst|s2~0 ( +// Equation(s): +// \inst|s2~0_combout = (\key~combout & \inst|s1~regout ) + + .dataa(\key~combout ), + .datab(vcc), + .datac(vcc), + .datad(\inst|s1~regout ), + .cin(gnd), + .combout(\inst|s2~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|s2~0 .lut_mask = 16'hAA00; +defparam \inst|s2~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y9_N21 +cycloneii_lcell_ff \inst|s2 ( + .clk(\clk~clkctrl_outclk ), + .datain(\inst|s2~0_combout ), + .sdata(gnd), + .aclr(\reset~clkctrl_outclk ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|s2~regout )); + +// Location: PIN_P5, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \output~I ( + .datain(\inst|s2~regout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(\output )); +// synopsys translate_off +defparam \output~I .input_async_reset = "none"; +defparam \output~I .input_power_up = "low"; +defparam \output~I .input_register_mode = "none"; +defparam \output~I .input_sync_reset = "none"; +defparam \output~I .oe_async_reset = "none"; +defparam \output~I .oe_power_up = "low"; +defparam \output~I .oe_register_mode = "none"; +defparam \output~I .oe_sync_reset = "none"; +defparam \output~I .operation_mode = "output"; +defparam \output~I .output_async_reset = "none"; +defparam \output~I .output_power_up = "low"; +defparam \output~I .output_register_mode = "none"; +defparam \output~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_pulsar/simulation/qsim/YL_pulsar.vt b/YL_pulsar/simulation/qsim/YL_pulsar.vt new file mode 100644 index 0000000..3047b26 --- /dev/null +++ b/YL_pulsar/simulation/qsim/YL_pulsar.vt @@ -0,0 +1,270 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "05/04/2020 10:34:40" + +// Verilog Self-Checking Test Bench (with test vectors) for design : YL_pulsar +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module YL_pulsar_vlg_sample_tst( + clk, + key, + reset, + sampler_tx +); +input clk; +input key; +input reset; +output sampler_tx; + +reg sample; +time current_time; +always @(clk or key or reset) + +begin + if ($realtime > 0) + begin + if ($realtime == 0 || $realtime != current_time) + begin + if (sample === 1'bx) + sample = 0; + else + sample = ~sample; + end + current_time = $realtime; + end +end + +assign sampler_tx = sample; +endmodule + +module YL_pulsar_vlg_check_tst ( + output, + sampler_rx +); +input output; +input sampler_rx; + +reg output_expected; + +reg output_prev; + +reg output_expected_prev; + +reg last_output_exp; + +reg trigger; + +integer i; +integer nummismatches; + +reg [1:1] on_first_change ; + + +initial +begin +trigger = 0; +i = 0; +nummismatches = 0; +on_first_change = 1'b1; +end + +// update real /o prevs + +always @(trigger) +begin + output_prev = output; +end + +// update expected /o prevs + +always @(trigger) +begin + output_expected_prev = output_expected; +end + + + +// expected output +initial +begin + output_expected = 1'bX; +end +// generate trigger +always @(output_expected or output) +begin + trigger <= ~trigger; +end + +always @(posedge sampler_rx or negedge sampler_rx) +begin +`ifdef debug_tbench + $display("Scanning pattern %d @time = %t",i,$realtime ); + i = i + 1; + $display("| expected output = %b | ",output_expected_prev); + $display("| real output = %b | ",output_prev); +`endif + if ( + ( output_expected_prev !== 1'bx ) && ( output_prev !== output_expected_prev ) + && ((output_expected_prev !== last_output_exp) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port output :: @time = %t", $realtime); + $display (" Expected value = %b", output_expected_prev); + $display (" Real value = %b", output_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_output_exp = output_expected_prev; + end + + trigger <= ~trigger; +end +initial + +begin +$timeformat(-12,3," ps",6); +#1000000; +if (nummismatches > 0) + $display ("%d mismatched vectors : Simulation failed !",nummismatches); +else + $display ("Simulation passed !"); +$finish; +end +endmodule + +module YL_pulsar_vlg_vec_tst(); +// constants +// general purpose registers +reg clk; +reg key; +reg reset; +// wires +wire output; + +wire sampler; + +// assign statements (if any) +YL_pulsar i1 ( +// port map - connection between master ports and signals/registers + .clk(clk), + .key(key), + .\output (output), + .reset(reset) +); + +// clk +always +begin + clk = 1'b0; + clk = #10000 1'b1; + #10000; +end + +// key +initial +begin + key = 1'b1; + key = #40000 1'b0; + key = #2757 1'b1; + key = #3100 1'b0; + key = #6900 1'b1; + key = #3100 1'b0; + key = #4296 1'b1; + key = #3100 1'b0; + key = #6747 1'b1; + key = #1089 1'b0; + key = #6700 1'b1; + key = #3300 1'b0; + key = #6700 1'b1; + key = #3489 1'b0; + key = #6500 1'b1; + key = #3500 1'b0; + key = #6500 1'b1; + key = #6997 1'b0; + key = #13000 1'b1; + key = #2225 1'b0; + key = #1490 1'b1; + # 1633; + repeat(2) + begin + key = 1'b0; + key = #1700 1'b1; + # 1633; + end + key = 1'b0; + key = #211 1'b1; + key = #120000 1'b0; + key = #1157 1'b1; + # 3799; + repeat(2) + begin + key = 1'b0; + key = #2867 1'b1; + # 3799; + end + key = 1'b0; + key = #4533 1'b1; + key = #9800 1'b0; + key = #17005 1'b1; + key = #9000 1'b0; + key = #2116 1'b1; + # 3666; + repeat(2) + begin + key = 1'b0; + key = #3000 1'b1; + # 3666; + end + key = 1'b0; + key = #4244 1'b1; + # 2466; + repeat(2) + begin + key = 1'b0; + key = #4200 1'b1; + # 2466; + end + key = 1'b0; + key = #2218 1'b1; +end + +// reset +initial +begin + reset = 1'b0; +end + +YL_pulsar_vlg_sample_tst tb_sample ( + .clk(clk), + .key(key), + .reset(reset), + .sampler_tx(sampler) +); + +YL_pulsar_vlg_check_tst tb_out( + .output(output), + .sampler_rx(sampler) +); +endmodule + diff --git a/YL_pushcounter/7segment.bsf b/YL_pushcounter/7segment.bsf new file mode 100644 index 0000000..c9f4ae8 --- /dev/null +++ b/YL_pushcounter/7segment.bsf @@ -0,0 +1,85 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 144 192) + (text "7segment" (rect 5 0 43 12)(font "Arial" )) + (text "inst" (rect 8 160 20 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 21 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 42 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 4 12)(font "Arial" )) + (text "a" (rect 103 27 107 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 1)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 4 12)(font "Arial" )) + (text "b" (rect 103 43 107 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)(line_width 1)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 4 12)(font "Arial" )) + (text "c" (rect 103 59 107 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)(line_width 1)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 4 12)(font "Arial" )) + (text "d" (rect 103 75 107 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)(line_width 1)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 4 12)(font "Arial" )) + (text "e" (rect 103 91 107 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)(line_width 1)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 3 12)(font "Arial" )) + (text "f" (rect 104 107 107 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)(line_width 1)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 4 12)(font "Arial" )) + (text "g" (rect 103 123 107 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 112 160)(line_width 1)) + ) +) diff --git a/YL_pushcounter/YL_7segment.tdf b/YL_pushcounter/YL_7segment.tdf new file mode 100644 index 0000000..5865cb1 --- /dev/null +++ b/YL_pushcounter/YL_7segment.tdf @@ -0,0 +1,28 @@ +% 0 1 2 3 4 5 6 7 8 9 A b C d E F % +% % +SUBDESIGN 7segment +( + i[3..0] : INPUT; + a, b, c, d, e, f, g : OUTPUT; +) +BEGIN + TABLE + i[3..0] => a, b, c, d, e, f, g; + H"0" => 1, 1, 1, 1, 1, 1, 0; + H"1" => 0, 1, 1, 0, 0, 0, 0; + H"2" => 1, 1, 0, 1, 1, 0, 1; + H"3" => 1, 1, 1, 1, 0, 0, 1; + H"4" => 0, 1, 1, 0, 0, 1, 1; + H"5" => 1, 0, 1, 1, 0, 1, 1; + H"6" => 1, 0, 1, 1, 1, 1, 1; + H"7" => 1, 1, 1, 0, 0, 0, 0; + H"8" => 1, 1, 1, 1, 1, 1, 1; + H"9" => 1, 1, 1, 1, 0, 1, 1; + H"A" => 1, 1, 1, 0, 1, 1, 1; + H"B" => 0, 0, 1, 1, 1, 1, 1; + H"C" => 1, 0, 0, 1, 1, 1, 0; + H"D" => 0, 1, 1, 1, 1, 0, 1; + H"E" => 1, 0, 0, 1, 1, 1, 1; + H"F" => 1, 0, 0, 0, 1, 1, 1; + END TABLE; +END; diff --git a/YL_pushcounter/YL_counter.tdf b/YL_pushcounter/YL_counter.tdf new file mode 100644 index 0000000..33f4b64 --- /dev/null +++ b/YL_pushcounter/YL_counter.tdf @@ -0,0 +1,25 @@ +SUBDESIGN dec_count +( + enc, ent, clk : INPUT; % two enables and the clock % + clear : INPUT; % Synchronous clear % + value[3..0] : OUTPUT; % Four output bits % + rco : OUTPUT; % ripple carry out % +) +VARIABLE + count[3..0] : DFF; % locally define 4 D-Flip-Flops for the count % +BEGIN + count[].clk = clk; % Connect the clock input to the DFF’s clock % + value[] = count[]; % connect the outputs of the DFFs to the outputs % + IF (clear) THEN % if clear is true clear the count i.e. % + count[].d = 0; % load the flipflops with zero % + ELSIF (enc & ent & (count[].q != 9)) THEN + % if both enables are true and the count does not % + count[].d = count[].q + 1; % equal nine then add one to the count value % + ELSIF (enc & ent & (count[].q == 9)) THEN + % if both enables are true and the count does % + count[].d = 0; % equal nine then load the flip flops with zero % + ELSE % with no enable keep the flips flops at the same value % + count[].d = count[].q; + END IF; + rco = ((count[].q == 9) & ent);% generate the rco when the count is nine and ent is true % +END; diff --git a/YL_pushcounter/YL_counter.tdf.bak b/YL_pushcounter/YL_counter.tdf.bak new file mode 100644 index 0000000..04a701c --- /dev/null +++ b/YL_pushcounter/YL_counter.tdf.bak @@ -0,0 +1,25 @@ +SUBDESIGN dec_count +( + enc, ent, clk : INPUT; % two enables and the clock % + clear : INPUT; % Synchronous clear % + value[3..0] : OUTPUT; % Four output bits % + rco : OUTPUT; % ripple carry out % +) +VARIABLE + count[3..0] : DFF; % locally define 4 D-Flip-Flops for the count % +BEGIN + count[].clk = clk; % Connect the clock input to the DFF’s clock % + value[] = count[]; % connect the outputs of the DFFs to the outputs % + IF (clear) THEN % if clear is true clear the count i.e. % + count[].d = 1; % load the flipflops with zero % + ELSIF (enc & ent & (count[].q != 9)) THEN + % if both enables are true and the count does not % + count[].d = count[].q + 1; % equal nine then add one to the count value % + ELSIF (enc & ent & (count[].q == 9)) THEN + % if both enables are true and the count does % + count[].d = 1; % equal nine then load the flip flops with zero % + ELSE % with no enable keep the flips flops at the same value % + count[].d = count[].q; + END IF; + rco = ((count[].q == 9) & ent);% generate the rco when the count is nine and ent is true % +END; diff --git a/YL_pushcounter/YL_pushcounter.bdf b/YL_pushcounter/YL_pushcounter.bdf new file mode 100644 index 0000000..2e46e6b --- /dev/null +++ b/YL_pushcounter/YL_pushcounter.bdf @@ -0,0 +1,828 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 72 104 240 120) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 16 120 72 136)) +) +(pin + (input) + (rect 72 120 240 136) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 29 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 16 136 72 152)) +) +(pin + (input) + (rect 16 168 184 184) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "key" (rect 5 0 23 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -40 184 16 200)) +) +(pin + (output) + (rect 704 288 880 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "rco" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 784 -32 960 -16) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "value[3]" (rect 90 0 130 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 784 -8 960 8) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "value[2]" (rect 90 0 130 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 784 16 960 32) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "value[1]" (rect 90 0 130 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 784 40 960 56) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "value[0]" (rect 90 0 130 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 1040 64 1216 80) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 72 1264 88)) +) +(pin + (output) + (rect 1040 96 1216 112) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 104 1264 120)) +) +(pin + (output) + (rect 1040 128 1216 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 136 1272 152)) +) +(pin + (output) + (rect 1040 160 1216 176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 168 1272 184)) +) +(pin + (output) + (rect 1040 192 1216 208) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 200 1264 216)) +) +(pin + (output) + (rect 1040 224 1216 240) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 232 1264 248)) +) +(pin + (output) + (rect 1040 256 1216 272) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) + (annotation_block (location)(rect 1216 264 1264 280)) +) +(symbol + (rect 272 80 400 192) + (text "pulsar" (rect 5 0 34 12)(font "Arial" )) + (text "inst" (rect 8 96 25 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 43 45 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "key" (rect 0 0 18 12)(font "Arial" )) + (text "key" (rect 21 59 39 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 128 32) + (output) + (text "o" (rect 0 0 5 12)(font "Arial" )) + (text "o" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (drawing + (rectangle (rect 16 16 112 96)) + ) +) +(symbol + (rect 192 160 240 192) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst99" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 520 80 680 192) + (text "dec_count" (rect 5 0 55 12)(font "Arial" )) + (text "inst1" (rect 8 96 31 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 17 12)(font "Arial" )) + (text "enc" (rect 21 27 38 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 15 12)(font "Arial" )) + (text "ent" (rect 21 43 36 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 59 35 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 23 12)(font "Arial" )) + (text "clear" (rect 21 75 44 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 53 12)(font "Arial" )) + (text "value[3..0]" (rect 95 27 148 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 15 12)(font "Arial" )) + (text "rco" (rect 127 43 142 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)) + ) + (drawing + (rectangle (rect 16 16 144 96)) + ) +) +(symbol + (rect 440 40 472 56) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 992 56 1040 88) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 88 1040 120) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 120 1040 152) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 152 1040 184) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 184 1040 216) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 216 1040 248) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 992 248 1040 280) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(symbol + (rect 776 80 904 256) + (text "7segment" (rect 5 0 53 12)(font "Arial" )) + (text "inst_" (rect 8 160 31 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "i[3..0]" (rect 0 0 28 12)(font "Arial" )) + (text "i[3..0]" (rect 21 27 49 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "a" (rect 0 0 5 12)(font "Arial" )) + (text "a" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (port + (pt 128 48) + (output) + (text "b" (rect 0 0 5 12)(font "Arial" )) + (text "b" (rect 103 43 108 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (port + (pt 128 64) + (output) + (text "c" (rect 0 0 5 12)(font "Arial" )) + (text "c" (rect 103 59 108 71)(font "Arial" )) + (line (pt 128 64)(pt 112 64)) + ) + (port + (pt 128 80) + (output) + (text "d" (rect 0 0 5 12)(font "Arial" )) + (text "d" (rect 103 75 108 87)(font "Arial" )) + (line (pt 128 80)(pt 112 80)) + ) + (port + (pt 128 96) + (output) + (text "e" (rect 0 0 5 12)(font "Arial" )) + (text "e" (rect 103 91 108 103)(font "Arial" )) + (line (pt 128 96)(pt 112 96)) + ) + (port + (pt 128 112) + (output) + (text "f" (rect 0 0 4 12)(font "Arial" )) + (text "f" (rect 104 107 108 119)(font "Arial" )) + (line (pt 128 112)(pt 112 112)) + ) + (port + (pt 128 128) + (output) + (text "g" (rect 0 0 5 12)(font "Arial" )) + (text "g" (rect 103 123 108 135)(font "Arial" )) + (line (pt 128 128)(pt 112 128)) + ) + (drawing + (rectangle (rect 16 16 112 160)) + ) +) +(connector + (pt 264 144) + (pt 264 176) +) +(connector + (pt 272 144) + (pt 264 144) +) +(connector + (pt 184 176) + (pt 192 176) +) +(connector + (pt 240 176) + (pt 264 176) +) +(connector + (pt 704 128) + (pt 680 128) +) +(connector + (pt 456 128) + (pt 520 128) +) +(connector + (pt 456 160) + (pt 520 160) +) +(connector + (pt 520 112) + (pt 400 112) +) +(connector + (pt 456 128) + (pt 456 56) +) +(connector + (pt 520 144) + (pt 416 144) +) +(connector + (pt 416 64) + (pt 416 144) +) +(connector + (pt 416 64) + (pt 256 64) +) +(connector + (pt 256 64) + (pt 256 112) +) +(connector + (pt 272 112) + (pt 256 112) +) +(connector + (pt 256 112) + (pt 240 112) +) +(connector + (pt 456 208) + (pt 456 160) +) +(connector + (pt 248 208) + (pt 456 208) +) +(connector + (pt 248 208) + (pt 248 128) +) +(connector + (pt 272 128) + (pt 248 128) +) +(connector + (pt 248 128) + (pt 240 128) +) +(connector + (text "value[3]" (rect 746 -40 786 -28)(font "Arial" )) + (pt 784 -24) + (pt 736 -24) +) +(connector + (text "value[2]" (rect 746 -16 786 -4)(font "Arial" )) + (pt 784 0) + (pt 736 0) +) +(connector + (text "value[1]" (rect 746 8 786 20)(font "Arial" )) + (pt 784 24) + (pt 736 24) +) +(connector + (text "value[0]" (rect 746 32 786 44)(font "Arial" )) + (pt 784 48) + (pt 736 48) +) +(connector + (pt 704 128) + (pt 704 296) +) +(connector + (pt 992 72) + (pt 928 72) +) +(connector + (pt 992 104) + (pt 960 104) +) +(connector + (pt 992 232) + (pt 936 232) +) +(connector + (pt 928 72) + (pt 928 112) +) +(connector + (pt 960 104) + (pt 960 128) +) +(connector + (pt 960 136) + (pt 960 144) +) +(connector + (pt 952 168) + (pt 952 160) +) +(connector + (pt 944 200) + (pt 944 176) +) +(connector + (pt 936 232) + (pt 936 192) +) +(connector + (pt 992 264) + (pt 904 264) +) +(connector + (pt 904 264) + (pt 904 208) +) +(connector + (pt 904 112) + (pt 928 112) +) +(connector + (pt 904 128) + (pt 960 128) +) +(connector + (pt 904 144) + (pt 960 144) +) +(connector + (pt 904 160) + (pt 952 160) +) +(connector + (pt 904 176) + (pt 944 176) +) +(connector + (pt 904 192) + (pt 936 192) +) +(connector + (pt 960 136) + (pt 992 136) +) +(connector + (pt 952 168) + (pt 992 168) +) +(connector + (pt 944 200) + (pt 992 200) +) +(connector + (text "value[3..0]" (rect 698 88 751 100)(font "Arial" )) + (pt 680 112) + (pt 776 112) + (bus) +) +(junction (pt 256 112)) +(junction (pt 248 128)) diff --git a/YL_pushcounter/YL_pushcounter.qpf b/YL_pushcounter/YL_pushcounter.qpf new file mode 100644 index 0000000..c1a75ed --- /dev/null +++ b/YL_pushcounter/YL_pushcounter.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 11:29:00 May 04, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "11:29:00 May 04, 2020" + +# Revisions + +PROJECT_REVISION = "YL_pushcounter" diff --git a/YL_pushcounter/YL_pushcounter.qsf b/YL_pushcounter/YL_pushcounter.qsf new file mode 100644 index 0000000..308b19a --- /dev/null +++ b/YL_pushcounter/YL_pushcounter.qsf @@ -0,0 +1,74 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 11:29:00 May 04, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_pushcounter_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_pushcounter +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:29:00 MAY 04, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name AHDL_FILE YL_pushcounter.tdf +set_global_assignment -name BDF_FILE YL_pushcounter.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name AHDL_FILE YL_counter.tdf +set_global_assignment -name AHDL_FILE YL_7segment.tdf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_R21 -to key +set_location_assignment PIN_J2 -to OUTPUT_A +set_location_assignment PIN_J1 -to OUTPUT_B +set_location_assignment PIN_H2 -to OUTPUT_C +set_location_assignment PIN_H1 -to OUTPUT_D +set_location_assignment PIN_F2 -to OUTPUT_E +set_location_assignment PIN_F1 -to OUTPUT_F +set_location_assignment PIN_E2 -to OUTPUT_G +set_location_assignment PIN_L22 -to reset +set_location_assignment PIN_L1 -to clk +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_pushcounter.vwf +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.vwf" \ No newline at end of file diff --git a/YL_pushcounter/YL_pushcounter.qws b/YL_pushcounter/YL_pushcounter.qws new file mode 100644 index 0000000000000000000000000000000000000000..15b693659bbb088f4e66f36fc1fd198e2efb2e64 GIT binary patch literal 6568 zcmeI0y>AmS7{;GVkjTh{7#J#qP=%DHNmGT7rJ^lUB_uuuB&4cME+uM9swCw@sB~vQ zObm#Dm6@&o0t+h>e+Y&0d!0K)Ih{&~5_&!2lYM^e_w3h?AHTM5VOn9hs4cCjt&Udp zOii`4iL^smRX$2*iSM+i8!G4lH9i_`^1Gyu)!>VQ?&t~SOVl*$L#6^`<h;AdJelvx&~594zVV4WBd)-Rna}<4Q-Pe^ZK=Vh$Yp&a232A3x0#F z&x-R1Jp_AmnqzgY=%wbte2zIN!`3ouHc$RKB~^0f2WrGT-e#8a3b|RH5^)XPI%yf* zIwgCFT-~ws_eYwR60w&bo-H z=lRfQHCo@x7~F89Y?*PxQ46`7c%>_{5_NyQNL_l ztpH^acKUG9IF~1`^Y}4bwc03G=g~C}lT5BU|8LlOpGX{)(#cih(9m4H0P(gU9SaKD z{ei8yPytvoHzTYc1C`yRN!eEcm7{W(-A3gaj<@WbuQ_0IyK~5;SSp(Xj#9adc9CVU z7Y?eQG)5x}n;3F%XwJd=L2`+OzQ!WhqMs>1tdlPCw#~J>#kPYly#v%A+3E*5kaBW5 zT&0b0X!?zTG?-%{;_ssJY4U&OV~0Hd+T`?8*`P_+UY%b5XFMhhK;=;$I}>(caul8% zH`!)589P3Ndz2HT|M9q))GO-b(5c8>E{?G&IdqQF=_ZxXlNc3No`wJI)P{{6c0Dcbw>9}m2{{K?$GXFU^y4Z#Imqn8^`VGsvK&=1( literal 0 HcmV?d00001 diff --git a/YL_pushcounter/YL_pushcounter.tdf b/YL_pushcounter/YL_pushcounter.tdf new file mode 100644 index 0000000..6292f61 --- /dev/null +++ b/YL_pushcounter/YL_pushcounter.tdf @@ -0,0 +1,35 @@ +SUBDESIGN pulsar +( + clk, reset, key : input; + o : output; +) +VARIABLE + ss: MACHINE OF BITS (o) WITH STATES ( + s0 = 0, + s1 = 0, + s2 = 1, + s3 = 0 + ); +BEGIN + ss.clk = clk; + ss.reset = reset; + + TABLE + % current current next % + ss, key => ss; + s0, 0 => s0; + s0, 1 => s1; + s1, 0 => s0; + s1, 1 => s2; + s2, 0 => s0; + s2, 1 => s3; + s3, 0 => s0; + s3, 1 => s3; + END TABLE; + +END; + + + + + \ No newline at end of file diff --git a/YL_pushcounter/YL_pushcounter.vwf b/YL_pushcounter/YL_pushcounter.vwf new file mode 100644 index 0000000..e5f5b69 --- /dev/null +++ b/YL_pushcounter/YL_pushcounter.vwf @@ -0,0 +1,499 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("key") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_A") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_B") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_C") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_D") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_E") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_F") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("OUTPUT_G") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("rco") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("value") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("key") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 60.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 80.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 420.0; + } +} + +TRANSITION_LIST("OUTPUT_A") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_B") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_C") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_D") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_E") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_F") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("OUTPUT_G") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("rco") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 700.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 280.0; + } +} + +TRANSITION_LIST("value[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("value[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "key"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "value"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7; +} + +DISPLAY_LINE +{ + CHANNEL = "value[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "value[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "value[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "value[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Unsigned; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_A"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_B"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_C"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 10; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_D"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 11; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_E"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 12; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_F"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 13; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "OUTPUT_G"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 14; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rco"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 15; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_pushcounter/db/YL_pushcounter.(0).cnf.cdb b/YL_pushcounter/db/YL_pushcounter.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..02bee1eba54db785df547777b56804400eaf246d GIT binary patch literal 1470 zcmV;v1wr}|000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CD400000002b<00000 z004ag00000006!P00000004La?3c-I6G0G$$7Txx0S|$=a3KT;;WX?=I3%YCMaCJT zC?-L60^;Py1thp2#7>6)>t&{=Jzio55K<~Cch6r-S66S9JkRre@%bc4eyN?7WnNH2 zy@vkg9K!cc`KJA$7Wf!HqT}Ljd&l&Vd)xr}8E4z>Y#G%&X&PN`M?1>)q~zVKm+ck? z&7x;JqpXQ~D|=m79K`mttRAf_KVDg0yKNjalKq5mLK-zV4qmo8d)exhCxwmDYVzuV z$sEkj>fq|E4i-uWBsHXQQ*cSVQ`k(o3U_C9aBo%z_h)tRU{(hY3kP9`P4zL)`yoa@ zzZ4_ow^l9Xu*0D7qLiAp7wgCJ_}l9XPmvKL?Jz<=lpRz*w5{vM{_Au?>_|V%#$f-j z^D}qRz6S3BJ;IUl&xuw^j#vnI&k z=rdWhCP@uOD1`^VTPvB53aquBuU@U$l zEbF@(VOc#ELS~h1w@qwuj7o0>Coe%3*p^04cF01zleM}al`LS@0)=!=>~uh%8c088 zAK?Ym#Db)Pu7f}oG_zQQKC4AH22j>~bJgaWJfmRJX$3aVWGWKa9M=eJek%e@A^n%t z4=+cH+Q7Ym$6T96-|=Vq-iBuMl$MdiC91VU7amK*OR9C&Qk2K}mrBZm>-Di2Lw{7C z`MN?jB@TV&qv8o38KXZ+7uo-=&*9a5$z0*F)Rdl5$WchgDocUA~n^4!Ayo8klZ->R$=*pRnp zwIPoi4{z;qvv8>w;E5-MCkK{I-!tFn#_Vkwm8)B&tg)kyE>BC?#~;_2_e+a!t~KyU zTE_eh00960cmd*LU}R`uU|^WDYjO;bVh{r2V0XWGKi3c+&)|@FSHF;;NRR{r6A*JT zSTHj%%usM<1c|5tabSo~yi=&3vxlooyq~{|tBtdZQ+%+yV~8u5tEFRTU|$N?fijIhqb(>2I3$k_woT26)zpt8h$ zd!7Lmf_;Wi4fP*L0_-y$1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y z2Fwf#fb_KRVrzaN%?rc`jh_BMF2tW~3<5y6L`=VW6c~VLE(e+K?*|NNMh*rIpzN#r zua<)JNdYm!Fp#SF0RJH5I01zqJHrGZsnYO5n*&IL9D$<8iIJC~11Pxhn#Xh?g{A-$ zWsIy089<30voE|*0n!3Mj4;6;=viN&2*ejG3=Tk6zk}s|B_NHe(i24`A2_BBZ>`P& YDr67_Vvw2eIEr_240dG%00030|C9QojsO4v literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.(0).cnf.hdb b/YL_pushcounter/db/YL_pushcounter.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c442d40c34ed2541620784102f23719a78783744 GIT binary patch literal 987 zcmV<110?(r000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KYr00000006=Q00000 z005!^00000007$q00000004Layj8ny6G0SRo-qQ6NEC_k5tbc4mnwdyLBc{wfl#yx z&O%U3VtH*8x|EcB3MHSAGN0hmWva+L%{kX|{uhU&n6Ph_*Moy;1zEu(ROn zhUX2>33tQRb=acG)zDzc1e+Qxn_x?W6%%Z0uxf&v8VpHfwyYJ~jrl`__LQ<)a4Ww9XHI>_LbxAQajsht~QAzEpJl*zm%hOFyH#}XZ{6Lno&xXDp@ocm6D!S%cYfZKn)UHQnc~|y0s3aJ zyfu+$FvtWM$$4|~4k3R4Jbe`PN6Ef?^Ws7F`QD2k00030|9AmZP)$lhF%X{CpSCNd zR4XDP3gSxe1oC{H<^_B&A&KByMMM|gz@_2|-02Bix$D9^*bBJw23|l4ev?wIfp2Cq z-9fy_VDuY8;;fhsi2%WvoX|mUM>wSCHbT` zeiiv9aFTgGkA-HJxxA>OH7-P54UGuW5X*Fc==jr4`tVY;^eQSI{0;5%g3AodlyB1o zBKPzly;BeP_kyDiTnd#=Cyo1l^n5GVnfiC3)4v<7w956XuU%2C0P?3EV0C(k?j@Vr J{Q&>~|Njvh%i;h4 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.(1).cnf.cdb b/YL_pushcounter/db/YL_pushcounter.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..13a7921c73f9a1ef91aefd1c7b37400d23ba124c GIT binary patch literal 2102 zcmV-62+8*m000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G<&000000065700000 z005i<00000001@!00000004La?3!DP9Yqkw&#t$4NAX<|@kJ3eU!cE7@L@gdgShNM z*!a5N$n!)oDX@y&PoKb5av(%!DSJ;K*=P*?1mx$VvSX?SGR zGW{We79PGpv6eGf1N0ca=Id@0zL)*lF8FQvy3K_6e^c!(Q{p8D2Z_!Iz|2K0kK4@!N#fJ0W;{aUoaF!b}mZ^h4bRq?Z2 z#b2s_Oiyq3PWN9$5Pno{@-8=nSPGkIKT;L^pRYa?p^i|Q5|^QAGY=<3h9Tpm^am)On&hlXNq{U zy5{gxSk}1dEy3#)zX)&oWh!8=yhp+*iCFR^7B`eD?HO@hg4azwKLODw`AMqv%Qg8n zE(QLo_8_HUqnPcq3A|KR_%;?U^|oZc61lDLbv(y++OL35_w_J`WqoNpckyRQ{BMQ+ zw-(e7{=A^3P|;>-X*_w$=ha4v!>n=v!0R;yENzy+^Fl8mZI*V?|Cn^!D>J7>Pv6SE zpL0m09;_j!n637ce~dFRsc+!50zTb=QwswxCeD(2ZU_srM56%evawFv4{tBq!ZUdkBu;*#_%ktEG6a^gN#^&$lrN zNSTjv#fAmq&|####%nLl_1aDi1Rykh6Oew-`SO&{aZUJXdRhuSLUM&tSJVaWKL7v#|NnRa z;%8uFXkcJqn6qng43J_F0^(qIzj!~_5FgLrka$z#C?070TqIMhENUl zA4mf1GhPM-AZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwMa3Pz zKu!_{VuVKj0HBjX{DXjk3=Gyl%n5eC)ANrkAQ34bMpYai;2(q>=A1wk%nS>FkYh!J``{TbOACIE%9C&U+l%;ZCnc5!oKWCP2qG`!GO0?P5D$OAp*#K_0c0TkSL z&0{){LQ?>WQASpV44}l0*%w|I18D&uMmWG97#6-j5s3F#7#x7Ceh16_wLltGr6*iv gCs2+boDd9etR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRYBB*$0Rj(@sX$N)rTw7d6^uQBr@0Op z2z=31dUW!>fV{cCrHB8&h7OO;k4c#ex76)=u=!lhe1m47$w;3nSBvZ^m6bqi(+TL7M2D7>oZB+@8^bQqPZ`ds1olqJrn8!m@G! zVWGGMwp&ix22Gjs?MLCmeRuOjH`K6QesZad^~Mt6qu=+mzq$Er<-~4DrZwT#kuyAx z-~9Ih=&k?%D;Rwy*n2rU3LI1Kyr?eb)zPHLaxv}$$Lr$F`3Jw3-HcRRA0?o>r*Wy6 zoP%}s!BgiB9g?2GUBRiZ&~$&z3e9~tpU%E-P|x-1f~cTvT>PBJ3mK0r^E|#T9ld7t|?^)GNfS~X2;bu(A$Rkim{KHFwm zF@6#{z4O_-ug?;B&zXg6_6$7#=>N5tuw7w6jz*yq%`2>T>=#Mr@H~0Hx9-I`uYKpP a-8{Z7AV__R?IF`QBFvq$)qk*oLID78H2i=7 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.(2).cnf.cdb b/YL_pushcounter/db/YL_pushcounter.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a8dc5f7fce2c14e4031d5dc309766dca2b0d1b46 GIT binary patch literal 1659 zcmV->288($000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D&q00000008j>00000 z005B!00000004Ui00000004La)R^0f9Yqv|d&X!I5>SwUNWcie>!>eK_$o422?*KV zW=UO!J?@GykC(_8Z(Z(DnJFhneOECXO}Pw*-8AvAg)P37jI0<%btfUQ6~| zQeR}r-lD=IFMHw@AOQk1egri?w4Ne<9(BnzzRC>OFPCO1@NwZ~eFx^S=>MmDcbyoc^*fdlbJ=Oed1kH0 z)7#_VwL1>U9qhn{ohxZ-g+NyY`4+{2#Z7{9g|1J{r~X<|2ZM3ckSIn&yNHF z{-)l6@kikK1ag)5pX7VY=a%;s@yGn{>+P!W(woq|)qmrWSsV6Wn6!rT+NpTHd*A9E zXv%$y)=0Z)Fo@IMZGo8yzWE&SRKno8~U{7b`oY-yJ6fx1EPoSR1Z6>`7) ztSzi|zb)$J*dFtD0^R7*&xgc{5A}*^*nJ9z(1KW@O z^mSC)V#6jZU7PvO$EbK$#fiOEN3R4B|O8C2Kbx{y2aUl3kwThKR!QLYEM(uF6BVKxo za=h+#v<$5%_ID&Kl8ZyW!kR<|yA65hMwJ?Kg#eEYLH;#--XJ?0C1?Cx;UX2vXSPT> zSgY2DZ;BVU;YXb5__;2; z`8>oHsCO{BPgbQmRU?P1d_f04^Z#5fKYyq-t~`ooxdsIZ#e#;fN`a>6IGW-!n)s%} z^F@~-D5_E4fjz&|Xj#nC+xj0EiV2=+aP&iO6lAm)vVL&TY#i`luzgqb*P4k)Tcu@> zXZh5=JKj_9RtE}K0aUezpl!U0+`uqyvH}a>I({eaMDtRnHy2E|BeY(QR zDBzc4mX!$A;5w>#C!93aMd$K)rBCwHmG;~?xOf+jZZsuERX88sjVqWQ0k2UB(;TxmegFUf F|NnbjDIWj; literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.(2).cnf.hdb b/YL_pushcounter/db/YL_pushcounter.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4fafef0c0e805bffc4dd14c2fc858297690a4306 GIT binary patch literal 519 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRt^t__1oBYY4N9{!LBuN#X zL+i}?nqxfYcn&;@=_|P6){`7NE1SRlt+Pvr@ztrXqDqCW_}_5dePXn0;W@pWS6s1o z{ALU7uieuWHaXLY>#nR!vi$mv7*ns?P4>3G=k8p1FiPCOty|Aj>AA2-@r%6O>!mD3 zx9zkD*1!Ak2hi33|5q?3r6;6hBqSt6+?z3zYl%clgT;-z`uC3CziD{nviyk;Jba9v z1_q3V#?0&!Y?QaFGN>pqA5qxKxX$sQL+H9X#g7LS9p}}(`{A?s?A_RlS2h`FFkJm3YPnf#Nf=khT$$!rCuzQ);;_mgj&bFu62_|Ymw8_(OwhQ&3W}5e{{gc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I^t00000001Qj00000 z001Kb00000004Cf00000004La0Nwy` zm|Yxr1^P8OAYOqJh#Mdc2*f5pY%>kRjs!?72X-j_&*i9zblI79R#!Iyx7@ZPe@FZg z4m-25YCfOO|5m+nHksW&Bfo3xDF4)8ER!6+g6I zE&NOQGo*hv{N1him-v_BX|YN3p@c8#->>}Nt$eGA|K-{@@t9ejpV`N6 zi<8LJ^NCf!svF2vtoh>odrLIq!I9rqOuX68R)2F;znT)1n-Y5XC!a%t+kPxA1#@#& zmGr~wm!1Gv7(HvQ$DAvctI&MEW+}d#5+E&bZ!cN`V6iGdH#*96@%6p20DY5Kt;(8G zuI@ytP##>%Yco_eVR&2VBS9}fRaDc%il}m~644JM-9f5c^o8-+A^!-@B&tyJ1xus3 zs@rw1_*}(DmSoVsUy`U=+UdLvXAVjR74Tls7r=v736PYotmUY$DOD_~4rh(D_KNxU zMf7j+OPFp5XV?C3_*WH64vO0NkQa8a5HscBU@^Qv9YEzKwu&Xi7lHA@RDAS#G=I?l ziOV<9ALTCy`=cA<&UNE&e6&vo3-}$U-{zGjfp6u*n*-^ij+QC>;XKCM45R;CqrY2? z{9EJW`PZzM&z~{Br>xgH{A>2FkAKbn-E{t(Z_Ee3UYqcjHB-J)N6VD`(waZwT;YWVwnFrK9r{yUBSw(iH)^UCwr+`$5WpFdiQ zUB7>|`rC^4{_=V}S9osY9Z7nPSNy~PIeP56^dJk*GA5%1Yj`hLzez4WOS^nRHC<7+ z-IGl`V~_AmkD!Jp&)_`Mr+A*XC0p^!^QqAfKBvDFw-Ua@htDWEf1}M6{`kAq=xV_lU$;Cbo#qUxa-S9JOOjORu$0iJW2_<8=G4=>@3 z59>>O&{x9qRe{HYP4RI}I^nuE68xC{Hyxh&hSv*r^o8R6{nFt%qp+Rw@&3N;@c7F$ z@Ls?1N1xYk`Jd`HyvTL$I{k`amQT#V5aSeY{`&Zr@bH04i4QtT`kAwcX87Nchp8TK zmv>EuCoX55Z(eTvQ~ivsj^SAo^48YUkN+`^~1USljG06FrFSCIj`W+ zVccu*=;yr+_We20+BUdo1tsBu;z7vu~F~Uc8*&ulV%&klY;bo6nQw@>i~VpJ&W#DcP^ME%?VgUpnJD zo$LENi!>AK0#OI)PqLXb6$N?fijIhqb(>2I3$k_woT6TsG zpt8h$d!7Lmf_;Wi4fP*L0_-y`1_dB%o2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra z6bw=Y2Fwf#fb_KRVry<7%?rc`jh_BME(1dp5VJ8%0Fo*VFSJ1+zz@U-WkBe=XfMLS}jt|3It8;(~8H9ltWF|Z$R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR=73BC0$wOx3Z?m&AmSB_H507)4jBlr z@^ZAS(0H+fSvQyO0PBhXq53CGQbkF52e0ojjNlULT52v=9X|Vn=F*fc&rW&UO_^9V zZ|}eM8jbJy`k#+`v6yB!aO)HtXl787Px7^kR%`vXtg}N~sOyN*z7E|>A}c4Z-gKcO zbY7OvQM=#O5B}?O9nI2O^E;R4wCIQ86Zu=Nysaz0F)!9)SMc_iYwDR982*^Fg9#nLkSNHCtLt21}2Pd<-fUzM5gXh!PPSy@e2GTXL&CRlRV=rDY zGS*|gkkGf@+YG!@EY9#h7mh>M51x}-x35n@ zHbK6ip|;>wK^m)v3rmM%)PqEpz_Ta#_+&RuDfdzc{Sy#6Pw&8m4<*c294cI8pg8*f F9{}Y3#r*&P literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.asm.qmsg b/YL_pushcounter/db/YL_pushcounter.asm.qmsg new file mode 100644 index 0000000..483ab56 --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.asm.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588563881462 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588563881463 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:44:41 2020 " "Processing started: Mon May 04 11:44:41 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588563881463 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1588563881463 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off YL_pushcounter -c YL_pushcounter " "Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_pushcounter -c YL_pushcounter" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1588563881463 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1588563882684 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1588563882744 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4558 " "Peak virtual memory: 4558 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588563883411 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:44:43 2020 " "Processing ended: Mon May 04 11:44:43 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588563883411 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588563883411 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588563883411 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1588563883411 ""} diff --git a/YL_pushcounter/db/YL_pushcounter.asm.rdb b/YL_pushcounter/db/YL_pushcounter.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..56907f098b00851d510e7bcb669aed9c3678526f GIT binary patch literal 1529 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IvC00000001Ec00000 z0027y00000008*~00000004La>{eTE8$}d$fO5YG5Fii|2MIwU;rJ3m(};&Uw$mn! zn>2PKm6vL~JDY5~7k6ehjr+p?28#L4&N|+8?1n^C^nsCPXU^F<-#Ih$&6ydG$K$_H zOQq6H)Y8&Y=^Zpptz|d}q+;D{S$Y$i=P>$nrv3r>_wo2QfP9Q0XCTx|!ceHuED+1r zP?uiA2Zn4szKQ?{#wy)}=TFe)JWFHz=t#c-?Y(BN%cJt;O9)G^<1=xehVZ}Qm0S^>Y~kP|17k*X3WW|)|V9)~#d zZ&kLZTJmYK|D zgh{mh^F5kg;yCc)B>|PG8%NX?BdRv2R@-PaHX3VGuhy$}_=ji?KpqX=&|MKqtNsX0 zF$k;w98EtSmIHYr1L{P^H=}=G_7=>xMCb=uc6|?WYvcrRBx!rwLh~3)V=I4vrn6sf z)vH^L^+xOZbd+VemET6wv+2_+ctUOIi6k&|D1s!L5C@JEK^iIEkQ0YPKM;IatQ8Y@ z&-3O8ccAM;0@n-;g%Ux4c~8%sh+OLXp}dz!ZMcUtPR&RJqi0f)n<$pgdE-;GmwC?j zzn=PH8{rsIau_3E!vV~Rt`PS(7%<5)sZ#|KAm0ppqm7ax%qWs~neQ{HTJXzeOouRb zFZ9WDYX!0Mm~@;dq#!R=@Ev*nQG#s8Fm9TgZ18+-Z*!u-5}ns@Fs0Ius20*w@<}2i zXGF0_=2*S}nAuaSQup?+3{fhb$lq_{S)5>NE+@GdytWm@Aq0dxRmSiNoXb} zrUdyE0Zf)8zi|0JCw(T`;x)*R&i6lqWozNeUCZJ?gHn{fgJzy3i8+B6C{qv`fH~t& zUks8!DC)~olipagylRZMLR;A2zEDTfQ2w|UkP1RQ4|^b<(xxBboizbfgR6k<=0R`E zQ{hU-4@E%BOs}AfC_`aZXfM6bCccj4DK5B`l%$uM1JgY3B@e3&rxfA3d~Prfh53^u z*j#rR+C?Dg{Y$Q|q%+M*%#Qm*d{FZJZy_JZKnms=8l`Cn;+&K@}Q13%z<;i+$qsTl+`P4(1m z+@V7}Opq8Z$VR^a_>7G`seEkiAyxjVET*`0Q^x~(Pf`2Fv zP+!&?GS?uF+gxj} z->|xO(X@`G^H?V#S=IY!ro%Gj3wq6XqlMkWRgpb}#rw`h?2V8*!l f`?$CU!Cc3|zyXw;bn_?=PzgM2pauW{00960|FOh! literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.asm_labs.ddb b/YL_pushcounter/db/YL_pushcounter.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..54308bdf6df417aa4e51fc88f2755fc354463309 GIT binary patch literal 7111 zcmeHMX;hPE7S6&dlp-jbM508|p+X>}h=C9V5fp`rqGDx@#lQ@xL6#bJWf3EwZ6&xw z!G;k;)S?t+5yS$L5K;teKxhR5iV@itS?2xN^5Gmhbmq*Q`O%Ye_)ZRo``-87=RVJK zKb9g8h-?KU_(=z!E#T>V_&A;B?}s%a7~lh{z}Cf6O6I=&kc=?4UO?e1gz8H z9mwwYqFFuLA~$}!nXzH zuHw3$E^$>4jll>Wdq}#P^N`U?`djf;OGEZV3oe8=I&RK8o!|SN96{=;UhwJl_Iz&r z*QM9O(hhS`)^>Xz>J^+`&pj1iZ|nOFWq-*v;yrZcQ&CE-R~_`*$At#Qe1imhcOvE; zTQ9c6U?i~EaRN0ca=<(9YG!<|j={c-Xrn99$4rw95iuRfjA{>2&XD`yMx+AaQhg z`C!YZ7wae94>QA0j#PP zIoC2?`J(+pc%zVAc%mV!zy9uUmRA*9TUgay;5TlW*fL!I@-DAM=YqdwQA=3Aez}3P zD24n|tFSN4;(?{*Ujipv1K-kzc!5JNTMNU?AAE>bzc^U3&)`$>P-C7!aZhvCqruVP zw2R%L9m6CGMmxLNqNua6+`nM5I&1JvklTYQc2Q8vXwJ&wzNbU)go%lk;~fp#xQvnE zv{s)L`Fe>*Xr5IEAjist_^ultkAN8pC7!-1ou*tP_RmWCdoPPjSGp|R5Xu!#wW zEc}`w2xmV1U|1{^c4ICSlZ)ymN8a3RwIny?4JCHJ>wR~qt2o51_=?rw`dfm#+fI&j zw*&`od0!ITP&D%9U9YL(yT*3e@>hoE+$Kj~UE%+#w?c=0vt_(?Y?-L%B{$JQfzCL< zcKgsn3DWfcFdWlT#LXFhuQ9G!bIK@|ug+Z>?|&}b;NU$T44cWThq+Cwp^feyNG}>vT9F?v9G5FN@n$&$_ax23+=Q zrP8M;D)J!@)#jkbG*4$90_Y=%qAF@yQ*Q811X+VE!ITd z1@e#Ie)ggSZ(32)wVE!(S)7#ZuWgavs2qtq=@Nlhk6rqe9AYPv0c|thGiV5YPR@xc_0r z<%fEqYuD^u%6_jVGSs#Y-(=Q{8eWODi=hdTuv2`5K~0(Uo$A$EOx-6wN|_2t`y)Q1 zs9_Zx@43r9X~J58YtxwbQk`}$?~(6j>!eHssC?stwR1eegeUuk5TsbocOd2=BGF52 z%?TC+|9-Ca`gh?Z`QsY4_Vn5@n_5PJkuyE%3B@z@l8BR!fgSLA{H_=`oht{gx^}{C z-uQg6zS25fG{#J8HHw&BV7Z61<8O~5casF zwsxWzoFv7uydrfYqO3v@Nm*ihYQ249xYlI5NG`TPe^**D*5^cWj+KbSq61xT%~|%BX$lSCWR` zTwa$u)o_20B?J#oS*k2VyVJE1&jaba=uh46dnAlaUW(rR3C@|Gm0#E7b5(+Mm-qK= zzS*;tQF<_?)IOz?aFHQ$?^v#lPo|vTxNI#nIJ1Q&UBh(c@Sl5&6b0UI2&w=Fl$$at zQ}O(W4w8y)7~)usbzO0N7`y@G1-FKv{)} zv6vT>x|@V0UAw$0kP=9dKeb%(+8%T~NY{^;m#QZjAvH$!QVB+&UMvr2)lD z!?}ZXS~TYIm?O+w8(65Y^tvX!O*TiOv}IIkn@oxYCOZCSffU6?mYJCHcG6GwQA?C! z@;Ar0s|C3byN zi3HQB4grd(Q$)+xWO*6#?PsKGP(<2!6RLl;GBi36bpakJn;MyeybIDK4Uvo{WT6y_ z>f_F5#yJElIHky|hKkOVEZOAG1WZ1wkIOim(DG~_FfL|Rxi&88uu#PUpRn)(!o-T% zIq^5)m9J>sDqG>tVA%F^tR58&ISKEl_Hli0*1l3a*AjvkI!jWB0K9Eij%9JXU)*6e)GJ5kjKb%6*;>fenZ&02S?u0>I#q-&oub>dRzJ$t@(^$2vn7)GmV&ZpkiRDL~UWrPK0|M}ump;<3bu zeUlG!2KUoa110SLHsWoB#QR{B7gEOC8n-_GtKd@CfL2U-hTbI{cTEa9jjRfJJj#4( z;2f%jRpw2XIAYzL54nrJhu)68<&FS_>Fo`qz^Paq5qPVZ?8IgfrW!S63zMYR!3NOg z0L;<|v^E?_Kjzh@iuqLy4Y(4p3NW&>`jv|o-kpGKMzwON2m)%Z4G>+sSB~@8A96h~ z_Ses(Wz3;v4q1TxDytfi3r0Uv;`2mp#9DT75QlVcngHXiJWvZ{4Ez%eh(L4y6{ht! z&SBcFFaF$Sh^uw`%yj8*+gb9ZO15XgdeO|tSbrbX|C#2T#agVSZ|=xmx;S@W>cZ??98}_SJ{GRC+uoo6CxMI-VmGo zt`PXNjsh#39|Qo+7}H*GzcEz&rmDH&zoOEjx#48d+d;1aP${mF!JD zS7=Vy`~n%DOrr`fb(?$-Id3zoSs7idq=VIwh+3387_-UBcL+FJBQ?#S|MZ$+5N@c% zkJK@edI$UF9zUcKFls+14$ym>1r5)?)EQvb&vqg~)+({h-V15he_w5fWD86ix`>L2 z4i2B&rR>1VT8FNEZ$UX9F@LY$`G{iyqwj`Bq-0T@Q$Wz;w)WqB$pF0zS>OfL^v+9k zxo6Y*6WFO9Z;*k^dlVYBw85<~_fPZwr+HuC#nYeWeE}={-=~vFSp;HoG8pOU=}t{@ zcX!|4b395d$x~U%v)sKUSZ7;CupU1t*ul~?JZU3ZRYM<9IjpG_LOBzu9+&)$OmvyX OtzR@WpntASPW}V)Mo@ + + + + diff --git a/YL_pushcounter/db/YL_pushcounter.cmp.bpm b/YL_pushcounter/db/YL_pushcounter.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..c7b176d861d0fdb9700327f8eced8883d80a363c GIT binary patch literal 683 zcmV;c0#yAG000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KPp00000001un00000 z005c*000000065300000004La>{HE3!$1(OKPaduK7c-g+q9|Lf`YacghD;&!Ba?^ zZ5vFpA=woAd_IdW;7J6HGcmJGla?NofZ)KF+4=JA?95~nMNxEADwRIL7mal|_XEyF zFj(IJ))Ih=*W^JGJp(Yl#0MC~xCiaGJl2Jl&9{ut>XyZ;_OE)sEbmYG4d`_TP&+g3 zu3EQUqr6YdDKR+zSW`>)Adq*K|o98)0%JBYJabBvy4bXC(^Qj=aM4V|T{ zJnvL@nKau}p~_4eUG=+)1`XY2C_nGbXeLm){G#$h0vA!64w!Vn!<&ZxHj7;A$dXDHnHOx>7W5`|Zn-Wg6-9S!2QD7@r!O*A<26YYVMO*;Tw!WR3 zbrQzz0G`-42D<>6LX?=Hr3 z2Ab6(%0NEOuxN0vkS+ZSE8!yXzwpnDXYR@*LHe)HeO@H40W(n|`2qj{|NnRaVrF1u zn83impprT*3`j931F=(pZ@iO#sGo~tP-J|7e^5xgucM!%yK7Lqi(`nRlVh+eNF@Uk z5HmA;0FwWM7q141@BuMMbAV$|h-ZkWzaL1Dffb0E7#x7)2JQ#EK#D;Uh(YQ+JY8H| R{ZQ=!$up<{F#rGn|Nq@0BDnwn literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.cmp.cdb b/YL_pushcounter/db/YL_pushcounter.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..4b8563c02c645bfcc51be83e8546a287ee3c8d64 GIT binary patch literal 7807 zcmeHM*Ebx1vnE0!T7)1(?>%}a(fbm;*CmS(iRf0;=yi2gFJTdFMcp8}wN|eoSiKWv zwVU6)f5d(Ho$s9a9;Q5eGjrx)$Z>FRs)=y_*-!tV_>YZ&A3uRS+?j+#1YQXUF)4lq zxj8ZkiwHBl5*HK_78HIZB*J6>{OApG05a*>Ik++jF&StwnE>sXR2)HG|1t-D{HGia z4j?xEgmV~zIV?PSIo;DYK*DFeYQy~1DLc@>>-^{7c@9Mtw zdU2-qFkm_06d5=ZF<}(ccDOxJj*?p1Tn;+CIFO(rCitW?0YhN~xtU=GrDkO@rA;g_ zeX#n~8_fRX(QAQ26Hwr%y6Z)8=V8ASsno*}>4>yntrIt_22K#mfy^c+hdnmO2x|*9L&u}E|nMi&X$qVRX0X)WM!F8 z8WIp@2S0C?TB7bSHwxVZCT58!e_RalHqGINz3e=?cO4x}4b+;LX1pmVJ6`ZDZLhA@ z$B6oET_{Af&qK|y3$w&+nRkF92i?!X4Yj$5U7{d#?fFH6cIMFh@?x;Wg$bHtcl?>5 zS(GZBgG7i{&PLMxartTI#iz2T``ye@9B9vTk{7gEVS>V#x;2j$bqF=9NGdO7@hWfR^B|Kzl7bA zNxxg(GSW|YdzU19@!`dimXz|~?F#tl^O(&`8mbo5^=XSku0w9Wg~acdv90o+un+&R zr6BM!7JJd+koON(5(oeF{8xkj&cXlVE{s>YKER|8DbNuUI3DaO$NesbOL@A}(Ebp@tBJ%_sfCVfvNphKbE8vk8enQdHh2FB>7TiSBo5Usdtt`QKFe(B7k z^2C{e#AITz5;i(!|15ac{K_w*B*5!N#XW;ye+wZgP)K5)Mq54HUTUmP`|*>>1+DY& z_Zhso*4CLIioVcV5IlOO%ugLEWtKb6WF9FoNeTU=dh%4n*#9T2Wvw<9hwgI+){Kt7 zDZ*t&U8Y2r?p4M!!$EF#zo{S+1QDee6zm`3n4_k#02dJFW7m$s$sKjKLDaEY zATprFuM8oK6tT%t@p0Pv7<=6K=Z1<=DV`H ztk8hX4P34vzY?{qBeFG8g5m7^e&{2HwE05|#^IlTdub2d{2pqkE!Wi)1Edtck&$CF zxi+e#6yFC`4^lZQB$&SWD!Rbkni_vm)vwVqLu4qdR6FE}WV?AQ>hI2hU8t|=zxNe= z_CjZHtW0F**EN(pL#y#lT=QutDEm#tgRJdDq8n$T z=R_#~O+dm3ud0ah6U*1k#@ww4cC|YfbRpWhCQ}5U&KsJ{{tDi6D)4uTR0bj4&&9hT zyVPKx0lv*RnT5HSSE<@HvPPi%n=dt2gVFz&5AH_B34WGq*{Ch+*n2^Qx!NUia8~O z$E(oWO{_@4%Mp1m(ZlEd*)}amMF$E$YbG#=y zmfZ4p-i$BYCGyYLn%tM~bh`CF*}V^6v~m6_zGU85JFxE``xJxP@qltrY$V9^3r%v* z)k^^8s7TL(}Iooz0K`ncLg{ES!FJ zrW*TMnW1ZkdOtB_#-E*+DET#8B4LsKz$;z5i30+M(aXN_^>Vw^kWcjBjkV_4u75T5 zMfCZfP>~tV#NDz<<#GG#&LRuinY)d}sD0oy`Odu;MlDEE$j#qIzDUEv(R&D0kO&j~ zuI{^bkxEci<$a17S~6(kgHu*4jQklslRv208!r9M9uGHx13i!$+d2)v+nq*X6O;XM z33bee8+?%X?%btT)3K{HTonoCaFn~5+lKXGNu}R5+ zP(oi*uynHrKQ8ckdK_qdH5b4UdH61n*i~dK}SsjkoK?M@nPO}#`7~gU#Hj6hl-d(WwY(~ zNLND%Fo(dqP zx{YoHy#1r?rMgZ6xx1rRCRA0>YE)X26CF2Eo>PNNr1`}24^sV(d;Gj-AuaV$>bk=7 zya^N?uQWWLDO))ut%kgJ!sE4Y3u?y@Gmlh4~X zVhcdNPd_B>JczH+V^rB76CDjZQ_+_^t~>Yr+6yJ3H~gg)%<8XL%=QOZBxl`-(Cbt< z;gq%xs(;rmSBi)qkYz}F$DERbimlJ%nt$04F3JLLD3WK9KD^a_!0SSd{w@c~RQ+|% z8GWvc@hYQtDJrCihk12KzInC7-8UZPyX_-PAF!u={1JUIa^~|(SflTp62);vpzp?z z{CjJOOXG&wcs1hl6a1`NkcF?-tY~dU_=NC#BfbgKuhC%3VWLf80i;6oUAi(^^tpik z=(C7Sbtw3iro7?VE`{?R=rYE!@ygQ8q0vFvuBO(#Wk#8hGX`!^MvjUkgayM01kYo= zN<@(wMIEnBDdNS$02Fzg1itb{V;9eEFO^N`cxiW`fwIAw0DBqm8{7gTk@NpUcTV1rzmW_;`yJJ9iRb@2Y zq!gUFqt(>fjVamsH+<)0txN4_#@*h(X2OCA2nwpFy%;@f z?mhWEaLFTGw@k`e=sA^>R3_CQOV<4S{O4XzqkP ztzQJFhP1LR8OLJPLi$65!LU0@brWQqdu@H)Se6~3af|J^hfW~ z^E=0gd3)Qx`n!?srSt&Kmj4FO8bTAt6I$;=gpEHrY!|Y;+Uk=^KP9`S14KvG z#iflQ&+wKbi&_09c}KKoOcW*AvHO{4ggOm*To(!IJ)LGH%)A-~fdocr$$eR#6$`!~ zPg1PUP2{3SnLYQ?mj?|5Do>*Droyb8)2DvU zdrV}DZErQ2O2j>OE!C}YQ`chxdP|t98;q_-CM58c$}i!ZeTHPd{z>w=l3AqbzPSXf z>dAs8;@2c3wL0y_wF&x0n02FI3I_!6)v6h z+x7Ik6MIVI=+pCy0+o(-^sGiWAG`l(3a&n))v}sc>rS!^1@dB@G!9kw?nBXM=aQd@G(wee@)kF z)am4j@jS|42nLcJyP}n+wZ$2|BzXQplh4S)RAk2lB}cHhZrsN_QyYDn=BA9rpB|)v zU+T%1=i}5&=tv2&)Q+{oj0qjUlXQL_QU`Wk&up+3uLJSqR{WwVRj4yaOc?OC#8my# zXLk5rmR|NW^~EXB8#cevxbh5?kryKz-#zWJTAoqij&+aiLy-O&?eApDQJd z%>@;~qT$e~34-1D&YUUCuH=loeMwIf^5^f&^y#5ZGDP`m=AN_Cg9mdZwoD9V{K}$) zD4l0Bl)gA9JlXVM{G152DMO$#f2@WpgFHy0`vR#{z=0vV9CF0y zfOxkXzMtICI}xCYlQ1MCvy9F&Yghd{)Iw~Oys7vwZe5M*?xBVQXFaIFW3BEJHdNx8 z%nN>q-kq?h$v=HRdk#_Ph;Si<0{)zv89@+VRZ7F>>6)omKi|dq%-WwTMm7(Y%7a4- zcbYYhU2BEp&Czl4c~K%<{cOGBgaU5uiFaC+N9Z|%eCiR((+OWjA(A$pQ~Y^i`EJ37 zUkLLlAFf?`kJYxT!;0Ia2)NmYj$CFzknJIdp9F3#9GM?ePF$WeT7-I(g7-8PuXt;t zTGcQUwQ>e@3><}Lz8kGXk1J~7t6s@=|r_bdq2HmVQ9BCBWz%v@vi{aBPqHODEl{B61p z3qvKfvdm;3{3lpS#i?t~@eSq8h@)u4iMQQ?)~I4xOW2{OCt{w!S$9Pu3$vX(Z_opH=Ei~EI1T(S5Tr%M%LStcah1bkSR zG%OnV$vX?dor_9ZN<_h(!?Sx`$cX5klTHltH=zoE7ynp?CT_^Rbd!*U;q_3bX z+O;$DDqW)tx}>% zNFk86R5Q*ZS-Kg3Jl{!#{~3Z2Gt{86nS~kF-N_N+cfXr1a-}<71W2n8j}H4)EcLWh*4kP^tx5 zga-52-#Y>7dt+}aa@jC)1*R4Et7L&5%+ zWW;*c0O8eEMTJ*==QZ=e{b;G(as?Q`GPns$mC+=7>(iBd+2rYZJ35T5BmMdA=$VR( zpY1EGkO!do z^Hm{1PyBa)*ppd@li5U?jKkTL7S@d6oHxa@t{25Uj$ho@W)>Bw6IXe*Ivx6fbAr$4 zfV8Aj=TJ@Ok$<9T=QGVCC)e38qU@hmtB)YZs z7w(g5){uVu;FDCxJ=4=5nbVMR&*3SzkDas{WdfWp zcJ@Z3MXC;ztU}WmDj(&aFcXGyk^DLm3S|g?%@{+u!(4b&SMoSCieZ;I$~KItIBis_ zZ4KKn`74@2z1F#SPRz2+ z4OIC~+DJ-wxs9i<^yZtYvUc|nNx!DL{Q8IC$6IfSlp~-?c%fvP{CVbsg$SvKN;}@XcO`3H!HNi)gEj^x>_CG^=)Lb|5F9 zv^EW=OiDr5q=l5Vlv|ANfpvKqU7suN_ARx!Sn(SmCo;hQe2Lh534>Z4Ur7*fdj!cU zPfqLFAhOX|Yu0*H%4C) zgNS>GJ%MC8D>v6Rn`5m4GX)<+yZ%}lrR}|9IsjK^OA=NM;}`;+cD~BM44>xZ36dFe zO4!%GWbV@c0ddg!R8H z$?(7I1$%DrA%j#Z^EZMdMu%$0BWy<+^>k)t{YRn9%&^3c49W=nfI~$%IhtujEWu9* zTgbXv-{#$K=M7d29HDAgqN4q%E6WD%J*zSrpRo?UIVd(>>TN9>_-h2dLa;5xwHE@l zwR?W)8fkiJYu^JmTJ1NqfEWvgtFsO)j;p*4KGwkfr-{Tr+6CsQwhU|ICjcO7{p*)z zZR7V4+5x7!$;BUJQC`OJ40`}8VnIyew)6oThzZ%RZ|CPR_S!&n9LlPnvDNv0xb4u0 z(S@xbLQ(?yDzP}kt^(ts(H0!|eZeS>!JRff@kT5ryd>is*JKygvJh*}aNgX0J+=kH z#vHASSbw+8Wv(tt9P3Kddr`~V{s$*-r;F7&M8;AO%h+~PFtxc|)M$S;mJ-J&IGqd` zm>g)?nbH(EL@Uah%99odRc65&64Ep$J(!hR1{&n}{){oXJTFuRObdO0hV<=(e|5-Z zlzQTr%MiJZhcsEBIyfBTp@4L_2Cos;HC?t3+Wzf{l6}LARjg%$9cpJ zqQT;2qG9HB2N-XShYW`h)nd^V-?$V=+iW2jaH**FK3iaXEXS^8JL>H z)%H_hn+3-)mzsAO`Vf31Ix)!*rDx{eMTp2kkXtL3rN&VxLUz)*MZH0PLJ^O zXT}y!#q>-Gde?^M0exLzKXXYgANtqd^X`AuNl65e!X$79DH}yZF?MGiuZPQI3>D540(aeqgrN9q*h9DSP8`|VC!(p z&`S{PtkK&Q87;@rB@CAcb(G8-oCUt(t~P5vN4}&28MU_0c_9TkdI@MftLK{`=1ZlE z0}Bd0t&z<^Vq@1G2A+Q;HtHu6{5S1*em!|x4CTu3B2T*sY(6SHQTM!Vnyn!(oaNN$ zv8>n!L|jh0l1x7`bp0gXN-*T+93c zJV<;>af>gRcz(O>-EXKuwtD=y09sjGJn=rfpUpYBWxTaLzg;pc?)I}L!}IiIi7Hvd z$uI5Gb@CygwQ7Q*jU z(yr2dMpL;}H#C1k5)}py=YyzH(o+mx{kLBI56V9NQD7K0JC5U{l5&^GPy6_%=F48X zX2jUOm2P0`!UNVeMnWN4>Yv0&>@V74sNMu^P`qOr>W8A>79wYrs^S1Lt6)6vb5X>| z_NX?tQ$ez?NStmlj!-pku6heQcMR6vH7$t|dbh5GIc{&6xL?f|NYHzY({6VN$x7plo8U+&kT-mn_Z4xzc{a#hCdE!UVXgP=w!cp(+Qr&H9{yP59 z4;^<}>vA!u01?2Sjxtl^3aNTq>bZJ*TPbvORh7rL5o|Lliyk2Hi40k8EG=y;w9rk7 zWyu$=@Z29txQ4i?KS!l)Q!f)K8~)nNijU%9Y?t2-v$uBfvj+-CWVOGr;T$RM&FRd= z_BVO}U*U8vr|gG8puEB-%09g8yUF9~YAThdB}aJ`a)8_$PXT$V!OvV-HaA}MwimTF zwczhsD>^GNc14mdub=R4inIA9$7IBXb2de&)K&T!ZdZ_*iqEmU$beUexyCs>dYPRz z_?N)vQSK`zlBk(7sw&<`KapPCT8XBTJU8#e9om)$lQQ6IeQ5{Mj-xW1b!PWl4qfIa zG7v25%V;>C_F+sxj5atx4KhbHLfNLCuAIKwhS z+&f-$9s_x_{Q+${yhVYdmjA<&ZoLPzd6i%fu7#SYoK7ySfqmY)ce2geNwxWN3DNsLws zogC+&!z%y6`?qG)gN<_sF~x^oE)3Z@@e!l4olPaehcL1S^AW4E;<$D-|v z!(;T{j-jV$_q(t*1(vKL1V1H>@8IXEa2iBl2&ySL!{%B213VHjlcqLPW{~X1VOrZ0 zT4JMlCN!5>hoF^4?^Zs@&EYF`A#2?mQ336a^E+2xS?*LT@j95Zh)I=Ti&C^pU@FT$ zQSJ{H!c>(IA8`VIg}5!;@rpdx2Yp;f8el z-c{<q$Y`c`?AB&f7O#G1Yy9`1!nbt@hTdd< zb?_NS7|C?HG1e=vd>>o)_QyD7qRBbi`r{8t<)5F1r0#NJ%6>h7M7q$YycIWlS1IX8 z>%>9vMmi8j^dy;Wp#tWUG*bb**C*`)D}q+pqS%x_wm=y$cJllOdwp9K3_(Mf3{;HD zvj%TGV?`}9MC@~QecmF3#+__Q7+k>T34)3S>Q1_C%}3rylNRLDJaUaZ;L`xlIv*$PiOdPX7u=A#wyfYbRfHl{0-;W zeZ=APpRJoRBg&`$mXsdUl-_Q7sTLyx%?*&anAnhMi7O=;+n_h(tF4(>M5Sq?{#Ux% z6*+XuI1PcmWL~8ijEHL-CqA9u-PKbIO{;eeOH*z%u47UH4xd(+SMn7|&o9r18j=CC z<}w1P1*}Aq!0JzNM1-JIn+pD@tlZn0gfl*LrW#UN7ZA&Z{dZ^bNsSxn(;SoFvf&B?3mMP4G`7j=7l zdt!%bf*QgaJA5!17)$^rC2*)FsUfVj(eL&$u24NIx`$pqYsnC(+Z?XR1j3^vU4uAds&w_H#=At)LH_tSlbJq_pQ~Jr+7u;(wj`}**Lxa6h*Jp{HPeu zM*ZHj)I-?&TfL!?rEj-3WL<{Py?N64)g{#`QikXLEC77&n- zx4U~4UrYFH2kHIWSnZ0`*cQtTGF3KJpcG@>L<6kDm;*UX)s9Eot2+qGqZ)*Xkiv9PzyN#2p=8+x65pgYnnUt8!Jqd#*Kf&eBYMlq&QGBc7DV%(7)+P(bw0t0U z4}PclNeAW1!3oQv4W=H^QL0^Wxw5W%D|hyXj#lR+{(oneUAp;+Xy)mz(CNDCUY9Ls z>9!u(j0t9dN9Tbp?piPAkN4&3yq@Nd+LhTScO`->t+T)Gf>V6gtJ|k4r>@sD{BuWK zD?82qOjl0XhF5no<}Fq||AA{RjXqqmnVpeSga}L9n&kg#Z`y2q?{xPaQPVP_J6$jf z=zXBB>a$u)km4b7Zz@#4g%mkh?)z|?nsR$twmbvJH?X}d9~sZGSgIVjUy`UDnU`lk z7rKH+Nf!bk%(}gK3huhSv!{!??yeKP;^}`~z0!;t*Y5(C1t+&7eRVDa!l&|z?gI=T z2R}|75$4O^24>m0=|enj&yL92Qe3|n5*qsNEtFGBnpsYYaCf!y%1@bU9p$$jJMNn? z^sx+ttDcTP?e*_Z)hhBWA4xl}-*#g&$DAkPs#1pyPcpgkeEg48X=9nIAQsCOxBK9q zYlp9bdL4O;Hf^+L^GhBx3nEnx^iRJ0E++bFGAciDK9C3zwtQc{y*^!u=rZrKSXzA< z?gSqTzaRIet|OP(J_8>(qK`Drx`hK=Dlc?j|Cv_`PZiya5=m<|vM8o9M_Xwb%~nn= zjNGivfXyt^L_GiUL#%4RY+s+BIKXeyMgQVq+xMRc=w4XucVGo8=aK&6!09y+vS~Vw zemZ#!zp%6ucrvOy$^a6j%ZY3~rTaW9uLOSTf&Quwq=6{Rzf}oiofl2GyWIRWitH=- z1zb^hOFp&I>+AU4x7Hfi`C@eXmVC}~dV3ow_-3->db=~`=jWFbf4g{LwD9ntR+nEJ zcqH)ZHkSVA@UFjNbeivK5GW@?_eS+xuE%mhyR7ikxB7Q+S!JQGB7gg}4=MtFJ@oA{ ze|I~hepgI?2QK!lJ}F$6>-F`#wtc$1dpD2159oVC!6efM6Ct}t4|IjSAihW=Cg(~D z`Ep}N!fltcA_{&&32+ag6Q$zpnS&2Q2@nNMC=WtNw9cdg@lw)ep=Cr^*Y zcuaFt!A$*9*pVTVa&Y^&!365x`W+$Ql1wB+8St6rkG^?0CHAUHWZ`AyG7MFrPl$`( z6l=g&1cxbuctsidfo8(84ha%8S3Wz?u(Hk|qd=37vJYVDvI4+WCrxH*NIx%=RN&Cp z!ti43VA7>D`s@iVOb@E0FcE0Tg;{U43}Qq9&grO@UBFx!dwl=8>kF3gSEpzy9+#3* zC?+_JG(lPp0W)4hez4^3tzO8n>tcf zQJv(oLN}oP2ic|B$B%HNStox;Mg7r7E%L}X%v@e$9|0X=7z6@~!y}qk1I$d^_#)$D z=97LU{mch4!UjP)_$;zIM1J)eXR0`~yH;HpaAm@w|zVQ zWGr80S(QGYU#25aDLFS-jiO$qQ)qmYjf=vgDvgMUkBUH8{0oa;9C6DXpBgZR-{n{Z7UgG_Q7^5pIM2ssrgY?J6hfvNN zEAyx&)BJwVtYo!^wnff8!_psOAsXi*>Y{6%? zeef*d{;Y5Anc!1CE;)X~dd-2#^(ryc?0i}E^7bQt!Rn*exS`4>4vp-mqt{_cP17({ zmT#Fpo02qT&V7EuKZR;<_Z#7sak6H0g25;De;A&$7cFS^44fs48gTr7%hwx!tUkE! z%?t?{h8h(WF8xa5xP3??n}~|vJA6k_YzaLS7MDpdP`|(w2nmD{r`5JVU;lS?&I(o5pKvMQ=G9rV%=Gi*} zN{7KITBjT7&6wGZjCzN`VLX#SQkhg&#V!C}#G|B@2^iU0eZuPlZzGn|{Y$zTyUo;9h~suFWzgV(vR z{HB3C_wCC+70Q|!J0=0SucENbgqpdYdH$p`{f0~D{P)Vjzs_?+=6bV>oU2{Zy-94k zcj47ziU9NYnXr_Q@Q=wKO@VJ-)kDXy>KEvZEmqNLe?M}-l~;!QX{8^Xm|!;uR#^9hT9uTN)0JK88c=r2~p=xN^oql z*?}hInan6MjSOhY?x%`kQqm^PiaqZ@&S!JJh0@cN=iRRFIdr547bYg@(xh+zW zIXSai&wTJlwT-e^gE9+%QuI!WcDmI|q>t9>?;sA0D!h20Z&zB)or9MfJ8O=heXqIo z#p+X{nx39ccuqr5>pDfk>!!+WkW=36`Q0@r7N;tFl)E;cm|&&lkALWoLTus7dQmj3 z^ofKi*a?rrSoY-0$%M;jmp28;7g5O<9<09|0qGkzKhAhXuE!qVVS@rAN}8(5i@`lh zo6GZCKev}wEXnN1kbht$1SXP0V3IBlt%3_q=NCh)f}RY5zKbOe`N{gO=pva=`SJq5 zM~Lw52&{sczRK+M#AE33^1byj${r<{U_*^B3sSB!6A8tz1A$|c`#SkD`Z&c+`Y0$Y zU)MY3x0L+L@6)pQ_2fzHbL~l9NlJTvFpt&m zQvga1eVgKK98PVxpfZhk@I&|Cyu9av9~|SB2$9oa#w(_*2isthev`}`)rdlm-D^h$ zW;gsA2$Y`gqB0#CS0ldzZ~7OoyRe7@DYzkJlpcj$S(2mR;hF~*z1?B+%UjeUrwF>c{7gV>ej zE#RIS9T6@B0KaeflD)*cPtv8G>Di%A}*PHY$^c*bv``&3zGg!m-no|h573G7in=woHs5BS zeJ36>{)_w97AE)Um@8%A$X>XJI|?RN*%1%>5((}d$8$Cd{>~_w{C+ZlOb6ib^~4!< znDr5W)~i3DZO~NlmXW}oCBTb$j1_dey=o9PaPkfCKwhkzhrO;Bn(A8DbLFW?>JoZbfn57_7Rd-B~YNU;hpa&rIG2&+GQ*wCMVS*cf z)xU56Ueeat6^TQ)e%?lthsu|v!fW^EMtIjySzcN_65r6ddXp2Udj&K)>1yRy_Q8U&Vu-T%ZT%SqB@(wbc>?VLxNJz;wQ*8ed0KwrAc377$)Bk z`>Zu;uC5NnUs~$eqhN7w{K^>_;D-mxLFkX4`qb%@jXp#N}R10Zh zp!PB=ML5!szoNk+-tq3PNyfN5vk~|JZ)jAtyvE=<;WTv8=;}Jjx?Yr{0K$en(v)XS zP^Xg^H7;cbi6-1Mn?>lN(-->YI|k#EcEcp3c=151zhIv+nxfI1NzpJAj5HL8=EuqC zoK&~=E-F~HaObL?jvg$^y>1~L$=(SWC)v*|Q|u7}7G7*oeCp7=^U)rI&>q{-yyZcH z=>H1lCCBDmk(D_O1a7d3IEVVXFaHG|5d?NjVCu9|ZS3TUqo$Bbp8 zKz%Dpm-i^!!rayp4z}jCj|j{*xfZh0&Qx9K_}`V$)Jqn);tFEFSbgmUpjy@Ip%6<7 zpsPkAnU?3|cpzcCH~q#mIz)}$ita3IHeggln=*8;C?fMZ|A_FKo8iLQer`Dw*2&v- z)Za~kYzZOG=y5T=n+;wY1F_|Fv#@%bTK6&L3^=|s=Iq|UH#&iS8GRsrqotU@dZb_C z0GcJ5v+j=7EM(v(z*~%qu|tgsTu=bS5k)uJAS(>Spu<%aei;~NL9B_Y`LbEHKr2U2 zJJ*fI)}Lu=jvLo8!A<6>KN0OjNKVd7JujSbX+UDMI)LvecY%}=y$-?cvmf`JIXzea9)GXW4o@@Hr>nRMBPNw8=b>ruTRBd7p7)8K zfxrArl6qyOd}&-+lY*vupk5`oGV)GNDMV;S)_HdUi#?1{Q9edpS`kg}zYUGh*T);W zhilRLSIDLGruD~b%Sx;Np zJ1ui>z0nU<2Nwnk4cw(|Ep?clgFWOZd}i3|n!Hc~FB^47I|MW0oKA(xK=k1sirITJW@H@-8vrt%aAZDy!)}fWSVJFA zojpPEhn;bU*j$9~0d($~0Livpzi^+Sw(q*l&h{pJhS-tb8w2)q zi<-VHUe`2u3s6!RVQ7Ip(Ss*;%<#%p>!t<{H8N*xf=YOjaEI&2%qg?X*%V+4=WjLd zv~2IzmWK1@Y^CZQvE6OHU{6U7y8udXZE-rf4JC76!?4~iD3UQU<;u}clE}B%p^5|x z@Db1rL*quuS{2-8aZnERq<3fpubyPLsuq-(Y+>8c;Oi}os2BNz(QqtuIQDS31`Y!+2g4!dVzhR4 z0*LNZ*<=i>W2;bn^+KG1>SBD=n>2UA9T9=*FPZy>L-nC_%wN*p&Al@?8w)$RC?!_7 zh}?N_C4~7Cwj)xA_75#7mOEVymY<6I&kBCH?teb|zu@No@c#&{q1dyh*t?)GO^#@n zmwYLX@QROUCqy}cIw@gH`%1}OB8&6h8Zxjy22Ddl*`ZBEIgklOhXit?6mp{^^0x5= zJ%8Cz#K)~5^Zeb*)B`^i&`UW<5z9uPb@;J^&%YuiB3d&3ni@aRiPS5Ce7Mrf@BH5R z-Du1kE8+7yp(i=!qoSIFHD`9Xzrm+?9!{8NkqIE_%)lX=vD#lQ1R>Zki`epDANKI- z^6Ex6SwSl)Yt@Y)EKt0G)AcyFjWz&*W(zl>>Wgy@$A2^0Iv9V~DH+ier4upXb0`{3 z+oWPIFc^P5KnmoCjx`IPzx;E-(}&L|iRW*J(&1lsQMKn9lZOlL81hpLqEXg!W!+py zsIe;^E8T@LN~fOWW^x>B%o`1sxKqFs9NXr#J?ikcI{|iR7dzCD;95wu@zn!Rsg4EG z#*ml6$0f^&WA##mA@{m#bfW=fOj*!q>i|IXmu;eGV(*s0_)K1c)p8u;Sk2`Y-JA#{ z=qQd(+Qzq5zAM-4Wn#sc=4g>)FjHfg49bo+EZQu(YIaLfjVpRk!h*~31Txq`R~6s0 zsGlBZul*{)Ax$|_v_zYfYsluR$d5&a8u(;wIl@r6amNxoN|J%oWRE(H1BUIHwh75I+8D9l7bWzZ(k|i zs3=IkV8=&Bj7bgSQ0ze{_E)`Pnj^fVwV=9*6E_rlh}eI$u^SLk2CVX7 z!XQ;+W1}=vL`b8wD~h!Q`|8g-I1-2D0&gVNc`80#Prg}tk%Q$FOEQreD<>jBdt|3) z4vw~3EH5d4qGSo|mL4MvL-(FlLcUtW?6mKdtH6@$+}IFY6NvIc^n2P(oDDSnLl0~3 zM!&~FBA@(7QJ5u;Z8Sl9xn;8!7xrF(!wyh)!0yf4+izS(3W&s?A8uS|HFbE{JWp!3 zRs09P%kp|#hdl++@_0hUoo?}9P4;!_dU~mD+7S~{xOqNzXiEC&O8QAj`u!7>Bsa+^ zxCfYf(X{onjWmrGb(X*Ncuf?YK8=n(jkLs~FE7V(&7WK)IqTl*I;l|Y7d?QQeL_WF zQ@`!=<{hy1Yn!%zveoSHaC4KJtChyo(1@XV<62t$v!Ly5jSZjxG*aX^<+zYH{o_2=J*DmB|Z+$>Jafoi5 zYvNRuX715ih&PZ~#b|nev&ox<5^|<#&>Nrs3l6PrNGDE&ttZown|)qF@RwNL)HP0G zAKV5*t5=|Yo{ObvF-dbY(GAol?S#K)B}3l4{133YY+vM}w;W4svRCRd98^ z!k1rlsxcgo4%6OA^KpMoyVl*^JUkiF^o)*N#F3q?&K-DV@+Duotv`^kky#sl_wb8n z(>*DgI+0Pj@y^FH^z?MRy7B5JSSsIjh@KE}4&J{;jP^7|E057#&oK%Wfza8N(T#~* z&a~(4&)KH|^PLS)g_`zLRzzc7IYkNut*w+DNUR4ko8Ma0=|ynxAUEFMyQe*Xrz1yK z+&Ro5n`6hqy+Zfe;&*o`+T-$ZCpROs@0c(!@9$o)CE9wx&zktcLo;bE4EJ_S6{+Hh zA@YTI#vUFXo|CiF>>SZc$TFp(10|vfI-fp&5G!KC{!B4!%{sq;pcE68^mPCM@snx) zR453Ul+#O$nnoC(dH((RUxHhq+J8vS;Sf0TI}&-n6|fTe6NFC{w)%JDXWa?4E!ZOI zgGh~Dufu_oNUG*yY*yxtfy(VQGv-gA&980;`{&c2lkOKPETilJhoe+X1r2#gtVv7S z_*sTVnDadoRk0Ix?WKY%vjSPa%VU5dVYAU64A#@X&2YQ6mg*V)I-QM|tL-Z_Uv`ge zwzyL`3}wr7=ScNb5bs_S)jZwLN<-5YHr!sM zxC|%Zect?mab=`cjLTFxsg3>|BrQCYrS zZxJY_{!oXl`-)zaMB!xa(IvN^I3UEMMko~r1Af1*gF$&W3;p(9o#>=dJ^}$tlecBz z->w#KY>z}??|x*mvzzjpVV1mMcFsHGK3{)8?4f|T?bD$($x*}2`WCys)ft=E$Q8h| z5}%D!TQ7pxR@f*=a+d}u1Gd=(OLIa6FMhQt7nGQHb})@k*B4-kSRm}5b1|WFpwK1{ zG?Fx-@XDK`?3C0JvlQM_*zPn)vhZ+A>Tc{rRM2|iR+V|Z!)|5ru zSRme#;Pce>Z14X~327xk($Ugq^kf^DcEL33^Km`JNC}TYUlHOBaXtn`9f98;)chHi zY6_6123IBB;+>v82&{?U3~)$mEX2!2$K?(3pI~#1(IclqPcf9aM==Wm1jkM{?0;IK z$))c7(2(L#7*Ra^wGnti@3b)8&Y)2{xiG@g&yoW!al$`O{tOp;+um*=WG=1z zRs1%2`&$ z#lNWzj$@sZH%;;o78|qpdh~{}D2ac98OlsVzO#)Iw2)9q1*|Nd{|HBYUgY~tKu6iFCt4F+l;m@;8lS(wut3>8 zA^Cy^HFpkr;{UU#Pkb;`!G?_BNlHD!80d2J>#FZ-Jft%bps2hzB{8v!SG%Kd?Le;W z7tbzs&pP3jZ#gexv@~lb`6R%~IZRK`+Sc+ifnjX^!dIhj;NBe5r^qs=Mxel{rI{~* zP)g^Au1Et2$~W1A_o)LPuYX`qVACLxvEOB&3^lGDIQJ^DA3{f)kigqZu}l*#0`Ai& zqL(6-^Hru=()SnWj5_=>FhEYi10)}iS_B_<=~9eJGe#WO(a0Fp=3)G<;WrS|P;neK z#)@gq|7PItY_W?eoz`Q}Q*4G_Qf#X0Q5%niOzYptQ|V`fsjP(0e8sbJ5IVwFkApCPhNUOgMx}Bz#Z)%J>2Y3_f9X@6 x)r$pRAmm@F-5tEwpa+>1z*j{rkh1;i$pIVd_!>=#SQ^Z}7lvy4K=z*w_CG@rpA7&2 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.cmp.idb b/YL_pushcounter/db/YL_pushcounter.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..6d037feaae3404a853f55be0f53373f653b09385 GIT binary patch literal 2995 zcmZ9OdpHw{8^_5G8ZtQ!Wmsv*-Ka!tV3d+)UGnz^Fp%O*R;N;U}vV>!0vevoGL0LtKIHcB+RZ-}Dvtc=TCiudF z1`vSL;S5p6gpVorzqEeg*L^Bt>s58YN*Hc#d5dUe$|q_}FGJ&kNTJtT9~f-jUHYUL zC_%Dlg**j6L&q9Pe#((TCFvx-=6MAdW#5l>@stTqME*WhoEc4f>pUFQQ1!OHHu3ZL z^{>lRuPw{A21R>4o1zIr>KAM1kqy6%g{O_WK=<-7gfwt=GG3sX(mc&)^UBT_QyKNO zt8j(ehGG)K@22O!f4ymnC<}=G%@`PeQj1@a_~tjh%HZw|SuhbUAdUEromL;8=Ql#xsklpvBR%p8ui69?hBnRmG9eD6(~ z+K8Wt)&Mj>Mhvwtf(B{u{{OHB&66HJ(gSTG26yXPn$P|_7^5tn75f&z^HlrqE9IKi#`y|)XY$OWeu5M5C{m#?I_&d8!ENb*NaFf5;kNUXRf2pA$ zc`SPHpZcQk0y|1^cOGj2SLWy9y4S0~pv8wwOINTxg|)<4n}FJB8=q4`BbM@2w94}# zU*k$ujvLMe3pUmv?o}T61bN|K4rBL38R5GIPMFqpXw1}F?<09xNk+tt6-k@WU|e%W z>!a0!I%kV{s{>I>Xj*+CXIne*O7M8htsARn>g9Kn!af$ReK2}+Hu+A8T*Rf(_Sad5 zLmQ+j;`0=0jaXpiy+&+@@ej*(W_?U<(SY2(epnMd9T>7?%JTMlT|j)*IPU)`{QYlx z)%j`(iS}=2e{}atZHlz8d+U`KWTncpS|Z+mb+6qXL)NB#p2*A$U1G;qJBKe`*DKzr z%Z<<71!G1sN_`0zXwv1U4fH{DSwIUr#PT~`$o6yQczu8TXz7@7{z_g=d7;k3aCLLgV9nH`u=R>iJjrINpr~b6($JO6B$U>SRZ*+8t}L@3U7OE-eb^Op-F0qM`#MIF3_*A)A-_cFO+2 zd=DuiR}M}L-1|HI#KeuoAN_`Haeh}H?%-SxT?b09 z`ardGznms8Gf-Qk>Ak$=dhd$T>bxHSlA?thsj_ScmQ20Y$t^SfRkR9hCb`aV``(*4bwbOx9@#2IZZalL#Y`u=`pNL|2! zE%^jgE<;!`?{dpvL8sTV9aH9c!}q~bi-ermTQ6?B-V#=ss`+R!(@cAlE>N6f^ktlC z`Ix{`s~NxeJgMTFiNj_Lt-5!SoqxhzE-t+`O>SxM+EypUJfh>BWY_|@@ril;>7$29 zXYx*zxRzW>wOXOgCw=#8Y~H}bE_b`-?A^Im@qP&7PHW;6O74_Jj%@_v6JN5lJJ9yc zygzAd`$C_LjpU(#E=$8N?drvZ>{Vh}U25 z^u6yO_c?+Q_Xe8y91+hyc+U92-~(>oq9W;5rzz~PeUU_kUh)cb~LAiikIus+W9PJ3H12d*Hg2UY!N4!hS_~+RoCzVPi9V7BY8d1 zH;y(@l|}POxdk^eYJN9ooyvC7-7cL1l5ag!nS1Nh$B-EQZYXn42Rq7MXxG4f;H1F^ zo31!y(JChX80j@0(TtX%FkOrR;aEV6LJK1%W+YdJBlODA@{Hr`6&78oCrMT{(_|R0 z$F3hAGqY1kvJ0#fXAKLi>6(|RrkHGF&qmLBlakNQ-|jf`v_x?L{Ut3%bZhOB?6dkA|fA77Cv2o@%?@s5R6*(Co)%eQIfAR1Q_5%EHUij-Mk3dy*e11UgkH zoqU!MWYk-1B1I#w-%&}bK%E^f%2-GF=Y7scVZ(f|9?P66m%SUjZ1}0=Ps@RaG5FTf)5>)}Hk<{%kplM9x>C>qdDSLG_rMA;4y3J zvL{(6rm5$+P!vu}8dp@vd-$ciuxUMJ;qE-n`&(B>P1s zoBG)HX#sO=Ah6Bnk~t&tXg+w%^`=xO~YO|EBiE>2}Ydk9BCkHZP( zo_3q$GT?pk?rV{2EW+U=NzXZbIs+W7moXDinJ0GOhifVXFQW`uzs8N=^Z3X@Y?2BycRHCCXyt=qIo=ARy zn<7^L2M6KPA0rRRIDbKZ03TK9=F2Fg5Q!oIj>M?XCm=!~D!j~W5Fme3*ud(a);0)N zs`tI(@!xVKwqJh(@xov*GcF*I!K#Y--Q>)9E}gy$`jA#lK?%lPStKy>4^8zyH6ll= f^>)iOOi=tJuG0001ZoTZULOT$1Ah41+lkG(g^Cel-rL!pOC4@yDt6t~5jpk2iHe5fuclZ{GLKz^n!ry8ZyGMry>U7=hSvMQ=l*4PuDjV9bFJwRfAi$^+O z)0;4pqRoKSK$p%<#s=Sc3J4cBAIWqiCaX!{WQga9Ys6~;im$})lEBLPwCTT=bg6*zJous+HCf{|EkU200I}45O~CI83#8UEkdKRr_aJMRW? z>8IQMu|<7!c^T9o1%C?4|A(lm%A`QRpcvp05WfvN2zAa1xp$el zJ+C`9ASx`02zzg5U+f2hkFy`n=Qd*?**IhXuGu)mR>S<$JB^G=?x_g#kHS(zgSJ3^ z!@bA-M8ZiXcbf9knrV*rbIa=w+iTCii|MSOn%$C3jvlk-^DmPUYuNk<*_I#2&@Z1O zFcg#dl`P%9Ejju3n!BGLn6ICn)*rt6AK!zNpSAg)&&vkBk(r!=rX9h%f@Al`FM-7i ztibduCPj-C%@n@y_U5^Pf_R(QJ+9A(ir1ff4=;w(E(<5nE1$)$j?lBGi`Nga(zld5 z&8X>fnue7#HY@}iv1%^gC)d@rhzXmAOXZzSsvcX&jEtb6eFy!aM2*r0oAE5#KecL` z-g6jEQ@Lnq(`TuNwl9+si^r0;?I*?9v_2F?=|_=XU?)8 zw&z=;^pf>VtCug*<}E5rXiqjhQIXZr9rh$I)?pqW^G={&5du4zteOflnJ!!>;sTdt z0zk)&3sKXYzz^45CLME_ne<+WU7(1^`5PP;%QG~~IJ5KIc%yn7)s=%)sT=J$1J|2J8TDmZs9baF*U0{DHNX zwpSIp2%gs8W;%I#-fi4Ig=_Dv>{GzUZiD zCfOI)_cL&*htC+|6ofYa&iGSfma6Jq1dcFHB|rw}{Q;`=$Lo0>VSM@%)4!SNBg8yE zC4haQ8aRARDS6-GWO9h~XPe`n!m}w5I!(a#o%>fmHLXj*`P`SpTkC7_ z#-$h$MM(*%`E=UQu>7RC0n!mK6aq`s7d>yU z32dF_ymKWDxF0?w%S#7jhjK%YB{+&DXYvd2svZ3xmK?4prAyR$*iPa4c6z!9~~hvRbwCj z5`fV9-ef-4=R*RZEd2>vYDP^k-yH*%M}JyZ*Xj0K7B56j=t*(@77b`;lz$geEA(mv zLa}_&TJCF@n#nI10Zt`loF=WM0PHyyNY#yUyO98YCm<1J{@XrJBY$MSAW#1;pc2Yc zuW-GnQd#Wh#sdUg4KfGM(R}n1EHmw7Ea)n@o&!&$)1?1`lJ)ws2?%b&~G zKgc~}2$KgUg&k+!W3=c6DY~DX0?|z{4$XdX&tlOPyY14}5q_LgkAbwj(iV<7rogr@ zg-=ZPs8|@b;`s~1NqDMo!6H}bYHRTEC5v}agVh3K3l|7PQ5*v1Q!gTgk|$6jaa}>$ z8ND@JF`-7kx+bwyhUT!)zud<_lOX$pjS8+MQE>vl-8y=?j8&rt`%F#&=W0nS^$KNw zs?@8?iE_(pGcrEx&5k<5gC*3=-zdjgtWIIw{Ig5>WxaWBTw2x#1119W?4N@cMuhfd zng9|yA*=8W2ahdP&1U+Ux|Dh&G`N8Y06_~*uHE!9f|)2kUxP( z&2!Z!snbvD+R)Ir6W!cS?~vm0)i-uDpSz$@u0(ZYkXM_y_$6gyyUL**GLNgpyx}`D zH<4FZACsaop8Yu%fXu`lirm8Q<7Hrk5Fsy zTKn@_w^yqrBV?OP|D|o>j`t-nTETZS(5v}MLsgPOA18XRh+%opya7)$3 zeWC1MHwx#71t$RcIUJaP$5u;GdOtZSM|yKH)eB9FAoN@r}Hhi^edg8 zh3uCBc_^jzsAwJ&7htqau;E@Ub+7p|B9d-ixM&l0$JHfQ{zU;N(HFy&+8J;btORd< zt$z0aG3vID&~zj8jL{VMwcecb%jZH@Xd=Yc|v zz?!Uo;q>stF~wo%Qgv^ct7&=@2H|s~at#bS%=(N|>Yn+v1S(&>+RZg~~ZDf694;gg*=0h3K^sj(u7*DRZRFRk+rAeKN zRu&PXIliGgROnH4&;~3X;o5nZ;&H9@?DX+Rpa}NH_Ie&9E%8s7Nzx@|9?`9B?DSoe zkJ!KEyAO&cf$^!szmCSlgwvQ{qV)aP`Epx6xBcO82N);kL{XyYiXoG~chf%34uq+29V7{z2&u z4_>L-u<(SNoU0h^GV5|hU`a2LS^R9@#@roHd$KXo_+;OJ=nfuoAe{|bd=7ITL~GkX z>&x)@GNwAeJ8*v9Z)vl+2gqOZIyIIxzXt+g>m7jM>Os1d0T$z(Shj6W=X22A_jEU> zyz*)AEo*g!x_NTlltOLkH0tfxB3-?eY4{m`+;NijwEITXH9*bowB?u|@e`oyt+Afi z`t;b4Xi&J(v`KL&b_}2Cc;XDO0ZQ5rhV~AY;|OTFs}r8~Ljqbyr%}ukz~nD2YvH^a zl|k9bm4@~@TJs@;eUh>2**QB%SYormdZ?U+@Uloxo$TtH`0oQ6XZ}_m28Zv8RYQAl zX3BRz3diaO;j*y9^&q)F1cT4-REvhqce=;{OD>m@SK z^C0XKbXo#Qz*dj!*%P7%!}(qsP_rQ9Xwkh|-9kW4S_{%M;tu5Ji6sK~^cl~=o4S4D zqHzqLEY!tvMrC?AgyX~Loaz~JV@>KK@5C;!qf4QPpP>8KYxBG_kozlnEu{21{mP@p z7bU)=eu?-mjgYJ)bm14T|8K0CANx}1{tVgJgr?6VLlBe6^zJ9o{JO(|_X;xiCPRxJ zIQK~dT#?maGO*qTmfAJ-jL|*4amvPZ1%b522-zd|xikFv6EVa4;V2%aYtl7`@rrgV z+knu9n&5l$i4xqo+17gLAM$>z^X9F%x=BrqngT^tyx2bye}T+^mQ~GCdfoj`M(81k zwBPK$#gqQI4W0f=wq&WpR-;+7B%t|9YG$*DWT(!tY=z^hCvRE@)iN7SW7?oGsS9ttHDXDoWG>L#)nD$F6;$iEHS_(4sTEkt zPV|35*ovD=v+a3~sV|f6T|DenZ0`Zp4L(IX*iMTEF#8>dVpe@%PXOd+tiHmRb?ld{ zy0GtAw5ajFJm{8%4!yl(7ryVc`rKfXMe6h{*V6r(_5&W4W5l#B#Pt@pgXwbxOx!x|ORUgVfi4%~yBP*hEn!M|jsvT70MQoso`j^Z9E4 z?bFR^AM?xL^-y$&6$Iu$F*jSSK1r z4-~|r$oOQE_``pP2S?Yu5@zjL?gr+A><0o?737cU9ZVLJDt*^5>=8JoP?TL>KSJ#L zRGv0yjCXhGMpvX(?8AS~LE4 zUcvjY4~F}^SMe6kiVKzY?VV-U=7zvjNO0EVT-+%5cF?kpQ z6FNF~#m+d%e|E`W#~6r5$hp?dEo@sjZ7X^4zzw~i;z9yIwf=Q22ux6?Yp>dO*zHo+ zqzmo^EG@yQs7l-aPNwr$>rXG=KLxI{Ok7;Yd*}N^ksnNM1o}U1)RXFJ?zNw}dblv@ z^l9N#A`PE*W%63}pdb2E$dh{^ztYFNQfAT_k&9# zt9BX6#L&^zQqa>`UZ zh1I66E>*hcF`VBt+tf!jJx1TI0}3quq!1`A1n|XFdx$On);R2s#xjn+ zw$^2v9PGSWAKYr39v^cZIvbAS+t`}x+nYO8Hq~_KxHrAz)izeuJX`DQy0uoxt8H4f z7WE<`&h#;nJBskIQ(hFlLgZe?#kf8^N5qo0G{{@(Q2SNOZB1xW&4?hi5 z`2!T8VZU9jYZm|osFnK37S|LgqyIs1V-tdjFyZDk$&E;R3|%Gi6f?!d5aUvnbQJ0i z$0sY8WGQD|b&R`+&Q`u_6+13mt~i>E-%saxtMDsjkgDDu1|1#2z1EzbAE%<>Qg>jD zCoVNjDK~tKibNitkhj8 {Yq7qHk=Mpr4^D}M9K3!mIhhQ8xiyEM)>HANvanoGfd z-x%6<$&Hpu(9rT>E{OL%8A}sYz>TmFzEO-_wzls3o%{c*XcGw$bBsPBDR18LI8a79 z9!QX_*@?bFgYvH1l9qM$ zx6SmPz&Kig)fG!6{Ux~;ljjDijap^lL3d>)+C(c%ifa}El>sem(n;30xRl-H80Fzn z4csB|hHC`RTqRH0JgEMEXx|;TcvyMf?Vg2>1Zc9j!i*c#xHJm^<2I^%{j)%=6dP+* z9@}H4!iBxj`m8Ta)W(is3vL6K2&wx*Wgj%Ue#Vt2*GqZbsSWAQ9|A+Ab_1J~vG;Pr zBJyF_l`PLlxUYdy$MQtS7cCx0U|mPgqXrVSuz62>SN3C~1iRM9t39=M$>R>uB1v z8l%VYsOzA*NGcgJvWmW38kdFyQj2ta?Ht;ePaBgdmn7TN$f-#-Fxz2d7IMz28GyU7 zrSRPYg;T|i3hETuzsk{&@RGcf#ZnO@a2a zhobvE={OD3RA2mUen?J?YQ_>TXtTpFDT5yrnHabhTkQa`2~pj}8`PV>Y_w@NM`TjM z6GRSKNz8pw7_?>yeE`TPXmqe|oygkWcQJZ8LpY|8wo0SV6Ink=+=z%!yIH3m=mw)= zSB-^98Si4`Wit*lDt3!6|GD)X8e0?L21yq@wJar^Ys39;h7Ey6vXG+UK1C5vWGXtbsTxkI;wnC64)9s#E!>=?I^I5Eh5Hv(+`+}kjr8e5_< zY!7&3k$}->OcW0r-@2jf=h!lUQeJP-TT7W)aEG0;jjeborOEItx9}Kyew!Z2G+Ka4&2|$xRjle{7m7%2yE4rV z{V2;a{b+c&{owi$!)DPlb-2OF9WM4dS)J`Anmv(CqOmFqNLc1TFdQluI_pNJmn~hSkPV8ISUF z9-p!ca+Yqd^Ms)$Ysoe@WbxWc-0@Wu#nD>s4h1-h{Jv0N>ffFiQ2SS?l6F`=8=c5T z35CW_y*FDcK%N`5^|WmcSD)QiahrAeo9{A>#$h%*f};86kv+Rvcqj)er(qj4&wji7 zpT*491AU^ZI>Qg^&%UC8#OZm|iCu7PYH94U%Z?H`tM8z(LI;CHyW6QtQEh6Pew8#E zp%4yKE>rqJYm<%ui|n5M0kX<}*}L*Xhbrb`50_}kQ~P|!&l_#N^~K{=`eJR)k!lsb zpFAD9mP=UA8&iDe~b{A!o>8P zD?DY?dF!5YGu1E@#G9Y zW5-gGLYugc^xlBbC2UncBid-toki7#;2^_z@Pm*_dP{;`jQL}CI6cXR7WW83H_c;2 z{}_b4I~%cgozvZ|VrTPtUXWsM#qMikFZ(+~nDYO*qsKgWE}Wyf5U)P4IKl~dYP=XZ zWGFcGo#q+99QM6C15t@teH?h(H3w{zf>j5Yf)|W@}PiwXoKUJg8F9v{$FQKcc54i=6~msT-q7wB7cHSF!y- z5>3z~pzX%<0k(VI$WCYpxt6$NIX^hOf8yv#Q(k}W+@*yrQGC(?3cM*jH@Ju0WoYPV zTPeKSD6ju}Q@`c5bhRr`myNwJ6tIM*0QH>1C(DGvB8iGXAd7c^i-s1IOc!TDG(3n- z9I214aIr#9u`hw&P%AysZK=%E4eN_Emds0mNW|%Kejd-+>95hueZ9i%{mQb2$A92H4Q|Z5gw)(-`j=;QBu!< zpN}m+@9s%Dx&paKDkLO&`+*_MXhD`b zuyk%jk)3K{Y_yb=p<~&wqhOzXd6XD5ZlOztY{VkkK^D^R0s%fdqt7>*Ih#87I9AM? zTBk4Md==Hc;^07w`~?@J*94!GZIqoiVGu>pUQTl__va7JprnzZ78uR(YVX_55<@9- zJ^`ow;D}iq>WoYDjuN`6HZ#l87NHi6tm&XdQS6oEl$5Zke!+Vi2!?}m%Y(7IMotMO zdzo_S%}pW6v%nL1kFFsiwOAl-H(bqjF6&n%H|e9?MlKMmY2=KpW9OM`+{K;N_Sj%4 zO>NFk*m;X>Lo_;0tGF=ZQqDGv(`jGs@|If8Rz|ApE4;Lk!z0Y-T58^Ye`^oJ<*$C0 zGnJCBt8R*wt{bHn;gBP3OU+;scW;!LTM!TJqU7ePVG}sTmwJ?q32*<2h zpW=IvgSG2BQd`6FD`3c^*5jp?+>|mbSNNF3BOq$JV4QGf*U>EVS1e|VYqF#&uO6zR z#VY}i28M}%UD$n`I69$&bke?59MLvmPi3@M=ZeCAQf=hI@AHdqng3 zIvPJCb!Jbb)GkOAC~B?) zk?6xFm8K1irT(sk0b9R8kc=Xq6T4IJC4ryans=YDP2K~Ds`w5=7wr?(1G~Vrm%Ow& z*%wCv{=6!rFx>F1{li{YK{n^JKa4mqh3EpvClEX@_JXNMuX9a0PGV#5f-Noi@yIv~ zagtR0A-WxrN4_V6OuPqgOBd^U#=>dZ2=QsbHD|DIQi@9dBxB(on`<08XY+TDlJf9Y zO}s+u1xR6)HT7z>8mY!Jay2%2si0~=M2WH=g{8^U?U0i5Ix~Bnrd17a6}|H(=0@24 zicAQwVGu@jU|JbYKM>GOb6MFKf(7~*x~0_2c=sP>rJH@Ybew;AspoMPR=A8i6JhyK zk!SUQtoMeBpZSJMLd5WWMd{sLqREa>mw)cgzo#2OOmjZJzx%=ct8$z@BPUlO4C4W` zp0GHyD3dO5;o)JyZyYW(Zo~7uSr!ttxWE^@$my-!D=)~cA$B_Y_QNe+tqa~^Rj`Bc zPq&9n72f6ZYr;tmpIGGDOZj`*quIW3@tJiaX4Hiau8LIs&CM+~e z9jZ6FJiy@!hT=J8bRF7pZIbH5PJ__#$fRO3QwhSba5*6g%D;BaWqe|aNY`Q91UJj( z{@VIK3)yR7a^rlH&hPrDJ!-

9bR3(c#<8_0HrKpWz%#+B&@V?TAcUAx;|TO188 zylf)g`M}H=r5aqL3!Z_hz|sV%jU-kY4$=}6UB0OCT(|+0uOJix%W$*+OZi6Jvm> z+gX^=XfrrE7G~&sd2pCIvaQYQ zKUy?nka@aq8-O`(nk0O%{W`%Es2hbyt8j z8WKT{Qix`y8a|v}y6}8rj2ygJkg!n-$I|D2@ft&gZ30a|$=?F{Md8bTI({3}9Rg4C z7cfYjPvQ|49Fx=P6UPufEP|(r&Z19KPUS9D;J%CDhETcHaQs2Up2u*9uizH@VHCj` z+j(Y~G#lLyG+b8`i5`Z)2Dfc`x685%F4EhXl(p;*SJF!6AyXG>LTwYq07tM&OZY43 zBj_nk^Dj#Cd(0kbat*2?FZ!hnQ5ek$_qR`DFAvZPq^AsDi z0M2IEyi7l~4qSMO3j|78Qdh`$^}E|@;dSl8o;aPWpTx&3loLA5>6#FhAhtkLWbewy zDMRk!_igE;+Wngbl!QxRFb&T2H9C}thfasFw|uvxilA2idg6qaTS`7Hs`+F1=t9!V zJ9cLgnbgc+DA5S5FH%-AU+d5l%x8-&N}D@M;E1O(VoZYmEOW8+${ z=gF>1|1cAONu9KE8Ba2M3c`Artv_|XnxnVn8k-&I&e)LB+_j<3n8-#*rsk?$nB-+w z(6(y2_SmKq5`R)#9m}txce^=?z3b8*I!nb(Qn{`SQo=`HIj;S%rmuWY3J&H}oCa|N zjQr0vd?B6X{1~UKMZN^&XKJxV|?=$I#MWJXf5-b$QS=K`nq7RJ(vh$U4WY8 z{!}&M6+C^dH|@?Z9zw~;HbEmJ7l`^NrCH(wq>Q^^QQE~@6pGsqu;m}1fKZBqx`4EL z9w2+kA5j)SI*?~=AX<#uc&s^u4jrLT=K!;U1eKGmk(R#xV@;w`*>&OiBP*$y2;={ukSdCqHQ|_jHAu!?2{(xPO zXl?dF9R&VGP7DB3)8mTZe*bS#!l5#6nlNq@zB!4`M1_Ttd|7A3E5DKi3baw2L;%qE zen?84*yV7)KVs!Q`k!aoz&*8%@TsDYiSt4{#^@&bLD|QBag3#KuPG{I1?CDp{G54- zyJ!om&(^4`;d^ii=Ga24^5;2RigYFVSTPQv^i~o~+nu)MZ5SEyd}z5Z14G2`*%41o z+LCg0kRIG|%l2xWJ|)2HqzPNG-X*G8aJQ7G2hd^#ei(+}wN0$}-N!VY06=?ehz(~S z<`Zl2R2?9imtE$=dq^=O=#JnCstK{DW^B6Or5ynECX1F=%P)%|W2(Dpe@MJi8JV4w z^d{3@{Y*mYeUzVw58)u50|RA(Y`!((U^$$YPB|%HD#h+jYqXUN#(pu13Li}-i44wu zj3E&zrB`P^j_QNFC_Ew37tPIGrquy@+^REB`w2BRddWrC=naMIv4^RZj2F_=na}qt&$P8hF zAr+hoYEIgDLqP%M1v=;TAb|bM<|^}r!Vi1AY<<_rc;#QE3Lg})0to-}s&TKZ>eK6P z;}&*`Qx-H-eo|Mn(UEopZGhHWd5Van6?QqbE{w94M1+xKAxpQ4tKo79Wir`*XaED3Xyl zEKG&G(J8(x#{TxLAH_K5aHyRGO(>a~N>=%^f>iC!URfRo#s7cp%AybV5Ql#kje z)p8>johBL>1vf^EaBNKn2BYMFfWwK;hAQ)PN3AXc2lwcom`Kz&Ft;c|2Mx3n zPs5<0)E>jSW_?OF9c9SBk`H^$J@tJB2sbN=BbF>a;nhu4(DrQgeFBHzLo1mU8)RSd z=C(4N0*2XNw>$P*F?EI@$nt2*uX~YwIM_d|0f5LcC2}YvRy}6<0WrX$zMY?B05LQy z2&A>a>C(E=+@DU&W%1k1qm!+*Ja-t;1%+s+ z_UBLsQOPT2>Wyen5BQUia{8qK^k*~^&jq&bPZ~zHxe{9TdsIN8-FLWbnnQrze?GC> ze7L^JUF%3ZxX*xwxb_ZO_-bP`r>=oi(b_B*pGJt1AxIyWLVy}{1yQrbl;O5oG1HzX zWyKhcB-CD`sls~*g#A!D&fOi4b(azD17l5Y?&>&0tTj#eN2kmQyr!C3vmzX3$~^j7 zy7tgi$(hm&1+({(znB}9#DOk%CG8iL^uGB0JZD-3vkn9gBN)4x?6zTV9w6UV(_3bc z#(U!l6zAjetS|}a=oo?jhy~BXaU>8J^v4mjOW2F)n1%M%8BtRWaG5d-J8>|Yj9_JC z0!%CDZX&1O;~*2tQ-br|7N6>lGeB_)yJ&E-F3zQXwhrtOEG*6uL?OZLA*WIAh(K54 z#|#G*OVQJCd9TJ1&~PyVXDBe%BNs-)l1M~c^fxr*3Wd$@zYpq<;lhzfMO-jpqmf8Q zz$N9&)SExMk(+C1Wf0(MWL8f{%YBtdUUq6L8&IFvQ;f?4*Ao2&tgfS$i#FlYs(CNBV7=h6tDsGR`0YAtW`1vn7I1F0g_v%)kWMrIQFrRCJET z7SmuziXX}ZlOx3M^&|={Pi8XYmyqY4J_=U_91o_)pbvxPdCL^-C z_gN;U!4vk+MMtI_F%bx>9|h&#LO~#)P76ej&65A_5b^=?A_)faUCmaOE;(I?l23Hj zGEU?v6uavyw{bX#2~R93lZZKx2}3QjknB2=2}>=9j6;uQz|%=zRo>}pWe+{#G|fCP zKxQF7~#atXZhr@(3zzVVodl{GqGFlap2_J(UW1s93rN+)&-jSn}a)PC`PG za$>kaVPn&%iGyMT{b1Ts%CB&yOzvE1yxR^y)nA?zk%aUe@Tm=z9->E}H ziOX=(lhk3O{^>!eD@(Gyg!$E)|2IVbeno6axh# z)K5oaOOl+*fHh7-V>2P-z{UjxunqSBlb=+K)5FLyl}J4rf;q~RiI0174-)gy9OUl5{jK>6$8S&EhF&3vg?yLE1;elPIi8P+jY+7Dbell{Xc^+E z!IIy{egbq;1ROlDc6e*gWJAp(XS=Ox@X3}&)+w~(c8og|NHOz{NLHBzRlgv782aEo zjJVnD=Q^lyxT&mhZm(7F@NoKcJ3b!1x)(jp*5$+m5WFfFPbH+OX!O+E!i|><4OY5qyWbbkKNFw zcyH*x?14=Yp$DcGB!94%r?edM9Ay&Ht7JDoo9H&*MrKPIA2$NYoqN$23m(m+{{tDJ zwK^Y15Kkl#44#$>-8N(7!p;cn#YKK$;UPY;CI=@L%xpU5R(fbjYE*c88hKa*B(U*m zIq%45N{as~ktdQwBqTAJ|BWv2`#ds;_3g<%tMg3$zM&NEFGP)&X?P^MqyD=iHfcay z?aLFcOtrAtP8jb-G8Fn;*x zwSM5(y1=&-ibK)VQw)(vNE4o*%Tx+KPDyEW#-H`5%~*wjLUE-^;)xM!JCy3p)4!M9o(zX%*R7r_%5kAa5Vr?K4x^F|e%j4i@5 zn{f0~hQMONfCBTEh7}7*pB(EOT`lQ3idhdt0MEM|5p1_+a4TJG7}OP8l=GE!y?k^s zBw(DFuPb&VwB}}*cCp~rhL|^07#RJR)`{54VMst?fNVk$IIuXrcI+s)Gl2<1a;lP=3L*y& zL&-HsAwx?aq2jaR_Vo3o14IYwSZZb{8oJ7o)r65U8n()Y)d-YISop)#Doa(g%5grn zg+S%bhDuP;tF*XM-T_DRl;-j=d?&ua>6GsFP-X;KAsnOnM*L-e6UU<(M1W;Hnzu_|HXAvGlsxhf%Y56TnK zHwS{iR((~K(+~n;XLM!zSo>5wzBNOo?m!k9M1Hx1{|aFynxLSa{s-IMv2pPSoPb*Y z;&BzBwAX43KW+6UI1(<519M=aFp3t(imgJiX0^l|l1Vu66K~EX1VS-* zIdx#7tir=NYEpWDV6m7PTVN`|#8mfHp>Z{EDR51JoQ(mr25@IiGMk7s?6G7Z=DvYK z*llKLu7)?Y1u;E8ID>ahBwwrGWh=a+M~;H{O5MQT$o$LiK&P@bxQOu7NBPgI2+A%` zj+o$0h=;(2$R{_E|LM9XM4HLxg%RwDA zUAs}Y`wvzln;2MWm?0Lo*x7V97n2wYYm;L-JP7eUC%dN75F{RT);W_!ms(M>POWVE zT%zd_m$z24GwC*5*Riz>zz51d2QUx{jKv~iFcof=Xe8P;Fx<26y)VPMl-EpwVdzv0LH?-%N4#91GU@A*zMH(L+wTS4xB6VT z5>lR`(tCK!O-zG3@+O7pA<^|9BMLr_nF+n25JE84bFt2u^>58$&||yhMAn5wgt)I~5cw=6wUsVPBN*vp@!ljY4=OxIvIe zgwn5_cLhHl7ml2kLWBYlp+I)_hn?vqU$BZkG9IC+~()+NgdR7bE znD3S%o_0fLhJTX7Mk>xRZ6K@BDd?cS?xu5VNa0Z*iobt$sit{+ZvA`IGeAON4(iM5 zUux@&&Qfr-Mk*B-(D1ga06{f9*+@`vWe@ka2Q~UL+y`Uj&U-2m2$6qI0RENdUI*Pv z8ucg*?D+K(-F2MH+bU2p_J`*NR}8`+iw(43X0ZEjr|3h>iq^mv{M$`G=_;Uc7vj>N zvvq@$35>PT`|qj5tV&HhG~@@KO;SbuBZPA_)LFYwdo&KLs%b8mRgLjBL!~Qe(Te&9 zKQ#meWZ%rcNtm7T6hHk|L2}C`rL3Yo%_q}jAzvZ#kL;My9&W53ZuD;D8+2G4ID+Yz zO6VPn^t}x00Va5t!^3h{7R?G%^)zgbbe*NZ2~|GIEHZ>`c-YF~NIp7erXBE7QfvJp z=L9t)V<3%U@znka0}yg%VK5UBAk+2g`oJeHD3Wv|Rt>xZx@v-y9UAYLAQ|H9k98EN ze}`u+gWwW{`B+c+ygv3vB8#ETyX+7W3@em~bey*N)kX4YmDsZxHo9wbk_uSA|F6J3 zRZBy2QTqq?n?1_$>@Jf4I}fxtf|2-b~L6Z)X*Sbza1v zf+^z&s)u7OcU{bw1(OPmLTyf>nRYZV{yG{H-n>76V+ZyZ*DHy{ zYlSine)I~qM=$BK-OiKRhQCj}2=Xr*Y$Fgj%pedz2A|W)5e{dJTVP*SbRF>`p=Avb znp09Oop^KAiW@EmH+d-n3#jyOwB`XXB44yvPn_7-8kxl+_+PvK6LyZl}KIeR`r1SOoUme^K7mWP^V1oVVBtoXTO5<8U4o?1?^Ex#TeWN0{$x&t7t^?!xLq57ZN5K zYAY}lnIrWL-pz6q#_xU=640jR^|ti)^c{V{x205TZjRryBQ9~*@HxO~W+?v7V&GI2 zPQHmyw2Ge0M!!W`IvK<|stS<(2eBKK!i+i>ECsB7v1wg^>4x(3E(;5aZO@6}lOKt| zYL@<&yC1g%Izvzr&9%4EhoC&^g(FlTJ>S54*8rk7jw?ixc-sPIX3Nxce5N}@c>s(w zF02AfGGr6)Obq0CkVbmcr5LeejXuqk+H@RbT&zB@5V;touy}Cuf7S$_97ibJ$w?(b zFAsX;LV6}6eY#0uL0w@NOkWq+g4BOpKQHPO##~k*9uAc-?(&}%`34Eu!x%xFfw-c- zNnVTfz;-CCBYj}Kwc4WIf2+DRy9lJo68!~FEG$!{Zb(9bw?nSyL*khFVrCu1}or6si-qHv?ecMbwX|3flxk;j9u0C3k5>w8>; z*VR6dEgBbRBK2qnY~S|$-W1|^VdokAoY~oI4ggYhSF}~7K(Q!xW z!V_YFnTgL!DyTqa(=z!7LQ_i7PU=IjJc47XwwXXWirSdYE1Y7n|0D!Uy_MEmTw6#V zJl#IKEb}|m;OjWPe*Lpq+mx}DT+Vw?S9E{)aUc3&NJ#;F6Y;j0Sc0awF3PDmvU^-8 zgp_2mM_ir%TJ47CU41yLTaSu+fOd0cwEL>y`(`U zhnQ&JRehPdL8iayFcTdhjuXtrSfsbV{H>^frjHpMix}e%!SRYZR4~N<%FB!;cwe?! zb)d1-vqYlIy!D9FksOW8lgFn{C2k}ZGDovgcnyhLoGjhm%ow(1DMny1Q$icQ(K%tHaLTXe#O}M`_9^?69sdck?j0((HK- zC$Gt=A`*LOd%^o8MJE-q4L6Myb5`FdtWIe`hJeNo(B*^0_Xk@S&Hz&zUW;v0U z7j)Ln=yFfouel8i!J=xD6B@QpW&Upl5}ZbeZE^bU3W#Cu+=KKyu>3i1{a^g)1^HVB zweadClV4wa?ka&CBJiiZWV}^+(#@ocZpQY!sYNt*2cPA_M;i2p^leT)0sXUz@|asJ zj*a`?owO2An*bkV4s%iU>k!#H%8jKBdBglAjY-!|Q4!eSGQqJ9n8s_~G^}`( z4W+aV0&9yN zSt(=?{lTa~Yn9a5R0|%zr=_?RVzV`og_RDiw)j%A!Ny7_Q`MYJ&E?gjky-j`eTvoB zyQT|U^EiylVvosdA>Wx+e#Mid;rev!w>Sad?3nd+KZ#TVsjaU~zHYOT{vJ5#Iulsx zJ^oorAzyZO^9f@seLlRV+6QbXV*->%ER6(oBWqPYx!0PfijezaA*13W8Sg_zTh79+ zUdRSD7oL}konLuQq0;0DfA3*#fG}@w5=5!L+=rY<;4_HB2c{2CHOCsq29-AXcBuUe zj|dRWx%}Hl2(^a3Ii6~7NGFA&61+1Sxa(~FzN>3ijusm(!$QZHXRv9K5hSPq z70(q|pSah26_{65Y)lFs`Bc>qLD!i#mEq4b@OrArfRT$rdJ#vST4^ zLnLrmAgtr1B5uqv;PEB)0pa$0aZVfX>GJ-2lf8?I7G%_C zD%7kAeTxouLO~g(2v!>W>irwoP(9%}U9vlgI{zy^3BmT)o2~lGmXu`c*w9^kW~$H1 zyZeVO^OcHHgA^aEnP=B5Q+Tj(gEH4^hnsY+Teb3zqmSek0v=z%ZE?-)zdASfYV~ft z)rwm0yPN?{6khznb>TdU$wLT`Zb%tKRDwAs`9rd&sr6Jkw34y3rErBK zW#cwT8Ls5Iq_9I<&C}DU8@2mj6XV#8eRYx}usP@Vy~8MyEbrSa3-975Q32*LI>u@| z#$##vjbv?cUa8g$SBt4WR4sDgN=}8}LX4$xH2WlaM{|y)_qQk4yfc~RtFW7*c{uxI znul{vqj@C%WSWOriYQOPSj}DWN^3LrGLXD6r&{Lm`gD_Mp`=3b*>X;Kp85 zn=gSU(2QfLb3c7iJ-!(9UNt&{Ht<3WE-s{t%jVv)FemMb_2jKe<$_Z^_;uAFoh*LS zMpePK3o8>F8zN<57l{6wPWNN{;37E2m`~Sx(HV#F1B^@m_*0u^ztFc~?ro^fs@}0d zb*m_S`ylJSa?8Ju%tNC!)F0QKWY2#ck?i?N)Ht?dY`b1iUEehKHdWVG&AnB1n`PJ6 z%)PboO%WJHh{5e}*M~ZU9JrEw=VW2uF9xk%y=yzJ`VAgiQLPW%X4!95_YimSRuS^M zxE=1d>I_nGCHu^~FvEWlcaS#b=<*_B-UYixs?|?SzhlasZe(P(Qb6ixS+&v_lIWfG z#h@PxP*%0Dz(ws*S>D6Un{K1Scqc*j2YnQA_nhqGTql#_N%;QLquSG>hvMwxje5_YG35`E&5Xf_^3{eH83<|2jwrbS^~ ziV7xhQt>LtEOB>LybAs%>*lp+lnVK@SNyRu*hK8Jm^eu%6)W7V*m8QYQSDXjz^sQq z(4j&Af%PI3fr}u3PkZ$<(QZ@{k6WFW^_EMf_2cg8Pjn;pv=^PYRN4oUT5Yx?Wf^lx zQEAhKXbziaZMq*^;hE91OulinjBDenaiN!SvkO-(`+3ht&WU{i?RaJX zj*YJOu#a-u=|c9McVPRv?$dXl&;TMiINa4vuWwG3GW!-Hk2-w_z`eow%cyHQr>-`5 zT70`b^F1TnPd5lQdHV3ZG#d!xqCk5_yW8F^aKY{>>?U0_N^0Imp3q#J&kTA{oaPY1 z?Nzq}h1-L3HWqO#FPiMTS1up6pH%V1)Z$jSPH10{Os79l!B_&mol1aJ7RG5OWW=Rt ze;2otp{prlP8H&W+wZ@^=pMj|A;z(?3=2n&qtdiAfgVw_nI`>4jZV8AHN1O_%SP7OYfQGP>R~RygIHBn=2GhYULrC zW3fbY)COD4F32;jX8YqFZgIth#?#1&CBnYJZb~V#ZxJnl`g;a$s<=X+!RKD@t4H(nBsBzHP@srPOgbFcIoZr8Jj zb9(u~viAUzMFL+{OOJZU>gMtHOi{*_EG2><$W2BT@jr1fQYK4?XXL884Qh~)Yc4Wb zr`V@1iGP_>%&uhQM!0n$<`lneNTR{x&sq(|N}q;eM>=I|80nRbm2M5yApIJOm5v1n z-a`f;zWAnj;>}T_uLkOx<3tTmFr!vtAcVF`0n#Y5QgW>hcSsUIgCaT6$DYRFc^Yq#7%A!W9}}=<>E(7IKjfoNXfsqH0Meh2!tStlJmXoUnWt7q(JHg zS`(}ihGpDO-#tBJf&>P$6^uq~;!vF$)O20hc^@xCP2Q&cxEd4+YGkRVQBC?rIkn%4 zzx$9F7F2G9Saw&jN(3hH@c!fBbqcdA!0o4K5`%UXa5Vuiz!lS}>)kpkhA>#kQejJ8 z339IW`1uWE{}Xe$nr#)$LI;iUi;rIp4$-YMDHj#}D}I>AX{OIkn_gt%;#!lgclXoJ zu{D3j7)K-~FxSd`AH@p^bczsi$sSfBIxP@gj<1&^?Vm4#B>x_~N4jB4!UIQmlp3v; zn2CG_y=ayktJ0x*UnV$1ls<0GKZ~ARrRk|7k!h zApdP`cK>Z%LC>^cJCyrBa-7`Vhn(zgxlmQf&l@A;@snni@d9t4Q%J%n!V-r#6-T)P zTZs4UzAL2g2;&n4@(|l0OW>=DOfi3MxfoAWs98Mlo!d7peKzx*H!h_=PuO_hW?f#g z$23mLN>T<;anY8L9+g-IOg%UTHEf-rD8Azz*GEAg;g;OOgq^zUbFJK`t;bX~M^wcj zP&zE$1Z7E;Ofwa_b(YZmK~+I#^`u~_4pzis@MjS{zOyU!GA&y!mYJ?tb*r)@N8_{To9PsG}7dB z%AU-7n=xJ4FqJ` zH%z6Wb&@WJ@Q*Xps6vNuYy3lQRZbC!QdWxO3CcF@%uCIER4v#_{yjEAoy+q@iKR-d zspYu2P*s@AV4lq31p~L`WT}R1M*8clxy42hOIk}Au~_If%_g#I7RbW}C$EHzr%B4y zqN`2!KUbUPIxUM!FiYvh1{eMl;{Xfwpc*+X3b*?pN#E>P5ZUg@`QFhIIw{%3o=&c`Ml&9$o|WPGBM@mS^;{ z0Gr##H#Ja^>bMw72C*eVQ`BPWo~{LvOOq?C`rT2j7pKJ)Zc)V->KS>*z2~{cL7CIV zEF6gsnMBusCPw{Rh_w`}y8^nKmm9RGd}5uEvcI_-#=RAAeDGx1t9yU@;9CE(|3SwB zBWFl}zqM-U?s%ypOL`5J#Ju*h96w299DHy?v{rbi{xqdeO}T=oZY>H1x7b+O>sWti z-z_QC>zziE5k0H|WlINdp(q#ReVEsrONcpZF@wyRF!!n*JUL|$n4n@2ilrp{Hwg{tptnD2Jv^@*yDmnEpkw2d#W>8m(^V4 zQ6RdMvl{q)6h(t|^0cwFcbE$1mD&9S6A$K#V2^*Eep|_oH^u3a>U`mmgdE1$`3;1pkd>9=hq^GcDsewUavpkGNL8z-kgJJ*Uw<=hY9G1l6TVRLBF-};cajn zetXME7M1oID2+zwdE2;8&%*VtS?)NOf)Wv%R8 z=M&%^6&)u_ot_@JTp+!y3|$ z{8n2Qn7q&bF;~C;-ABfhZO3F&Yhf>eZdv}j zDYevE^;GtOR19aeWlmpYi{;969iyS|N^ICY)zxaYokPp>M*ym80F;XIJaYN|HkbQD zZR3Su;_sWsjQ$H7coqGlN*T1fRUgJVluEO9uAxtCFAI_sxW5y7BXD^ClVtMA9H;zAs5>$_i(GV zzcLlH)!+APJFQS~O33oBg<_}j!ioaeH}CSt*^0F?)$GprjI6Me0N$19^_mJMP1XpK zG8_lNSASmKlLpBTt5jI3BdLlyBkqaQ+x1HXZAu0G3R_E;pOy{|6WQG|-(bhFn}k$5 z1i}juqT!=EIBx&OBaaR$?1MU|-qem-$ugI*ga?j-c-7H%pNB>;@8_6R0$dtckHilk z!+NBYqlr)R7g)D>nR+VKbq*aLxI7|O-+kStmVv3G#gY_{D2KiwwLM)A9dNW&r-?8 zi5&53@CgX{KaX# zT`a;CSGGJy5v;P|Y&;{-CBg}%=Cb(l1Bq{8l3GM>joXE-n}*qBKPj!vBstmZF0bS* zIbBcuu>v*qJ%3&*Mw4LEz4y2bE6ODvZ8@u*LI0Ic$Wm%q5J4|*03A&)MM78@F8MHd zvFt5)R#v0b?9CrFhnpqX825IQyX-97yA5lNlxxbobMIXb*oZ=vv=~t}*W+0vC1yhX zavf*I?9$mJd{A>LnvCb^o&*!^)-Y<*XUv?lT7zZDYal>a6V)kUU1>mXy%c%yF3B?0 z0^_^Uvur=Jp!cMdVr%=fRF~I&q!5I=!dWo^<&2B>VWsXyf!LaBd3j>cjqKHZD~^V_ z5t^?|?Z}7Q&4l~9WTG5%U(ge(XJX3&hZ1u7%W}*7;-tpm^e_q2bSJvH9R{>az|6V3 z%vME?Hh8fee3D6`#jF-ob>ymSDMx8lTyo%19K7Sl+h34f|G-nRVm>_lx8Xv)wUG4W ziDG0!zNoS!>bv;IjXh{4vrmlvZX0)*(4#jfz=ZFs3e6)!F)V*Hu@?kp#V|nhSJQ)2rUi-b$GQqh26wUD3(Rc(xo8x&6;eO-t;Ujp9Sez?$sw{z+-XU7_FwM5Dz*Q>W zN03{HXjQuDC)F-2giqcl4mvuE+*(TJ-$ByPk4|* zwlFg3v13Hq#JFLz6Kg}yW4`bhLsykR`|cY2qrbp63YRgwP%6KN+0r?-l3P|a-1sQB zL`}qd&wc-G_X4h2bD8_>W!2iKnu2Vg_rTyhsb&fn6T6DDu?$SOzlQ6TO0}TFeKM5& z^>Fm+gu6JnyogWd;aE~3Y*ef&b(2D|mIqr{D~fwD8Lh@ayaJh7RCgb8$fqeA|KqU_ zs5jParR{ujFG%V?5mbASlLajlv3r$xXdFVH$hv<2@OXcFvo~2;7($&Mf?v~kH@^=I zZ<<5BTY@S#yGIJ;n@N>Z`1>s*OM?#fapeMwRAmDC=4d>p--L|6^6yO~Ve8w+gjNnT zcek_1(?&~?(QQey>1)E9_7s`1#C2nUfYCFvUh$?XHKJA4+T~0|sf<(|`^1MN*3HiW zc^)7W<6ZUj3qVsjp0|E~NfIv5X_p5}a$b?eyGT#MIYvSqN8i*dOIr1tF0QZ!E5(Sn z?KoH={QHHuR1~({20tgw`vo8A%h^k#>3gj@A1ZCVPJ+Jo-y#KaTEw;J#h*8_d&VG_ z)23Ep8@lerCLw6RvV9o?Ts><{rvs=UhM4K}i~sk(#C}|bL8Ap`$wUY|wIU9!^Cb#q^_WDWpmFW+ZK#H~T3G7DTE5)v&g8 zVb=XRRn;IAx_Q96U`5qabh>?Acl)B#Y?-aX6zhwa+i{ugX6BS~MEP|L`>0Cr>C%G! z(Uy7sQtT~xNCdX&r|Vx)`p)YI^D_dkuAG#(^9R7kbMAyv92|@hP2<@m+y&%4o(JTs?-VtZXasn z9QS2p)`=IcST)(`=bW;wmo6@=%AVq$R%sCemv_W?2{s!!EH_F>B2Jk{)J@k*=!$mT z9q5FP*Mp?`?=R-`m*eN_1v};Q3#ld~6PrAmwSVw+amlX=Sac5h(h*7S3O+}Nqy*A> zFY1SiU8u*CB{yIztRnDRNi3JQR-x7lxP%sq3ytZJKBV9r8j|rfQv`fB2$5|l6{H`4 zkQW-_3A2)wljavz9Et7oGZBAsZZva6(O-U)jp*gfH*^vqulICme2+Xs%_OY1odJfHo)W0C3fiOOVlys zrXQ^?QPBiH=X%%Y0a13PmuO7X!l*miBmC6)f&2a2ies-r} zPR&kxcaZC5`{%^8*iaWop2gnauFlw*+nm+O^T@0);L)ra)ryk)s8*uW_A1C~-g;)U z;WPK4Mu({L&M+ui?R+%^K449Gqpi3U=^nbt@@Uw4gRkOs#x==G?8JSM<($B} z1z_?i4PKaDLxF;X;C~D?5Bq8FgVXqar+mj1)b!>(OM7YIF6Dai2XUUnQdk`7TZYZAg_mW$)lcoCOI9-If-LA&sCU0FfDUX~+UlEMe^ zgWvdl%UIXSPux$$EZ#sGRpAk^y^g4aTHJO$RqYr>$7z;qq~7E0FqA&Tt+eXJZ@;(8 zckLwPNH7$Rb6GrOo|cQ;6>f0hg`NG$w284+^oMYmZ)28~S^Hn$_beZ1+DDYVB_ElQ?GCs_t~|?(Loe$T-%w~ zJKk)%6Ufi^4@xHiuagTU_iG$t-jssk+t^ zaUAZw_Z^0uo)MG?qnW?wVQi2^nYp4i&&yx`ntvygf4%Bj>tk&8sc3?6Asb5y(GF@W ztwGY#;V16c9TtnvMfhcwr1g1@uXot}A!ejORfg+y!u1?_bx_sDau(%qxA0`phsQos zI5d|)wEsa~aFKTupJI(eK?M< z!Ga+AS=~e~k2QaDvGIA3g@^E5+Nr!|3AtvP`#wp(Uw!3jTTPX6zey?^B-=_{L|&aA zr|YV1QrmJTeb$Q++cHU{}FtJ0N)1F zx=Ti_d1?qjRg+Tmpr{j43UX?0GXrFLI%-$%S%V$+Fe-0U8+@RJ!Fnqi!6|z z-kn%N1Y49!L^TuJ5ii5-ATV;Y%d@pY-eM*~p%r^vTC=~M3YM~pvPtt>&!qoN_xTLT zS)-YQa@*IgC`ot~qvLo!tFw(*a(YN2v(1uOfb>#mCSuZtT3h>8_5F`#NBErohenXb z*>j`&VkYYa|Bs^1=r$8CRvz5u$KT4$@aZR3`BKWKn>hH<5<6P=9p8>L)S+BPL6iHLc# zetE>3kZ*w{CH_!xiJCYweO#vDP$C?6u)Pn zXu|>gEI1?yBuD)8s5VnQfKm`CNaXpt!lTJiqdMb`8D7oqzkGts*hM)Skx-_1ILX#8 zETdZS;IGR3CbJn2cE(do8dQ>Fn9j4MR(c=g^;V-&kh)pUy+N(8!Csx!+N4^xH_^)+ z4KXFt`X{;)v5Q=)rK@aDiW+8yYrf%%rRR{)-Nh$HcayG>^NG_y=Ug(|N3+1 z^;tdI6y1~);1&kjixhtkLypj zhBKY}-cN#3z{e@X>Bj-OG615%W_~KotDtQ45+`cMO#z4iZh7~|;+XIJ%fkGrU+H;io0 z@ywjrjgDKz&1En^Jt%uu0jZ!N>d;l|5l2aV#RXwxcof_jhQ7R#oXm>56r&^%6QPqu zj0`19_)gW(*qPt*Bu>r?s>i>*@lmKUk>f4% z;QBl&U2V2vw}G?!2v z8?)oc=Q9?cE9a#kkl|d~yL1ZKh(`#6V4k}HLOZov2_4?wP8X=nwqELAl`l8YHy?7= z77V<{FYaM^<*T9t(33*otEa26^_2}&*|^#lU-yCU;Ert2WjVi&dAJZ?T6j7hq_eba zLe$-tcD^$Jkt1YC)?ap^0SneV?lQWX*kO8`^b3&bPqj0oX*Zv^nY|iN2{LS*-70wx z+x|a`wlK3lo?DQnx*sepZ>}rNk6b^d(#rV?R(N@xe|OBCz&ytxujBxRyOg*CsS#J? zW_n*zP( zSlI-y5wY9-9!DvCD4N$^fyKBjWn0kR8`2DGN%av-RaF~TZdzklvo7a$X?HOBJjAEG zpF_-u5&L;yfU*ml5Om40pl!$2uRoIe2|Xs?C&89N7BOOoq_71C%N-{ST?9Vocbxl? zyVT+j9@5I9_QYm3$!@|-BMs$D9E}i-!GM>FAPuEmbdx!94;CGci>AeQHS3pxfI#pM zUIdO9&m+#I-Q%ds#enBZIzlb61j|8X4{&_$k%H3Z;_&G9kCfC4>G*^9pabWj z{S3&EJFhdc8;F=QDY8$TN2;Cj1N)G$g~iI88Powe7)H@9mh^>qr^d8Ic@ z@vb-@>SPB&Cf$FhO_=nJf6o#hvcsynk#$%m@bbWj{F~8?Q;Ped6$cvv-vuF(ZniA$MMfPR_ zcI&%~&6DX<{fK!u*nE|wo%62oN*0?%tn#AZu~>$NcM!hlir4_&r~O*^Z6E0vU``0*}+2@gG`R`n6}&^f8otc)x>i$hHKpVWW7l>_RNmYkwzLhBLQ&262B z$g&(7EduB-$F!G?9IGmT1e+=6Gd_-0WOC|WIuAbNk&_F1kTMrjO<6o#uk2;oaqX~e z_Hrz(8Yy}!@G-(VE`G4f6DCa0(0K&UV6jdX~ zoj)HMT1LVNC6AIv<5s2~jxbD00m_%(b0WtP(oYRb0S$_toNk5>$W%cYtKv_%=p6xb z3EVoFUWW6};g(}gV}!m2Q;;(C{FTdGurBfo4Ssf5nVVMEk7uP?*v3GF@O%LpR~(qj z&JweS*NNsZTF(f@#+|UA8OHn@))^dsj@)fO90k>!XFW7blAOesK^Jht6BM9zRDh=_ zfLkP48#+%;&%;d*v<`|tF+TF8x!T=Wz?#ZWy!=3+E^bAF<|cW2uNMliT)+4}jlve= z0GawR0u7x;DP#~e(8f9;maXS5+tuEbkXYsWZ6P*6$^?-am3h%kC5kIPSu1kqiRj8k zlPWU)`eU+{jjmDx@J0y7bD84pMMSpAMLeJ(ZD19~F$uX+={W|6nnxbMBC|u0S^k9d ztErUFrIsawwXTA$@ux$&`!j5vFo7^;8Lv!fngXL@BLqB)OFIjafta@@%IM~!_AJ@on|@gWFF7#CZTT&z?RW;2rX11s=wL7_%J@}mp4e5WfX1vFtG|r zD(wEn`iWq-gntO^?gDMs`NaYwq}xMpiCw?JOw^KURRmtg#)%05J350634Gc$IV*S)dWlRl2Vo!Z7~ z!rRU8pAUMb=iVHGdNJf%;aNw5n2$WN%KPd>kSaId9$WsYk~*PK^~(+SeeIg>(;^ck zaGUsVwzn2G8Oyjb3KRH_ z(4j^`7WDS^?t>KcnqH0f+WT(w3JGHT3;sv=QU>|Y=(2EdwBYKpV8Ul}{zjwzw3&xLMY zF6@86e}yll&_5C4;%XxNV>^XQ1GS9z->`B2D|{&_FyTc50s_WB|FKOA*avA32=b@< z7yMTU2!Mt7nv<=qEoaY{Lmr13TwRwM`RRYbe}yk)-am8t$^+Ctwk8Pw=B4dl@PA4A zCu9Fh(*IV{|6jJ-j-20Mm0wB4R;s#^PN-xpzATPxT0-w(ebg_b^VY;hJTL#KW0L4I z7mX+9Q-{8>2jrx3Yz3k3UVF`oqq^l0n^W8MpA$%b40JNta$`K-E?}86qT4Cz?_xpB zglNS44qD7xk4_lgN~KyJGZ)bA<(H@vN%NEwv)gzyXPnic(N2~j4<~F_Tled1bI!BB zmy4r6>SNOt?cDvhl#ln}X(Zo@i(W=Wgo(Rs=lIlYwhvS_P{y+A;PAEH%V_6AcJ@9G zw^d~-Kp0=fCZ^84`nO>FMjR=Pu|xf*spu^C^p7$yKU*j8TNd95(8A?q_-0la*uj|- zLQ+n<4yCrVdl6Na1blJgM+}J#qSga~{ptFuYh8_=63Gnd*p2Q0)IOw_OCJ}2f2(c9 zh9Ed-g-VGIH|DRYFs2VNxc>9J89mT=~?Regr!b%Jeea)p4@D zD5zBUVrd=yv>;kR(nUrV$g?AJ%nF(7IkZL~sPNK`gxtHg8^rpAA>cT9lP?vR)hjX9 z$TYU*qB~nCAjTDMP>><3Hxg)qp7{^WQVT;Agg`p%$2#=I)@Rc=FZX)Z%ADgU9)%Oi zs5ghV5ywOI)Zlum=%9otbW?ge*V+2Zb1Ke!ZxU#o;eU8OQ-{jMC9CK{OOJQoAvH<+ z%e8&z-u$>k@@5FyK)P9sX;>RLk)1lfv*2gFIJ#c_ObO;r2pUzY64C;QhyIr+K?P|} zC|OElr!4U>o~$#}FjLCGKUYK2KJ=$rfAz0gC3d1AKZmg(N0&mFb7z9Wl6(*M|FMI9 zo4438pR|2L=X3hptGR1`)wjkC0&`Mmn0mZrs@urcqwkOER9HE%iz4~;UO0^j8W~G3 zW54brZQ3Ux~5^s*_-(|e4%m{Hapr%8+%uL1WsCrNK-F<^}ygz zq3k75-6fqnDX!@Y#O(yHO)?J^uHEbhP zs3Z5pF8{|?M5t!z1zMJo9YwUktvs;x>VZ+BR`1;Hjwvw}OnOiyuvW4EFz$$M8@<%T z?s^_L<3REX14|JChAAZnQro2A^?Q)kRSlTgUy|y5TGt!6ngPmV3&hQ)frEv>d^Ooc zV9gcBUIq;ugZaFBPt)n*enj8YgBP_X&?TJlLkUGux1CSrAr+sG(a~|auO=lpL9!NH z_FvwLu?dNyb&+|cjDeA|)>mJxSzv!!Of~RzJcpoJ%IIDwL2O9}na_C+11R6`hV4oH zmnSnaXbF4u*TKwE&A?nARCXs*$I`U%k4SF#VuPs++>3j96Ot1cEtV7IP?^8vX~8j< z$X`hi-eSZt+F(If2)R~pG_uh&wtZV@wgg+_0d1LPI}Q(&xPLti2O&FE zWYU(=&6OmeZHk?^8cC;29zp7puEnQ{SzUlRHjfYvXc^|A7Wcy`E#VYZ6#;}0?~1xD+pT@= z_Qud=Ppd&o$_Y@@Kh(G8S+OAoTh86*=FxC`){rqwM)`5#jty3wNE|odE5}N-GQM8$ z_cli-pp|0s?Ffa=R#qomD237eBMqk_N*J-w7rzwds&=KdhU2~DM=esQM3{+T+>sHj zv{ZY*7QmF2q>AXewVJ9p#w=7bjaC~+ZH0}ZAhC<8zRFJUzO<12z#^@of-e%q%}E=c z40cFDsfBkwopK%)-fG579$Xs}$HI!iuY=|56k`O2f_{%_5r;FF+FsbkI%axrBETuu zQL7)!HVMeKmt@)@Cq%Q~zc zICEUpFF!SowBd)&Fp%bgo^P2<;L`jO)-aIh6e_&)U-jtXB{7LPZ!gTvpwQB}Bk8mPGm|j()E?M&N;c^?*nA`JGXd;paf_jWIo}YkeLAAp8 zNb8nJN~<%Gy;;`=0HXjhwumQ8VnYMS@?H`tJlHUo7hJRfaxTo5taU=`D{pnc$(dH@Gr)>q;Fl+7u;X4Fr&Op(` z{^b8K*6WuWPC+%6{*P?S$Zkr5x}(snm5ehAck_J$b+|HJF@Lv1T~S}VSVI8gtFQ#- zh*WFzRapAJ^QY~F?*iJZKHn#@Vp1%pj5;M%v2ct}?AlQP8AXyleWvlJCYH9>;e0G4 zgclFoE`>Hs?O9D2uxwDRs7a9&`KAJ7Nj=A)^bI;F~&yY{AiX9o6&AsfF5Q%?ZEKW$=3Y;+JYt*Y( zEDVrkSxR(A2@uE2zF9xBts6NJmWfaGhiJyD7jzw6D`)m#V{>~_xySXmKGj=_(1yjh zY-khmHmrLTo9SNXAZNaA6~P*FOP~%Mkz~aHBa?cT)$E&i$vMuYGrhsgl&0h^%9Mfd z_dY^RFq)`&TCPyLOaVZeYTztqmCWlub%;2h4VR% z3*;1%L|EXq=sg1KbZI+j!W{Uq@?8rZ=m7{&#oqSlS*Mr0dhZFNZt8t(%?gU&>bCE{X!*2hF=zJt zyoyPH+I~Ij@>m>rj4`R|2JiCF?sUZOA~^EV_r2_=_5r*k=2>vO(-;ltRKlriXYj#W zhPOheD{Aw;#CpRfPDIkhLZNX)X11z^y-uh7SRD*&Q5TLXGdwXwt*9N;^1jE$FhlYl zVDsPYrYZwn&Z($HFWfsD62Lt8(#R2}d zu$y4M!a5M+HFq#Z#>sXDa~r(T@}EfLyB+TEj$-n1s&9Yv$#1}vbt>ggE95BeA$H6( zFSZr4#PHN=g@^|S-4gI;xKx!k&Cy(TJ94I$_LG%1?a?B3JFHBO?B^GoNWrc-C)b=p z>BJTqDoK&*cRSwY=J(6QO_IWQ?KY1jwW{cn%Bhjo;)>T?wrkrm2T`v1=pK&|+SWx$ zI^%jUkq)hR{LR0!pkRQXSAew0q{ifL9^_I?8h^t2>na`I?`Ru8egu18awGg=6Bu_#(Z|k4A+J8_hqajF9eVH3ktNs zB~m*2CTXH48qlh@Ug(-F6vv2cx7{%42kfvWz%&_Tk0R@esbfOBT7+Si{)y?xEx)&q zUSx0l3sjJ3nIGdjkUF@O!j~f)pOYwsL56}y&s5B>!yvhI(^Sj~vl@&B6489=<0+sm z#f7=x(}3Sh($w9-08>2`n$yKirIu$M4IzOpKUB&Ij|jlBDF{x zX>>;B-bnEJ2(RN!oaQ)?Q{RS^R}!YB17y$lb}(XXIuUSCTVFiNP@^OYOC<_+CKD^GyKBB^U`Ucmz<5(D$iVpQBz_fY4oC_$tn8=sHe14kIu6H zqMD$p2o8I{sjmPvy8&paK)%`PpfwqjZK|~VWI613Fws$n#v%y=T?$Cy3`-h-y-+E> zZ1XQGfs$U3-+}2-evC^)LE^7LY8Iss0GJhtyaqrNwoNusU{KZZC7$p0_!h!zz0JWr zlsY!ycZoecppiCf6p^Froe1h&M^d5iFm_guSMCpIOIEA!k0B+)kaFjZ)BDwr<<$nf zri!e6rErsH;ekd^{cD=;;+AANitPPnw51!3FF5K8mi~ge5ZZm(le=V`h_m1gi~aT}!eHb@qP9m*Qqe?IUJK zeKXHBxtX$R{}pxWhBw0E`C_1+ho)-39ewJi`^($lK)vT{W9|9|RWGEljrs;@a`*3x z!XQalw=f{1Ck?l?`Dc!O3c((($NN%Fd;g-VU(X7mcKH5>^F{;d zSWDk~0_nJOIAk$dJdlX@TZ{*i>mp4YAK_T4xLKqWY~CJ1Yaqo$j5y*vW*q+8#t*X} zC}C|~!@sZ<41Trkxk&v{kp8oSa@>ObbC%zy`4<;(O7>5t!Gt&KV!f4jGw)i}boKzs zeq;Kzit4r;*VsnUAawotWirDWhGeRcDo=;zjY+FinYnpuLm~@N%lk*N6n}zIhbGkx zjf-&<^6~u^ZQSNtJqqRF-nX!eXqbyyG{eE8Og%KAukA@NGQM1Hb*&i!H&22w(zK<3L+$xn<-bZ6#Oqt>r`L!8go?^kHif(=Oy$j#`Oh zq$qg~d^|wE6iIk#xZl>&Ufg<3%beV+*TWKYavXJL!0z{8hQsZSlezQl=M}s`^SBKc zXI4mC9t-QC2e}lXsEN)X4#2tz34GOv6D`(8mlsu(^# zDhPWW9KPq1H0(R_C!}G>?9;c81&#tT!B8*61h@sn#Z+itiZ*$_>+g3D^ zGJ6GIbK=6>hm)B@+dogv1ZU?$F+MmqGdxf(t?_5P06r9_vCPK?Y7^rx zw-ZR-;c}2LR)B_g@ES4Q3gs2S)F6f&<7gfRb_O$}hUB7%2__xV=wYX&!1&`{U(h}wPZLQ#4w*; za~Q=7RKlUcOQ%IU?99Q)C}fBglt5alDgUk}excdM=eZK@u^gH6D%yDXQ; zQmksjPwjq+^S(?6Ljis}&7VjdRd$*Y{wi++*F1wlT6R1xAf>G{#Rn|CJkf{Wz=j;6 z52LG3q@oWg#W}LMedje%t2kvCs+X^ErPOFp?JuHJ$2-bH{$|sR2T>`VJXZc#TqQ?7 zFw@pUByc$I##ofQ=t&F}vlf-@4s89}X=eiD2G)Xd9tw(9an%DlWO>raZOGW=Ck9 zi(@)3gRkASTxWaf=5(k>D3k?nEY7DeO9L{#8-&9N$rda^_q8Q~F{5k*y2F8}DyO6+ z5EmH&2RmfwYtj{2|J-Dyt8#L?gSIR))(6L>qNKf>APNyEa>K$15s3J@3WoaHXwW`g z!O}x}NuQL_8ZkM%^tYMxVbViSP@@LQ!GIDK4{!=TRf7uw3;7%*-wy;$!wsb2A@V-w zJ4VGMNZq#)(F3z1$3N;J5Gl7Kw zwh9Rho1vcE6JI+*1@=jGZYRD>1Wdz6Gg@rLa4Pg(wxsb@5G5H%ke<8*K;+rQt@u0; zI#M^*=Mp+cVjd>z*{J3?&*gsqwsjWaB>4N54V5VrEHa+ylzOTG%X?vDp zw;K}I5@S&?@nG!N{1XBBP#?O2CB#gxQ}}^YrT>H(LHtjcTGA)d4Zl5Z(&IUKn^&df zXJCc;VIe^Iy+Gj?{A1@R5^wyc#s7&E*i0#nv&0A88_SU=4h&Z-2{w zSDQb*-k%qGwpSIUwaaf zb(A&+4mvuf%L`L|D%?^bM!uXQ9xOtM7=NyWEC+mr2w!^u1t`bUvY$l)?ZeSRco&f_ zUsNlb2xQbo;;N8GLWudTKnoq@Ve9fwe0aeBWRfnLk<-H`suQSCATd!+^E2t_ng2Hu z6aT@>*S}ruB-`I#{epiGAPgjcpo0!f4*E(VN3RWD z^^7`VTW97!sZ`%$Bs00J_IhP2aZAF9m%Mu;pnF)mJJ1>v)LS4%I zrum<2zIU#UX9}7|2njCNAtBjg2f2UU`m+BMDXXJor5BPv5$jQewm`@S>yJ^dfzuST zp0O0yuoIuVUM^PhlvyFpT3Oi2b}|8Z%)hZLVmtQ&389yn)lhAVI~z5u>acmNO|L0t z{Z|4q%K!4O~B;a8P81?@Fe%}Z&nzcxg$-_#A6TH()D%{Bk?ZXe@6B0yiB(Ac zfCq3_xfBdIVo#bBGJxZ=Eo1!%Pcol&j#IHWf3sIE4siI$VQIZn*^1ox-EL)QHS})L z_j?+KqE|(Vu}wv+F)g;F7fZHxZqyl2-8k)@I-=NJ@EYLr6#@ae++1A%%su9K5Q*GgUQOx1RFZ*8uIdp!F=z0zK zXA(nSSl8FHF4ur94W5NZRJr?!ugNG~17g0kI1$A!bvvb94X$NkXma<%JEi#QJPX2K zHtSzqO`e6~ui0+xl=2n2mc63NImLW++g!_HsIpIfMijR>TmxLby5L`42nLikzBC$N zUbF|4I!*qWI9Y{J2YgQ^7VOXCJ}H5lB|y?6ZBV0+7dj&F{Mw@NZM>ws^COoXcC`Tst@F8c! zA1Hmd#tdtWjdecbey5E(w)-MWW5e9a8n4UQE-B)Zq1!Ezqr;$1 z$RP(~?s~kRO^}U)mN{V+nel2hfz>$Cbga_=Wz|~)sqWxQ zTfFd4Iz$J)J_v)Y{1AIiANy}@{t=WPqE7o_aDTrRUR`SlLDl=2z3 zpIU*M+_b)9=?FMsZlP;hx;4D(k=X7(!J-CN`EBS4nN6np%f9L`-OAs8d0Rze*4#2- zzo(?kxV>IB>g>(BMG;xtwyVk-+|->lgWxzFykjL|+YfJPwcm*5>hO7tM;IY1A67D7 z-aR9#5LdT;c9RKT{d6F~uTK5td6)@DRKQR{XogVLPpH?8(w(_CspUrp0pqvRSCNJA`8`sh%4}eV?iEU&u43ww=Kb2@j&n(Y zqZ*TX5av~K^BVS0b)1@TwDvt_n0o1e|7JbJtHzeVumZDOKQh24`FG#>lh^Z5P9Z)+L6^BOg`)AR5PiRGb} zhaR+9?~8MF3~e|R=(S_VchD(kWOaiYcyHPHw%%e^Cq|3Z_P#SNHnSq~6lF< zYIvT;6=o4xijCg|z#>cpzu|JGDi1$Uxy)O-s2O>+)F9QKJ7|YV#ZY(4Tiqa$aBX%Y z)uG=8uZ0gRu6%?uV;%y0+qFVfhh`szJiaBesX(yPJi^QgY`p7xz2DKCe9!jk`Tn#3 z#=J0vd8@ZFEhjR|Ofq9C$Pcl4>ElYdZpP_Y+AzY=sxkD6K|ymKaTY(2Kh zc30nmIEi-gaPAFk4A|yqhW`xFEh;Rt@`NNdv;ZRJuRQP=8W(HnwGEe!K%E7v|T1=*-b$i08$={d@=e!ZTVDia=n>NbUnb#eH z6CcDKlSqimq!RB zlYbFk;6+mqO;3^W4^Nx6D2*yq#q3(5an_f0Ep!LfIPP~B~ z4}1OBRQHLFXsAxv!?XBzzN65fBEet?Rhee6kAs3+7-Sn%r2TK4V6dAP~9#ZZ6DDRjVfSKLM#; zMozdxBs^RTQI*R8xLo2WH;U;i&yFWy4=kCzDc9f3DWX?Lj1&b2ei3C~U?sXIeaRpQ z+BLZvE1vm66?1Q1PVi15*86H^z;Ga>>TSFl=s#c+Gh1`clUnZz-f$@4+iG$dux9B^ zRHZU*v!(z-zG5|U_s_z{I43*8N;lRpr=nf8AYahxd*kzu?=>469zebOQovR4zV~NQhP1XYN798DE9pT zi%2EoCS4|ppr9{LUgr2}`>Nsbf8w5*b0Bzf`iUyIQ{5m+-()+Z!x#SMFDS|l$ox&* zN6r0YVprqw*XLfw;|;oI5zXxB%srz@)jYy1ab!wK@L*7*qNGq}Oz!UAIXzZl%{{ym zK|?%hpy;Jd4S+iBi)&c2o7pJ17*V6n+#h}O*B9$dD=*)y(@KHM$`6(qpZE>^hW3$Igj>U$MqHHc$nh0h2S)hMEgVJp{H33T9OB^fUThuo_y z4Cm_kovCJvxo0uG4cF=`49wY)EYoGW)HpR##XR)9(&A(Pc8Xf5rDF&sm)GRt!ph2zHOTMy z5bTic;A*iPoYYIx?TbHxvIy6E7_x<^dU51Y@Gc&`s{k7$EI8Xn?dtXUGI_r%P`Upk z#awOApbK({Sr!uHWbNTj^%N%HpHXZ1P!|6#JJuYZoZa>#obUO^u-rF#28A<0Evg+1 zhoSO?NBP6C+0EUg=j=xFmj%+1UM%J8qGL7jaCc-DHSUZp(QhhQGUDIl01<@Au6#vC z=dom~K*Uw4VGP^Th6B8$bCWWUvYi$k(AWPOGr!dStTr3UjMa5hixlIGyT#rd%?lw~ z`q~kFa#8gPx4m!Wq|g=iBC+kEiPotiAyqv2X~N)L_~GC7wWty?D*~XV^CkGrLnrA{ z$a__#p@YU4iG#(1AmCCkP&V)oW1w13V%DB4uDlAWT93 zRFpKEhL_h&km{d*v+uqMDy7R_H)Imf;b(b?x=@d!RM`&{LWq;3Z)$AI9fCXFF^bwA zuGg1KlYC!)q~zl;T2J8cPrYt}jwV4LNH9NJP%RZqN&bFZcf8<}(?CIjbZ{8=&w}UD z!HHFXVDrnrj-boWve*LF=d%8E48VwA8Fs^i_dzT5UqcCv9QOI?N*?Ue*XzX_B6pN8 zUuDa^P`hz-ap$)7$s)Hb3}RAtb|c;67dWU`klKh5b~jMW8GV^xc)smiv;Zetf6AvI zv)c>(&hb>`l@OFe1L4406R)(QacWz!NekZ{g8#beSZ^KSocd+T%2%R>jWyqpkGeG? zTqIbfmC3B~=D}_K4ysOLTB_9~h}+i}rFZ^@v!$!b^4<=3A79(7(^Z|n!g{IwTPU)EtL|I|(}coXrW9S}N9wQzt&#;DOudXjALUFzTRlj(pHxLF@Kp)Fr2P zy_~_P>no58p}(L4H%j+Yyw~z~H@!Rr+8{id?1>{sbbnj{e-`jQ{RJ6NU85W?i2hIA z$06kYWdcxT21s0nVJ3-2{Nn04Er>*x+FgTdmKH6+aoIxMTD|r;PfFWTv#5<6vY2|J z3#4Cy1r8*FuMFrErAAqpwPJO8DXL&RJxhPwRGD}+_DGrUItocN+WoLKT|>UEnSsMx z-^9^EJ8qedwAU}6Lz@xX8ea{)X|>K5K7ME*GoNm;(L z%38Iv)~XR+lrMK+feOaL`Z`%YGf7NXVBDQjf%oS__RItTq}q+-(%4tJ!9SyGrQ6jb zhkP^j6Vs4g-d5ljlUmjU&p|kPS`P3G%w;M|u|73Z@QI{aO8Q5&^mO~c!<$~pCJL}j zX3oE->j}DiY72d^^G0x}YIrYY0#)DYlUldR4e9pQ3aQ|G3h7?O!lLI0sRHA*%lAwL zN&0fN>Lt2rktYurmvfbO%lLvC|IguxU>Vyugbf;o{Vg{iB#Iam6FH^96n zo~osDyFi!3JIx_GAhFu4Uh(!Xx9=63)iYFXaU@zuRXk6T3r+B|V-a>pPFX=KK7VK0 z!fI|bYU!v`sXY6M^swfrW94QBFnAz~TqdHK@5294P;{rOK`f?HQM5M`&#NuBh!dtM zai8T+p7P~JYRnlFoFsct*Uj|S`wTL_Ef?~Z&Km-Km64N%D{sjHP#m`f0~JU}$pjS8 zr+%+)zvYU)-uO0tu~={~$@JhZ?%1n}pIZI%D6U*uMkT%yMz?-F~@Y2?Jn7(}<%dZOo%)=>i&k-d%o22*6)>px#ao z!t&EH1B0UPO1xtVaKs`g{85T(ikEK1kA@d-dWxjC+(NA(P>z+`op!kN48AJ=v)zvC z0=G1t-Y{~T$p7;loNy?dh-}vZR>Ud#^So~c~F12JMz21zPi@=Y=L?U`x9L= zndj^KGgTi}$j~+uyiK|_zlRCIh|<3qoQT})8OSaX(u9+Q9cc#yccfIP4b7I*N6D4; z696q#(&PNufGqo+=qhjwW80*qpi_>sWxD#6CKDy7{fW2-3kgaISjG!vM}VQtG})6y z)E8vGTYM?Leb2pg!>DblIJ;RlDMZV{akAQHAUA57@0A zTh0OK83*R>;gx09xm8vlx3ylf=Z+TX+(`&a^0@TI)iRaazxQ!YCVqPkf6+Uui{DQC z4q>Xh=k5)5iM5|r@{YZ#BtRQjmxIas+bAaP%EOT2evi05tF?jQ$!DFH4daC_prEQ- z)=C~Kd%$9Vpm$o5yENsWp~mM|r$x5BNiU1RjWKK669Rib_DbygC;JNbU~=)AMLMiM zP9}buN;{6{Vrk=p;(@%kgI$Mu&@wrIQoGz{C^&_ov=|OIc@@FIN}^Q;-hIc9_{m_i z3zk$0vs~0;{-%D4R1H&d)%s>7=-x<_P!qr5remhy!!nnxxUsxIIt@gU6#}gP;^F9} zJA8*H1#5Y?zIDXQf`D1*%zn^KMl1u_q29 zOVK(Du!$vIC&3Qe6VlCY9p~jclFuPOzE_iUUFAo&x5E{sS350uvlLB!^({{|Y2HZ8 zf-%DaTH6sZ*#i@Zlg!846ToevRf#Ph_widpWtHIW@<2!>=KOjPsJ){_=e5^a@& zrGJemGE8j`M7It0UmZiX$@<^Au03avu~2GvF;3wc6Fo!Uj!&PPYfAIJRQ0;$9gQY0 zG@}OaCZc=P#iaBy;U-w%%ymWtCEtUA*coVYZar;SDeu%_TZrQuEU+0$Dfchjb!P_G zf?~qTDH=$K1I|a#c^L&3r9sL=^ZRT%>1V#F?-uLbSpGi3YJhfl>2{0xlGf$oz2!_z z^Yc5>Z_BX%v;tZF_N@r^EODs*EZ7(NXMLpy+`RjNB41A@7u-WpL4cUps6C>9Jh*+S z%`WOwdqBWPs)eKT^)*sx6s#Vu;qa0t`(t)|uEMcm8Tc0Y0u3txx=m;os7^Yt3I7xD zZf~6uIOWq)pgK8y(2w!s1UD+G)g3Cd7r`6s)p*LrXm0%5jco4-(#FZ5ch!RA(})?h zDIa_8(p3@lQP*1}g+g1#dJ+j$K$xfKqx^=2)ri0u+Dv|;Rz#_3!4zH0N_loV35%4p zuavt)>UGJVxJAuzu`kN0z+QU#<<`e*4~Qw)>p$PjV$>@R(MN6-{=4&GU|>X6ifWOC zor-imxz#6lJc&I1tm*me@Aj4+oM{fsvl6sx9fcB3`69uQN`eKt>0t)!OQV;hNJ0j=P$2vLcV zB4>960jyu}If8%dQ@iw>tCngi;udL_@7R@6^*@;~-*E&ST%`S`w#Z^Dm{Z&$fNoMN zC@k3b>~5nseo2}0?QSaLeiB_J^lZAxO>!=Nm%xSzG_))kG06p{!VR81u6`4(^jC7qg(yL4tcGf4xz(%HVBRZ7nbo=f z;|NwMZLc`of3XMnc`bu`&EK_%KnU8hPoz`+b9r2V&iqjBr}yp!ux2zuOnMd{aDOz) zI@Hzzm7RCWnBulo)~Zi31Ub2+p7rROp$*Q<175`>m0Wl&PnlzhDl#BQMhz=v$94Ih z7q`#Hp-~+Z-dss*l@N2LEr(-qV~y&bEA+N3DxgG>z|8yp5oKcYX zhl3qIS94l`Eh`V=OH0BSW(vR_Re+S%o}$|yr?Ll={^@$R?}qeJ(u~2EKIebMf7kD5 zY7zT&o8}^_3KunI+xLcWFaBk_;kOf{oQYu?^-!5bgFNY4Ni~x_30tN8SUfFgLAZ~8 zX_p@R_d`|niLt6y&^A+#7tKa0rHrQUYlwbe$%!uUPE{sYvyuIRyl&nn-C$^SYe`gi z8-KE^lKzJ_yy|X#rCxO|EVn=5N{S#Cxh;!6&?`_MpUKmRL7VEvpfUOP%q)==4?mjm z_!keZF3Z6!ezhYHbdNqd*tVr1K%1)rBR&fRxR) zW9TgJ1#p>HMZ#p|RNk9q%QS=0JEYGZH?*JqT(7x-L#?V?OPtD*SYg20*K=jkd*jg~ z;4r4e_p(I%=ta=eon7aE3@zAKI(Fx+l}(O|8*PeNqyj)Gkpf>_J1&QLD)y;Lw-GlY|l=`IifBNVc#=Nf3^xrwUa55!+CFCw@869n? zesGsC`5kF&(Nod-t8Xk*v{6sOJvtfgy_%p6_yYG1X8m?`LEmqmSMRZEKcWRF^RBMf zt@+nCO_Au`!BWEQn=bG=QokfouhO^u0^8?JS~jv-Q})1ikD=?gQR{Swsfe?FGvCMb zEqBv44wDAnM9{brK&drgYv=WRFV||MhVuuYFWM^bYn=pWAG2L3%a2^xIoMYop5-gZ znU?y`I|X+BRLK-#f`B|-cA)|G0n$NBG6T=9l?5bE2^FMLB}$Z=$hDwFJMKOei=hA8-+$%gz{4+xF)Qswl<{XShm zY$_+OxS=96F`gAAY!w!2AXBLwZN$G_5B8@T5~PF;Q+|! zC8?#5tcYY};;nm&0+JSG!3|A|uqHEj(;`E<_>8Az{d&Fl2 zXScS7>n^E;3Fy8XREc!x2PpkKmV2M%sC z>9n~m=%M}-*oV98^6gfkqG;xaA!UB~+WXc=D#x zid3boHWX!Um1U3IbBPPF{k2j01GMiMXU?}ZADb2x70js{QFEzjYu)}kZ)^~u1!Bkv zA6Gzaoa<6T3S^?yV*piP1_Is86(j*mM_y}s;|pLF($Ux^oYnr!Adr!OY2*9d)X z3c5TfRFv!ZD$#SpLpj(_=Me3plqU2#hS8=ht1H)(4n}NN^VV6JuZ&tvhNl?&1PHzI z%;RUqOBM`Gb1qV|J|PwgJrS>y{5;=Tg}x=u(Lk{8GPd2af)8Gol{`17PnAt(eFHP zqZo1?n_o;k4_z>%%dZ70IPYr%a~hv1$^k7Q?CyIygGzcjs*sFI35zSqz&W3@Wk68k zS>7HvP-rkO3S=)UF=k>@^4YfK%enA!FB&+X(G0tm1L+hn{?X(8W-r|-FcrcDliX8k zpGb54#CglT1D;>rO~x=yt;FPcsz%kVPc)7a!^Kg5uelZ6^sTSK1?GPba>uBaAr_!( zCg;wn7cl3Cc!W@69%@jG$}%?I+o%SB8{*aWM$%F7cr`4K(D~hdKSCu~dd|LH%JAy0 zx!}T(ib75M4tm0aycsoH@IpEVj%CljZFthv*m~^eI(cj(gua7u?_{vfxgcpuj=xD- z^nXu%I2NFMUg#2?*^=W)YrqzgyzuOTpr=n)7X8~*;iN22^5eECNI{IVeq@(rs!Ah4 zqzwp$n#$_N+0}k-rx+tUlNLr-cQ0`7XdgmUy73=jCSezXvcldxA5U@Gv+Rm{=s8&K zl(DTQF*8>!Uf8y`yf3Mfd-0IwLz%g6Z63(1c_Mca2cWELvw zGrzu|_;@4(E3#HHm#zz&sGNnJN6w|oWk2&pn9Iez>1mW>vvnLomrDszB{wce4D-}c zn9^Hv88FN(=}bW-N@Ru%&~_eLfs#rb3) z#R9`}8av`nzH?XANx^mDQF_oF!EDLlQNb~KOGo6+oq$Y!&4V7)*=#u_(Q(%{dr6B_ zWOS;yy_PMHCEttpaR+S{voyW)zIuFt>jjCyhWlTq+wZ0cvPqSvqT>zn|325Fi!WS^ z_MzXLyf;4y9R(E9fT7i97Is02p|y+ChU&+)&5?riL3muk_Bs8II*#1?4j+tiup2@v zzfK4xIrhrF`>6!4pnXlPSY=@w-9c3!0CML8wHKuK(y+bR&2?(z&ZMH}dR!p#bPxT$ z+;XjJtFOd+L)Ic*yJT=Cem|$|eNTg3k2rgrKw)W2>em=grSm$H@{w}JEvd`_I>$3_ zHp$_aza3uLL=a!})*1CA(Kc;3H*fsC39zvne_9o;F?~;)(xLmLn0?7o()zcg*f0*Q zGY>W|z$kH$J~BJSo!;mt(e*IwWGE5bb79HoU-I2nNpZE#vt-n}!)Ey8Qt5}Xcp&dc z*Z$t_)u+-UU0UBW?rpU9w={bV_VEyctssWNN%A!YWMO~4)M0$z@!sZ*AEiF7G;Kn7 zY?=3r*n$A@q(P9tnYVrP;l%R(bvzZ$-lH*)HV%=T!%i7j?Gj!keU9H&?VNy8AAa#j z;aF9qKGL3NpQL6W2n;aYTHF^q;3nk437H*Wo^R1V>eYtGT&=bg!<=?-+Vn|g}xIw+ZTW3zY82r%CL?WvtX+2 z(1iCfX!DkgjT<9v16wz$tOfRAeSUQIe>>)3)~62DQW?*Xb5C@}8dg>DcJX9p=Dl4f zhjBGFK&!BkkjM`c6gGixx;|5}Gz~hx$oGsAN83WRO7|8_$@CnQ>?B;d>odOK5yD1N zd1sN!u^RoF)oE9zOmYagnu+y=X5_@P%%??XOT=Rr))@fMUJ7cz6)%|GHAq+i<}p&FgUZvA!*B}HV9fq?F#7%8}px*+-(A0NQ0CjdjVBnMZaYwom4xF-x5S_MnueX37|FnI@YmdV z(IG$H!mz3eN|Q?hMa`TnuOY&BR;SU zZ`el?y%wdNL%pVyTN@sRK*w!DqsP2xMY+%nJUF#^jDuqS8Cofgr9z{`ecO zlKyA^O2WTlDk&VWs9tU`&2}zxK_APZCxZq9WXyLX`^rXO+VQ_^ky|4U-?MAdXEa|$ z7eD_-8I{i(O(lPNhf0(qK*ghW6SKZ%}CRqi$x>I zX@Z=dt3xt~u?}l)YGd*M^=M;P1=rXCY$Roy;Viumw(SJ0EFFj!SoL|A)PQlKHNMOA zNHiYR$|!T+u{B2czTM=S^~M$Q%m?5uPvxZpY-1aHfEqg90wW~+NRG8xBSQz1ElVAc zt60DL;zxS!dT#eQknTtFh>GSB0egVbNd)XL5KxbF~Bx0n0L!v=|Gd};?tQ!&)ZyYhqqwA2T7(4ar{%Y za4p8IXdd^iRHH)l-h>|XPrh#(!?gYe>`2gnhGlL=vZOuiSjk+Lw=c)F_L*jSU*76rR=MM z6vJl(Nfp+i1w+%)d8EZD!%J2Q&EwTe!{W^2=^os8#{n~GbwXErT+$2kq`Z_n;xrB2 z7giSYv8Y$55anluoY@%8VZpbua-B$IWB1!LNAZ7+T=mWR5hzpzH$jlX7rp97m+$Zq3ma>z)L z=5|n^A?IvG#<|==UovIJvwFKA{)C6Pb#Ljji>mW<8`YHOjulkViKjTQ>w3atwvR#@ ziYFUne=HrPinpHaiusJ>7+Hk&E*#@u6+u!Qz3>lzdYx_7ay;fy7N99vxO`F6LK~0< zv)*6gDR{=ieBj*HF_m^R!=fL(W7|^4O=bLZzXjJ~L@8mH>qSFV=b1RgsBMcFx_9sc zx5j;AC-bd(NJL_2dq(~nb;>Khm^^!Km5oggHmtk#_%vQWw#?K*9YH=PyrX7Js$8M2Vj zp>7p}5jKhdoxMC%xc*df`u$U=#j(K7fnW4>2Xb}rX!wgHec=JUSCL{^4gho=7L|O_ zx`M%@)-YRL?(afb1x=ia&jV!4J04^fZq9O!hdacd8kh|n+ulSSylV%sf>jl{j#7tW z)nd;F*j--uj37rAT|n0&dSB*RNf(p0yo4HgBd$YvH}vtP&(gLl9R!-M5Av`+EN9 z0bI-Y(x6DrW}WHRhT_ZWrN$kt=`)82#FpL@ewDd?pd}-CAOE5#JXtxVjxN`+>PyF( zgKfdQW69?Uo>7`EYK|_>VUs;g$009Cds^N9Ugacu_$f^r@uo$B*v;$(qCHp~UwC%) z^4~r2-1Z0E8dTrC)0Xw5Iq(=*;3W>zeBu--(-&Eg90MJo3aTBk@aQPTzmtg4$SI!;Z<{@HC`zI_ z%(VF((ZAtElO)SV!M*?#LFi+E#2XRMb#sD9yPcW#?~e24Td6bOv_sza%7A1mx$F6Cnv zqxhL>;?Llvq9Ty34D?Iep?D#Ca|}@z6a6hlYSdf)!`)p(@6Z| za3-W&>#a&xurL@u11_{FX814u%JRvK5*8c}pTs~%3UY5cXG9ir8Q)3{VT`$_ok$mp zS^yi0sJp1D0#9BwKJRv^bZvAuVlGW@q4yQbO!I*8r_tN@+=Yr+{M*l)K6^1x{bkrQ zH;CHd+E)x%TzFQ+Ix1Ookxu8aBf(oJ&rxyFAmS79g9)TCIzQ{1o;ft)8g|{)JC{2R z-@2*M9Nf@@!geefQpOiH)%dq>ld2AtEnwcle=}-omM3poi@<7 zWZPodhr|v3ei^k`k69X*fL~1;~)!HddlZJsV^Dz6|NyC4?;>voS zV$z)PM4drmlVZmw?r|eh&LFmyyvN_|%^cpD2y(s7z>^pQhNnw_NNIRRTx6gvbwNnn zbM4)7>FM|ik3{jUB-c_HjG`R(g4m0*5x_e9H@xF=QEkMesj$P%X-!#& z^MhU?3ooJlME2=hsfbrbT{m4A4lH)b|1Xe+X^ailFf1?K&}?+6sz3z^b((mIoUtKp zely-fC|Ydugwi76sixo@th-CX31+&NjL}n{EAHT4)Lwee(jbJ(4ze2feU*Nub8|Cz zI`#DghpZd?dQes2NoD{@CeAm~Fz|?!kKa6)#LCe_$vJLf%wp$2c5MFNSf&L}p^G9p zt%>$E(|>c%gjv;F&kL}=zEB=z>oe1T*hfr4Uosz?YbjI;iS_8&pDD`WiSgWnU_I=I21&9&e}|& zj`^98z&H;FHcKPSMdeIHjjD(SeYj>Yse_KWJ_blC4hBgpFKVhx;dm|Z+ z18y(3zUN_H7UEssqroz^;{5zlM4^Ou1RV?d=lPF80f00l_vBku@%i4nVyx}0Eh20y z)-`hTdABhyRI>BmPztlUhrmA{Sw)9Gxf?Qh_lTnTy*KVKOv@t4_OMmX7qc#fERm{K z@5i1*3=qu)V=ozBi%%DOGDiEh*W1m+Md1+GcZ*Sl-$x_*=L-?c+S-tW$fhs97f+t< zU04M(#^zF$)W|Gs4_7j}s7YDeiFCS^8$Ui{<>V#m1@x zW@kI)NUVcYa$O8IR-R>Mvm1D$LO*KlAvo!R#1y|JGax%6g8^ye7P2@6? z9I3(@p1&m)&a?5dD>m`jKc|b2tne*5UAIyd+%O-v|1kor=hApuUhv7JX~YylGgO+* zr}fgBMp)L@f?`9xVA-IwQ#LVJnh+vDIGo0OE1O^tJir*seF3V@f3b&q!wIjWOP!o> zTYgc5iiX4)j@g_&!?R@AasHx+9x?PHxw|&!(FbBENo!=33aih?!Rr6ev{ck#P3ZnP${+wwgV)%oCjePh%1VOy0R$zz_+4rYH$p~HDZ z<319%26lK2_>n$YPVr;xDQ^?_>E%Bi0}~Q`YyYd7wQ3|j(DEjJ$NWs|{&>MTgj?0kyy_8i>~Bq(HBiBIR*RcrBeY9zlG78w zdIT4vPWa!67*Hx6b@uMm%s;2+;_a6sT9t*aro$na#lA^$ey%kfc@pd0Xd#K0OMUxe z#eE9=UbS~F6)3cg4SO{AK8b8eZ9>Vy5+JKmFS%R7&|+_J;GRf)0Q+X{=6})GEwba( zhkET|=;K{3Y3feZ7wxZZGOz+HrJe3fBRXUdAakTFMibAn*K2uW7@~)_J1F#BY*Q{e zM2BRP@tX6oPu6x>U7j@8j$=>v?9&nf8itp1e+(HoMU1IfI;zeuB2ffkYNlX3#p`ya z_rzniH>ZwStKnK}02@;}zWebX@p5b+YI)cIa|iEd6&s=bCtBN|j{OgM2fAx`e>(mb z#6QYW5yi7U?qoQ5+Bx`U&Oj!Jx{D`KDfG`^QPZcH`M3LNHv!H%{!&ZC2Lc8Md(^op z<4$}_^lIVLc?!V{ zk6Fi&k^df$-`yAzd4}DJ=vb5h9oaC%tWvs5prjP)L7fyCEhMGPpY9)qU3Z# zw!mAGzZa7+)78nU#h1iA|19Auy&pc@A>@;j=5==4Wkjy8v){WlUaZ@I2yw9ac_Yz( zBasmW;x6n*rx%ReP)17~CNr`>#iHBl>1N$l3N{q3dY(xYOilzcL4Rc>dh$zm z4hqgpx*RrmdgK;Ws?b4D3E$If_0&J{WgBNJtM2O1-k1Xv(jX-atobf{ROmJ!DlR8YHFNN=-j&S}vxvH_Sh+o!}^b|n@VC7$D& zFQV_pf~FPBRyW@rJ8>}8Fd5!*(z#|yAOZ!~c!@;BK<~Mfk@$sH9jL%Q3pZ*iK zSdz4Hk2X~eG?XWzFYylIuR~#f+@!0>m0y$SRl`SipRxJu+uexytmE8pd2B?u382TE z5*C_EO2{xQOia3LCllhtUe<>xK{BjOCB0pgUUroc?JXFlH7TMBo%8RmJxlo{13T7} zCy7ok>nlr6ON0)@bB-l@Oorp7=1M1{BQv*bYy59xOVh?%{s3u|v_dD_%=Hr^PsmR{ z=6_5SpME4*cA*CabiH0Y&&WoNon0FVz21gEyRnH9Ej(zaP#~x7wiaJeOGRZP8C}UV9FJipl!kr>mQmhh)idTbIye$}NrYrYX}TC5 zLx_#}h(c}b@Br$59pB)dI@dN9U-_XmDn^>cO}YgVk~0f(7qdn+aD*dil*HJT9Szsx zxugAFtYICgDc-y1L51vg&ZngKl?5rqQlLD>Oz>&F{xMbN=Z&^s!Hy1xFKnMi5|Zg@$zI zod3mzgfBP6M!?;YBa4L|L)TvFs}1!?8`C8U4{>EuN_KnL57 ze;OIeipRKowwQ9YPT$v0XAMaE>`#ju4QoPV#OgUPby9o3oUDtueKhISrpIDCsJK&* ze}Wp?ADvZ<sSf-__xjZDK z-hC=#-)WwvKV!)>PkPt!A8=`H%ZQhwD_^MDrE%@Y)34eY&lTm`(=f&VnI5d`+VlKK zn}MBGefr@g-^F|lMu+Vjq`WB7k7G)Xy$MM%b|{CUN8hGsJ1R6Mf0>@xYp|aR+2?}V zn=PEV&a-vCAP9+|ItXl0$EFAkoGMkk)v$ibd<%`M3nhxg&&$y>r^IM^HoYUfw&XhQ z?3UemnsO~)ogo!VmAGXOHW#M%PR2J0*8X5ytA<$H)6x(ifWvM5#!wdeLK9HIt}`hn zw+w{)9XFv&G886Kzrrjx{-;PtC?_RSFC!^7xO7l_dPJp6F-JH}_nH4U!n}bvQBygG z)r=DHxxqG*3`ziZ_9WCJM~&dGNMxJNHkVnsZ-p~sjeKfnw5p1?oKdVUG^96xbmCaE z`>ex(wWvpEC~X`NbrN51{2geZYS`s-YCxFQ+>i(m-x`RZpKGINbjWSe&4%W z454(bKI@Aj`}1M%W-wasD;x$nQ7o2!Va1*3@eMP4<+T*RTwhzW*p8dnjft$6_-i@= zb4>IJ+!1mz+wB!P{FXqkHKfiWZCfk=>d$a%0BmWTLY=#h$a!P$n2g*qE=6q^Se?=8rP zZtIE8Q%j8!-d|L)f@fZpzp0FENSH@&0>?v$lHzv@R`VRK z1I_ngt~66<7mB!fZ;L&oQ6!nO<*%@n#50u+5oNH8!qtQr1lV=;^cF6WRsPnmlB zbA7rUPL}5=RfMsPCk@XSvp^u8bC#WQ{Z~7z#a9+MP;dR^b<1iGv(N9+e(QRTWHC@d~nhePyVoWnj&4JQccsS~0B! zkrCYz%ryQw(D1s5dSs+8q_Ea4{j==owZURGX)bXmiqP3U{g3Ff6UU*vqF-XKE7pQ% z3IG4h>hmU={V-+kT&4-!kjX1=Y~1aMUB?%&=Nk`T{%UMC+nB)UcXr)qc_2pbwyE$# zEwYGx*!#O;i29Ci(ZRh~LNM;I>TcXbQ*oUkeCwEfAt%OO%Vqg4XwqPN^x_jm?A*K_ znFMq9DJC5z*$2I_$}#yLMq$DAlj(Aym(V-`*@ldG32-=EA~5Ho*s%rkGPBH3t%?dc z#tWwu`MqSs*P1@vHvY89Ne;dF^~ifbaEy$>N2rN_jHeStB|SK}F^n@{Z5`K`Ntqpd z|4M4O?PH12{N7iOqF~q0Z>O+&fy_%8nZP~(OD8G+#N}jNqOO4LyOxe;Zzt3sIo@kU zWRG_*$+D4$QZ!u-&GvW+GrjX#W|!DB;63VCKC$g0z>0ag)iNpl$`&H5XQWTWx2<3C zLaWSDjK|H>`Ptby{w@K5E|sFSwrGgXq51=up3*YDS$TLw*;EqiK7`ql*1WdJI6urz zps*xbt16n7D!HEe>c9qDPak^OHAgOmEu+ekQH?>gm|~u$5RWlh%kDcj)85SU=_`*t z_({+?R5BKNNn3nbaI%KxPBvrLW?#v8H8z#sA-CUvX>!@37(KD86b@kIve6&S+)6Rx zj`N6XcFv)+6fV-S$p1e8#y~m0<+fSqJOgeyUkq}&U_V7TS~vp~iz3A8a6PBPyPXcd z;N~kIa(4J}Hy3#`t+UdLLvL1)vi4aU%?i>~8~wL}bXk`x@jW2DI4yqUtatX=ltS|k zmQ~suhq1vlHx;e#&;bSK!qr5b<+ojZffWmuGgjDY_{<0U2lZl^52e>MblUaoa^>-^ zcF4cb8p25=kL7cOs~t3CJUR4s5gV`ESE7nO;GD)Hat6veMChqJeDb!Uph*lvA z-d6ZT1YL*J7kw*SI4ey@%N-8jQ?_Un3E_F+91;A}izU=IJR_2Z?)97~+4xdkk&j0_ zXCNA{DRK6`QqEKImD14anuLrc96Yvxn6S*bZTNJjc~6(_YCn+l_tG^(VJmKKh0yYoi@xf|Iakw(582V{NL{>eCX_ zs8w&PS2*`yQWTx zaab?9+)@LljF@&dDEz5P1 z?9H6p*{86kJ7xD8;S3qTrz|lNs29s~w~M>(i@JbbIMy=dWuMP`gWEU&methbHJGYhZV z!*Y&Ti`r@t9(T4e)}?!2?O|D3IIFdkiDgO?!kOgD zTEZk(Zd~Hz`Gb0)C#jwdHosIthiA6c!-R9x^D^0ZFP&^AoRubo+q1CB@+{#T zY0yT(TSV5+bQr>Z;;!~G?HtL5RdE}wpq2+|U% zKle9n=Gvluq#|EORD>r*W-XD5fOc;xb6IZg%8h-pnkck(mO&$2jxC)n;c zN#|I65u1$9e;h(dkBW2!6BA$8DFHp1W+#VSMdgozOf!$ZwtTfS`<57sg!L`Q$LF0J zoW^KcIFo0G>8j_WTr9kvA8<5-QP0OD3D1`BXSZU(Tln&ASomtr!js_yu@;}Z&vsh% zn*U!%lb`e$!b?4}a5BTp4!wmR>O!ZWGGEs_#FycD>z#M(DsxT?U(0CS4Z11O_*IKu zLTDbfgYqfMy!$Ybji__BQRunKo(eyHZ`5Hc=~#q#`;Ain(Z zCm%yA%L6kSI^)j8^yH*jz!HAW*)#SO>%}ym&{ujgGRbisIo&zi6#O>HYE!VCozd-{ z^REhlHmDa#I<%of^A_pZ4&rv@NyD19-yML}^-RyH4*fUOLg=}TrP>IsuNERaq*qV( z2G++kjRji*5J{qe^!Z(R;K}*RBiN&==#*&G9nTq?hL*igRU7$Al~g-Z*3UrH zv$bc$qx*$h`cRws0)6-nQnx7gUr0LXXkfxr7`D>c`l?LjLXK(VwatWi} z@JZNAQ68k1(se&9)qL8@-=(E#iZODT3;jtYpeNJR>`O{i#55mspacc-_FUpoo! za-o0V^z7H}`mZ@GO2%$uv9=3qEOiVljURd2#pR3tQ!n&n{jBR~zMFKVnC8@^L&(Vb z)Ok==jMSf1ZfQ=^*H-9jYacZ<)98=Gy|MdKUv$1hh z_M)W&nII3H(v#_j=1-lku^CMW;~mWkG<0iY`Wa`>>aL-OkeIXB#;wkt{W+5dliW5I z3nr`)FFKRQjjoJv5-v%U>XB2&k8sYOh{!aZE`QDDkXu~nKXGO611|KhIjj4$qdCzb zce&8_&`8mfW%g@sU!c^nI@~Wyf-ec zhs@^ma}v?mSR9(L250)pi3@!>H?n<#3kz%Yl@&`k#)Uqgqj}0{Z0uahMPquTYagEB z_^j)~^44;!^L2u=u^6_7esPw{Aw1+PA^%n{e=S9Mu{O4F={`mY=*cwqIX!RWa#P|- zeEHVS!3hy_#+l4|F`|88pg?HVnGy(6gxg)*H*~dCLL&04m+|qdC_~uM(TsDcb5p{a zW$twPS>Eyaz{S+l_)_aV$2nmv@mgSb#8@8Rk~xir-js@IKI7Uy^Sb=*_ZU7W^#$@O z_|SJ@GW#h@f984qWsRcd9AG`)7*eu?M`xTv_}OwA2c>zF1`8BpU%p;m+@+U~*ZVGY za7Hv@sWA<~e}Up{%H5XcNt(^ij&nQndi~4UmI=3$%vL|`%D*UjqB;$`=QfsVgW4^`aavjeB7*SPHgcOU%gsO_7spiJ@SGAd z5a*jz?kUNv?p?*JXEe>cUfR}z4z1051oK3erkU4c+iTx?mtzmrZ~MLyPgBg@o(Acq zX!pa4<&W~f6MV|j&uA=~5OG+(1%mw`dNIw`^onqRGUo%8K#*o-k=0K-Es%Cs@~Enz z`MQ-wx|dunfofPc3fhB0UXvxwmn6PC&;bgwwA^vRn(_H8uck?MecdzR#>N01~eYH~V45w#* z!nt43@q&x{oX(yH<4U=o)23OC8mIfcuEajvAs?z2da|$C9L@f2Uz=YAw~$*WGl%2z zb%*$UkvLIYMPhjlcAT*SSudvXvGD!no~-~p$gLtc+|766>&8}|`t!Oi%}M%7PmYC8 zopx3LUg$LTf^n!ppmv zk!JNHtCqDo)aiM~SpDetaweuK7PWL?7upj_a#!kwkL!`UFb`= zuzup!eI+Ckujz~2mjur?w!Mp&pF7^o`SRub4vyw}$N52rB%XSupT3G$()?{Q#gO#`SMzw6{SfC1XR9h1(|8Nt(b0Gv{?^g>xKq)Rg*9G-Zb`VE zqw(WfJ}nMUrUy6{kGrwukr_=9Uht~~Gn%>;whOs(Y;hNh1Q5;pH2sW=>GnxM&#}0J zXfTQeen84HU;k5t&cx{1*RyVo{g;J@@(IDORqLx2wxis-rim`}J6%lobTq!Dw~DKq zHcb@GabMaY?X0!F%&nuI)mg&5j^;vV3DbA2^&?K^i`}})1)ZK*Z>%={f05&Jxyvbk zcF1N)0mOU?*UI&)XZvrhHP$4nF|a)QyE5U8q_6``5w>?Wc4|`GFrRH5=bQeXhjpIQ zhRT8`^YJT4&vl#+aXAI$sx1@plC}sV=8mCpSg*NU$vD&T|A$=YbG!cFX^!SpX9;V% z(BE_Vxz6z!>B3sX(Y)o5-KikcxTY~mupxur`qskrf`_dg{c_JN`AlJ>MM?O!dUb?{Slj9xjae4$tl)x-dBpG zT-eu?_$X!M+!x5#li-;19xlB$(SYd5G)p*|Bnt{nDkuNH!14Jt@kzBoyIL}uA}r>@ z@+~VwBIV;x%Bnk+Yu_xa5d3J5Z^>vl@XYc0y5mEYXJ+&BI+~J@4syQa*M37;GYrr0KhEe8bb6?Rw%zCY1rltDW;MhB`i< zUM7add@iQJLB$yHr(4YG+Sq=#sq(;+`ONQfr9TDY&=2#_U!w!El^65Tx5&Aj8ZC+X z6!UvKeEP3u%=ZEHbXVQUu4Y^}Q5oy7FZWU@bLM=2zS5JCp4GX1r43&*&di*fnvuvcgkfxw7A^S?tgOT&Hu9b3b(|4%MWlDKD z$?j5SHYH@5zr237b$y3axBTDtT#jBc1N?j%u1K<31KKHZovW*X454@xbcO5-Fv&N(pvle5J%jYtcEX))<>DXKu(A=yS+#~N6@TCK%~J+ zDW(D4)k4F3fZ(QNs(kX|eU;R%kGrLto4!H|Xj)x>ffq1<2Do^)g|ih zC<=+S1GnFhk4QxTUFv5X$rEi1y8#e`y(^6?%^QToSiUVZD^xVl2I7N_4*1U#!-~=b zeThCR_em86(x9w^fvE@NDM-dM1Db9?@t>9~iOt|mQxj>;?m#iB5BuRSgQ+F$O-Qt) zONHip)l+GnA&ZQ*OHOpD1XqxY%EkE^w%3ka%6(s=Z@6=+af9`0jd(6fxg(9H zq<6I`y!NzvuQMl-7s3Y|ScXv}d0RC=eu#{|U?E7E{x%;5_+N^6TEAK^yI zQNb=8fM5e1{1BM%B_K&<S&`AmpFVQUbF(Xda=T=<^yvKr^;g zyMu;;DuHf9cl3$!JNm@BI;DwnMH*-ttzGD}f9)9|;a2O>x@c!o1eY&}(_JDjT5D0) zrx`u|IH8GgiZlr2J_-hL!WTFr&4q+SuJl3|LdDH(Bz=PmTO5$ZMV9-kr>5MRU1yfAnfBs^ERgaA2zh`JBl}+x3}~OiH7$|8{mN1exG{n#;M`JHZ>6R0P9$be(*%Q zHA>cT9-)^Lbvff+ueJnG7bw%hiDCPCXX z4zLj1pQ8dg*6{UXH5i+lRy19W3#rU|w`4}`V#kj9iUypaVQ3dO+Ojc?fPi9ZZCP-@ zAZqV8pfv#wJ!{iKhTd^NOtnAJ{W|=(+B&>HS}REV&FIE+LMy%SHnL3=F

+!cX^N z3Lj^SPqaJPK7f9XZaibF3U4ZBL=pDh!oiNm&P7f!|E(eXx@!4@7t$Re;s5${^FO>{ zbO-jtJBNYbMo$z^_=&W5!`)XBVb%a)FGx3Y26ucbG{z8ij=E`H^qnie3_@CHyT#x1y2uN=hiC8TXU$541@4-k~agESJF-;p6T(s$9^KjIpTa zi_w0##4%L$J?&(um#jOe%G^$j>-qMcLp|T#qxF*2r&~R3J=%N8de5Odl68l6+Dg{@ z(CSmOo^N+(>p64>?GLS^&Kg2Lcus8u2{e9Osc+Cv5pQscts1=fwhnYKipo;x&5rH# zu3ZSe|Jx6I5etkyw-Yzej27BBDgboHT69-IyV4org?nL%8|oHte6jMYu0v~F*&ir+ z;;J?C>ckoU=^_8{h6^cZM=sD)P+I;s?P=#0$@rRYXzk_&$S_hYre}Q+aKJtX*ig?oTrPUxUI%!AFV63Qb|Q+J;BImK3c0fV z0zFUAy($zszsKiL;pP!1eXE=*ANY5b_^1yv=6KNJ4P4Nl;V^-sXAhKDbZIDe62dr;Ip~f)))ybl z4?J*V%pSBKw&ICYdysBe*VODs)1Sk{TkmZ|B|DOA1d>5VoOj?-pDxRj6MCc1kzQlE z3>CDqLRCjc5*l@cSh5dDKZ3&4c*m7kKHv0LydmQc=*AOraJ=zGf>qjp4%i*&&2&ea zGl>RTIK8qiP=e+h(ZW7PH}&X5p3n{cFB2cso6e*38Ct40r+(0dDf1yYRgl7Ax z^`Ui#ww^;hTFVP-p8{14k zVI^v*%mFAyM}RUd{^3Tc6Z7C$dW*UCV)_r7!>T8E;O$tF1W!Io4F4(=*`mVnHubs! z+z_ve$rtPfI*4m|daeVN2W504543D;WLoNHeo9kF@MXHAC7&&5SC-trQf>+MRhuo7a|DyO1kX!Aj`Xv?5QQ3(DBHPXTbXsnO% zhPEl>?S7jQRu{RiBJyF>JC>st5C!DhozO_@B>{rE4-&;1T%`pEP_R2tVpnOS%1D(j zrR{B8z-OH*4%*%Zg4?%`A(M{sNByN}oDl#AT#(PU>OEi3f(vMomNDM_Q->lvgmsq0 z+m5-RE`=+f-hzL)=cS)mzj5r;jb+w1cEd03&Bzfhf2hJzR7Z_2YQ+{bpC)HKFCjnd z8*jVP51yMD+Fb}ji+4z?y$YIlhy&0CDijEA>&t{YS(VK6thc^1;Uy!sl~_ zJCRnjBZwk$ctX&9D^$Zgf!;V*0zqpEp}=Qc;Wss(u!&EQPvi%-8psE9Ae2yO{X;UF z6TU>z1$vfA!=*%@UG>rsH|VOPO678 zWR#NKR36N@!ewIhRCGh}{ijyQpjlo}&_)aC9W7$C81X}l>Rax%#=nL%4=F((b+VfL zzqchBXmEiJdzF|LC{h%tZRG=n>wa`cTK$h6D5KEB;`D>(c!Taq2+;FeDB^|@wkgML zaP&%`7sTZ#(*2AO7o?Kb4tKXw3#hIKL3z&Ujd&01hcpD=u3Nf2vyFytOt*oc-=6o{ zG}m<}7QG7%Ibaz5;5ojP1Mt?9vdxy;gQ;Jb>Y?}L!{F7!)XS14Zrqj_c;gzdVbs+< z>-!_+-;i3bXB-gzs&wPo)vOXqIndlqeUa%!i*RxGc4kZY>`sx2ZE?gfwkX?~Asc9X zv?ikFU)BD@C_$`cr`@OstP*1euTXGegx6Lefl%h2xX{Xh#H^XXBu~^EsZpBc8if?I(@>W%LK=PK3tTUnD4K zBkE~kt(x{dYyE*k@7j;xfSDv%nyqL37%XT}&$dhZWzrdFyBb%8Cc(FUkAxkARoY9* z=)jlMEBXZo+`U6JE#h2K-|+1($?D~;N9$#%r>#f3!=XF0^`X_Hz31B<4)wJ4Xz%5% z=j&0FV>&Y4moo(5yFA@^4i>&>1wh{Mt&#w5eiRMpMs3nU<6X}t46N2VwlD1=wVm$B&=oDx4EMYgQ?0WQ&N1}Eryj!f$5PLsK7#Ad z@KgDN>$j6yQ2j>N?)g31a6UW>_ju#_y)4{z{A$g8DEY;ItTEw#stKUBK1ZuWX=AGx z^wW+OV-15bUyUcZW6RU_2BAG9=tlDF4z0E6Y1hMty!|n9&>g<8yd?*zJ=k>X-KYcf zung$|cC;zoF>g-0UOi;EV*tp2l}9Kay6U+@PmuS`Hfhn4yi@$_PkuPJ0)3Kht_ko4 zzR?XKcT-jcLf+JA$TO;ivg4)$0dJY|St3=v)g%*4x+BIK0bA+BQWUzce z12m3|L0;4WK<#cA9aBQ@Kg#f-(AsrD|3?(e1AJgF9Qu^r5Gw9 zP6lU5;C82I`G4qt9F6~}=<_=utx5>c9xv!&20cd5AGA=!@Mz+Tc*ht5#n@x-P{aih z0Jr#$M5qbv(?o&L;t4_UsrwH2oP6a~FWF$?fSBky{_fOQ{Z$Wk#TTiE8?_=*h>WGG z`F=o=h`rKNC?~-c^$+Y1`ytsM{2ni^-~m_ojTUjLxS~hPr3d^FShXfmgGXA_yYOu1 zd4b@DZ2S*zSW$q`re)2IGM#fWLdFU8QT8(>pY2x31qoHR_;1IT(N+K&%M8$>ganFq zM&zsc%+~#&LyGW)H{8(bK+#DhA?XD2^kr)Xnu0FCA7whn9B;TZw21bu?F7D>C;F#0 zKnRffzw+$M@*jMEE;cc-WmP~i!-}UprD3$7*BjdH1--h3LgrnC?;j27&-wuE&cfjm zL;FQR&uyWQ2s`5O+}fbm3hh<~y{=1i3q!jjF+~nrnKB7m2SRz8LIb*tL0=PeX@kBl z=qD=_zH#46KWycAvn9lGgfJD{PO09AN#$=#k`5tvHFK-9mrBTX&hZa|;za8hBa}4Y zi{4d4(ena|Q}iPGfS_9&6l1ZV{hC2f>j%DwAM6L(87ws=N&%F-TCRMVA`$noq1y%5 zU!%~d$|%H5cd_(k{{npV-1ENVi}H0-Vvbn6OmT?zdp;r2(vf!24Zf!I#^omD#XWU@ zpaA8<6gr-dRZm4%5!c5n*%a0C>>-10CA8xVs_S0BH|B+b$rpNEhU7$Q*cSXZNHst) z;=-{2Em}j+38#nt8zpFrG2A~X=wDiT0J@H#54TWo*_&>Jc1jCH$}CMkc-p;8V+a9y zi=nL_k={pW&uP&@hEeopV+|WW76hox`sg#CzKH5rIyurHgj6FfTX+Di-&I8qU z#|148u#FX*Z2+zBHBMVpTk4P}=0XxTvt_t@TEut(`oYuYr%ed~dQ(dec-u|T3k|xD zpy#wugy!=T&}rAFhP+GB51#GV!^T7h+FM((z}xPEwqp;|E^g3dy`9ZmGNAnG$*2d9 zkij=@ZB_lVmGVH*&w~YYr;RwH@r9k8MK|h2j4{L#1}@N2|Bo>sqzmbu-ZG$T=D?* z`2lDm7=p#x+b0q^@B8t=jg8Ct% zIKx?>9rJHq#x9*R=E=GwMbIj|+ z1S6jqljV@X^-Vz+u23Mj-=Lpq8#1g4AtU!d%E2(g3wci)Wb$rEiiUo7CPhap&@p&B z+OFq4?PzEfwHz+!W4_20xM3H_8QOR1YD8N7pe@_3AMl4i-P^;X!ip{_p+^*HKA!mF z8AlxPHZaFnA=zLW6CRB6YRcP@tudr|#O4;VmYCDp9Vv#-4TM8q@`bIhD*0nzjk!az z`mv^g&?Bthp;X^<(>A~Dqv?q6mBt>Hmo&C*^WO6vQENNB8*QDkP4=E|cY4OtTfeYW z^k7lPpuNI12v8unaS|!C^&;JIrO{CFgRv~Q9*3W*_JQ9YQ40)yKNQy!Dp#$E{zqI9 z9-qlR@WVUG#P5hKen*=4=^mZl#IHNy9Y)eXw~Nuu|DaFp$xLmH7HBbEs~os|AB-Ge zi?F(ZRTscCx-_T(^zDG$%~R;kpY{t z>f16s8E5>1$yqB(ezR?v-tmPdSENu-($35yYS4r35S!Wcpu5z%8bi}|lb)^76)j2u zjIKey+%m%(IB@-eH|U>#@rE`$o)hSX@5%HYcc5V%XX^Soy)!?cW5o3-`p+#nplb>G z68#59tQO&xBV32ljpxc0%HJ%D(kz>~-b(M$b!lrSo3>Ai#AK7 z)%G@4QAPB9q2;uLthmv_gk*Ek&64Bo5xQgi*AW`by7CAreCkX+uVIHTNQ`wY%n@&( zW3G6c*{udT8b&IC6+A+UXZ-+ORnUnBT~W{%47!YZ->0We%Xo zyPgAFfYz5e=$S>nx@v(0Oi-jAIJ{%f1q6*8s<7z?&+pM4<8~bR^r(JoDegT3&Cx>p zUBf~5wEeJ!B3>tmChOxCQIr=b4WS{98xY*8H=qdpC<5Zh!f~X1_|cM-cPXjge1{YK zGFMQjUt&>lei4qXQHdcU4VQ#d+8w3k?+cY*um|Vm#Vsqu( z(H-dxoFWIHV}$k=L%WHfHycz(el9ntUk?Pny9ft-oiEy%&LCZ5P(5qvw=EQM>V7lq z$BKH;on_k^bex2?g+Z?ubQ^B{HUPI8`{Z&jxeb5UD%+17uv-P`lg`sR478iZBHE~62akyl9s9P#;pYP$Mps1 zfgDDfLHxSEUPC!tEYj^_WWGdDJ5ztTpr1Fiidqh+c_3NrS3zEsWk8409aHH!L02*8 zqk_gU3vjqcP~?%w0XYSHcQYvV002GEpuZCIP=lT*=wOhSlA-$UCiD?ofIzjM9Z}E> zmVOjs0D0f1AEeu0==VY12kD1Pcf27MG56ZM%q=faO^H%>(K|5woc4f=nAKG8}|(85ZOJ?Yo>MYR02d2>VJ0#0^c>BfS_9tvo-6g2i`fND?TA|pfZ@+SD|On7(nYx{cj zC9S5AtqZXe(d$9eVru$DZowEAIJ7gbff1GINsH1R?Lx?nF{D_o&qfH){}^<7k0C)` z^mYb|ypU5zjGMY8uUn9sSjPn|&FpO$9dU^xIVcyj1V6u(3xWDJ4A6Dy#?y{|4HFbS z=U89p-Y{Da>B?^OFCs1W`GBvjFGdS$Tl`v1-e@>z@8Al9t{@y5dHY_!$Wb3BZ6n>9 zawji$pcNe}wzjTqBin1%e1Sex%op`-xs}DLZ69ZAL9a0!>ht^_Li>9|t82JzZdq1n zZF@)8NIhgYY$&vUGN|^mth^fwt!?+UJGKq|enL1526>TNnz48;g=Y4#c-R8kCCFL$ zzYg8}4=8$bKsNwbxdTOx0=gN%7K)JzPm5Xk;7*MjlEFNqVI$!TCtJ#?;_d!2GyPfMw}xp z>K|}Gh!KUnK%u{gQe&_}=Q1dP6^i+TNc%5(LrD|MDDWFYH_%%Q?Iwc8QA^Mwy+9k= zTtLSP8vA-co7pqgmCka_XtQUq1KfvKcB5}ddB%aTt*TpBb8sh-d1JN$Ge>dHG;#va zp>(qygBRKwK%1Ga8FOY1X*`%_5+U!W$nas4b_cia>$bDHIxlQbT-EP6*3TAyj`By$ z0eU)X{Ty;TGW>p!$-5*e8G5eoeb|xCkwgbtloL^B!v&4?J!qPlJl56HqMb&+tPeZR zQ$HTAvvaYH1dGzK8#~U^=$G}{U-@krv0ppprYY1;UtRn4=!L{mqd(?PVI?|8GZ^GW zD{drd1#P>5HR=q>pv{3N8KlxVeY+k6tyZ|&5vZQzi`b6?KOHfWJB3lh@{<4Mo2LcV2d|$vfEWVKB&xl4~i-sTkvVx5}|VaL+?GP>lB=uf9lk+-Z{U z2eCU%eomg?7jw|?%V$_9XPmhJ*N?;%Ux(!|L6Lvq^(5n}Uuori6Cu0+ua&&;yF76O zXLV)2D3#&*9SIlljAv&w@q})=m!3a0Y4U?CJ&!Q1M`o_p?+?XQQ>^Rsk1N~bvX`UcrBGy#-b9!SUTpcsb*hk@0Wg7IjJ#nw>$)r$T+g@{mX z+oU@)_|vu(-FtbP6$hN+%?b(DL$jizu?HP(KrOQPfUOzkqk9tfo-OrY0{^erZX0JD=Umo^uOpde5FCg)oMQR$G%ooF)TjeFIWwR=RQWKc48V zXjv7{@WK99xK5y3UAcb>Gu7NWSKL?;6#echt`iA^EAJ6fnRm%t zC((QKt5UrrexER|=s`pFl?essadbz&L+F3%dcASQd_?McvvFM_bG^;DE+wwpNw~)w zSFHU5XLUWXaz)MoigmPjZc2VRKf$GwdZUQJY;?1A0oA_goCZbj3AA?23|7(rjlD8( zKst4oFU}E&GVpl>^u0iXz9oDSlSr%MDp+q6(SHjqQY)h9J3}&@-W1Wd1;toOM0GT0 zc7tMV4>&MiwWq}hfmBT%fEI>`HZ+F})I5N6T#bH^!Vj65{!fw_1AWY(qXd1@peqUb zj6s(dbh1I06Z9p6enQY!47#|WZy0nDLEkax0)pb46}I5YMg{%1LFW+kKL-7npg8&@ za=;W1^zbo*{zr5+he7`>=sXpwIpY<|FHO!+Z44n@LK_1;XHc?NyLMqkJWdV-H_APr zs1IUUiMj$P%HoJ3ra;Ni5)`@sN??L2?K=iVYX`LTGT3#Nuv0GsY6YO&cF=m)j1qVQwS+xn@5 zqc!7;M8WbQEaj;6F~Wbz5 zD0U-_Mq0IjrlrSr1{;ssX;;6hV_0f^iPV&e)1p*FIv@>Bmr~>DmaPL_QCz#TI+1&L z%2(HlJZ1QzhXwvNw6z2sZ)i6bw0=hCRztgq(B5p&%>})s7PYHQb`aW|4DDuu*3({V zXm$16nJpB$IGElL<8cPvM)gV@?E_Rb0f>Bo>OM<88xRQY2Zi<;!&g_*tZw)| zB(yPZ;Gl84(Qr_m-C)oyh#oBC%0O`NX9ECLd2K9IvMUT>Ei;}MJ8^$QcwuZ#%()-P`9l@)l>}aG13mu%?)~!pu-IMoS^okv!?`I*3iBn z=!yn?TF}u3y+hDX81!X9mo(_Bf_~hfuM4`aLH{D?P=ihubPa<(BX~ZotY+7>^E(<2`lYmX*4fv~fUz5-e5|EmGpMD3#<3fq7!v}D z(XnVde-(6jgFYkZ$_B-XKybhqS>%B6LZB$$Bl;IXKW)&v1l`!6w+f1qCUUq=P?R1K zMQMT1_Au!01>N7E|10QM4f+c~ziH5)3Hp75qUV9o8vU{!`dYu&NqN?cDRBwjS7S<4 zxK*{syN!M;J@oaMo$43;dX%lURXjq_IpI{bm} zUyUYN57W*jF+#K?Sw3?5N39$HbWOT}#@qrFE5?A{XJ{3Dq=h11%uYXe+S5mH0xr;d z4DDz^Z!@UxlfkF_&$h3ka|Mf(XTT27I6UqR0{C~AGsqCLg5i0KG=1KN!5 zuy)WEkD8n|quxjd+{Z}W(xk=oO$+3Q(DF^QLq8sz?AUA9c2*ynwKL@#&z(UinikgjbX3^xhEwZ{ql@66ql@j>+0GKTON@T& z)56;sYIKa4qHK-xLqIR2+oE{GsX36aDSxML`HK|7x0H}3gQeBByV%YJ#!|ga!oKzu z+OrLG}~q}9ep&g>%y6N{R7fs zokqV^K5o{RaPLUlQO)`iF5kB_Wl8-ykY;@e>uN?_UxL2ra&)84$Gial1By8Vpr|P$ zikcB9`b81FOHkC55k=h!TAVi!QH<+&4vJbCuwDbS7)SFQ(2j^GR(yaquJ}MKl!J|h z?hwV;W8{D_AaFpv5m5pw6wiomFBGv&0H4@hg;g1`2M83Q;5j$l{10!q@Wcx4i2jG( zP*z+mRolMN zFXwr*KwxJZ)6I1SYEksUfudI)QH+=ZMQsvM)Z{=h8xzq+zk^f1Yg5w-scBb7UsQ`O zL8bz0v7;zD&eg1!W9`_Lai1f7L1z{nCTOEc)=q52LsvRtXm!?Tl69qHq;|$e=iD1j zvX<;R1)WmZu5_l@&JwI7WmKa{)>8fKx}60d_?omcHudYSMoY@u6;?W9 zgH~b8LpstB$9GVI_s6PL@YUIJo_jz4)?az3 ze%9WuB+=5|u0_#$)6P!nIHIj%H3!&rgSsa2T$3;MA-zF&=NMGWhengEo!)D*osvN3 zrQ>KbQf9QIkS*oL2s+r-kv4i@i)wOKU*)VulRecW>SEjrQrVALF4W5?aqu;T_^pLg zXg4`r-xXJ!brjDL(ldyNsPg*)94oHiJVZFF>rr6ea@B7wsO!%vSFnd)J!1(oXz@fO zNP=7E)s7`U@f0OJlm8>*2WMHq4^RZbrvhs152t9SuHYDDOgX~g6yvHgekh#niT(N4 z1x_@6^*a>m_hjRGkVuuLNtCKdlQhLbO24-R=)P+>{v#B%lkCt zr8sh;dkUMq9GpVqjdnJdbi3T3&0Y@Hm5yiI5sqfB3~Q&^i^O`+_3iD9QR|+7Cry59 z_Ij}Y_i_6HXy3K_+G&@}4Et(sG-Y3X3q;o@ z*d0nb3-(*XK}(HBznnk%QoqOnpOk!I*TOgJ@wuN)%Be~XJY%V`J?RZ)Nu006TkqE6 zBQ3BS5uBQ|U_DHay%9(UjL9Mg;Hh6}d7j?mmx{I&SKUW?0#UNXz>m64p#SJM!|#+` z|7u(}70&AS72~>b=K7j(9i6$pVO&3(xxQswHxyUMi~DN2*~$^4ZN(KMn>^kPbWXbQ zoJcqPJU~=YN4tt2b>$OMRKGiCuDpL#T{S%Q`zldK4Q?QQUy^Wnd`A6#E_1coNB)A$ zD-#uDzJ~6|c{%!@x?-PNbj7~o)b$4AiWXezdXsToGIPDfxGtW#jyJB0Wv;hZt{Rg? z#C3g&5$7AYEGhAuqeTn!13|G`l~Wh!hl0*#P>hg4Lx@wPRn+b;dQWHsN8+2tspD^eM zL7y_{a6z9o=n8^9YtUhWPBQ2aL7zA1vVy*7(4__at3j6%^lt`TLeN(Y`f)*DH|U~* zzG={f1%2C~3kv#ogU&DLKMgvspzj%UZbAQL&^ZPDz@W1W`jJ6r74*Lbokh^npoO3X z%`8MKLD7knpT(g66?9gEekADZ2K_+LISu+RLFYE;dxFkep<4d_jY{RF{H5fnYN4k5 zg)I!GHq0n#RlHBn*aAU6i_AUz+n{KV0L4m>NIQ?9mcv|vqAkO%JLDL&g3_7$7b_~b z;2tKf+E#*V3}+E?Md)a4#WvWqX>Gy2aig`bGDOLytqfHBR5Dn7_jQUPwutFG^n<4z zQ63?#lSmfU189Z{`j|nr|7J(*b=CeehIR$v@Tfs`{F?hODw*=N9EJ;rzMf0aQ-`b` za5e#Ae!G!(LqV~JF>2>Cg4)^O4Ft6_WSa_V`HmL!dc#*&247o?-e}Oxg~ND*YG~IO zbQ__q=Ww~9)zQXh$xn>&aH4^Ber;$EAOz?cEfgtwu+Uy

ceBHpUpVTL@bJWumxN z7qq$?>ng){JK<2z_mc>+%J1OtFl9IZb|gwiQtRc7^Y!4Mv-tS7Y2^Ee@Qs=Tt!i?d zkyq2AUa~mG3l7`Tjeu4$p*<#{)$3tZL;I-E*2k@$?;nl4dP31C!}oFFTc1KJ8`=p% z8&6h6+;*0@)rYo{;V?-!#IbI0*jqSUXyny>Aj1rY=Y&H%GZP$k7Y@s{Xz>SMi9%b{ ze#K}ac>ubFY0cgs=qQ6eF6i7 zm?X6JyG_pvx{={lxbr z6Ap_S^bJ9mGU(p~UC^NKbSZ=V`qXPeJHO%ZcR^P-sD5XvUb1-&t$uxKHG@7Pd>1yT zev`^_KsybVN?zm_R!te8LNN*%%k_T=ISP#?2`mU9_yBUMz)=D!5 z$$A**V~{8%h~2lX zt3uIMjC^%H`(F&IBRT(t2rU_ABO1tJN0W5jE?Rn#7Og+T|1*QN_(r}yNU3P zV-*NRM|~`+eSbTWqi7sy0Eb8 z2VeBNkw^PKG=x$=#*dygTpw)37;mc!I?@O=( zd$6-5>xpFDu@XCLq?T%Djnqo&?ZK9P9~0ZLvpZ@J?WDZU2UvU9NaFSlspOzd8q4-#)XsWgF3%oyk-VaYhV!)tSNilGcW%WwkA7wOq%DZ>({;rnRA|47O#y zj>Mv|NzMU{O|l*un`AxMvQJB{rkt#^5*<^R(aOnb+fze*p?xRbd4ceOYCm9-sRM?H zYyAn-6AZ1ktF8TNJGJNiENv6mw#G^#nGJ14LGdk@Sd(cR+}8em*C(sjWPNF0-}|zf z#QZMO#E#2pySlywQXgZRTk6~3n@VW5x2U1nHjMTIFtZ!=t7}~9dv$Rw3gV>wH`_Ab zTVy!b@U8E^X4%IUqI3J2HFnookENDROB#TP_*76ik=DhqOBNF zv;u)*Rw|SFsj5(h(RQvC?O-%8 zqBEcMT|lu%yQf(J&w8-yOLXNx{fvS|bzIrbMk#tZ*;}-;W;X(ByMDG$SC2Jm!CLw+ zil|l_yxFBATA?9Glw6ORoTc;K^)t|R)s)VdcW1?DlNPM~roLc3G-Xs%MxhkydL2mp z8n`D-$<>pUMRrw>mVNc>xu$K|H{Qf;BhnDGWOsXLJ#X7ly1L26O;>I;nrt))OTw%q zp53XB8=GXEVZJxEC#RhzG1ojhg^e>YsqscK0KttpPDPQbM-dRe#dzF*?KfHR#n$LI z|LP(9MpyMqEUMPx5n$g6_a`IJT`c2Ibi7Li( zHj)#v0)-$i(jC)8IjSpbPSaCmRagGjt-Ah?#6(^Bi>4~gf#OP!L>jn48laG-u@GpX zv5;u5)6PQd_gwXhty+fgSCQ44zc1F@e`Sa*(Nr2OC5|y=h<@K$UHRL(dA`(A;svs> zSaxZSSJx9N&UrkMr(>FQuMDv<(J%07DPd!xWu>}uPEcvSF`dhh#zJ5m1Jyzx8`hcO ziCxpY`VDX0*)_cz(JP18n(7V*-7Q?-)0sqaMZQBNwC?OtdCqVcCLHW;6dePzy+h1k zBW}+a4#Netw94UWL%X8T*0(k5V=>Wi&>kPwX+%9}Yt?d4P2OT?$A}E|yPoRzv)I0< zuB5-!@ZCiCjx*>sg5GFQ%nYPO?^-x|{XT)ZMIyrtW4vH0?>&LsL$& z9-4BJ_0W`)tcSr`PTJO>&S%?5I_WB6)X^w&sEV^N&eHyf>M8rQG&vLiXV($J={qIS$?dRr96 z<3C9;#b|c3-b zs(mJVCYpZV*Tz_7s1L0jzWR0E%Zz?^67AG~TQ~OkA+OG=+pqKL7lN(MG#1hNz(K!$ z9OwIiZX+^SzWUYQc%CU}cNW^X3lONDK3Si}^@AJYph6d-I=m%{S`!(UGDWQSdZx=r)=sL9QFqVk- z30nVE<>7`_zka;7LH{Bg?DvSD6}0|)&ubYD_Y18(BTv7}TpzbJ4TlGW!w`c`60|;U zYZ%%Gg?2fEP8PI2ZuQ?IuIFGiso%X`#)PI{V6Klv{YgFbUyQDoVO0~_qY}4I81yAU z?YE)zTh^->+Q)>}eg*okg4V~a{+r(Q95yha-6?#nb~J@7hnI!cp7QjHpz9mH_teU( z-`-x#(CYW8KV?w;Mz+R}N>t6$Ep&xK1GT0I@na(GkZwO`qOThRJ^v5?`bU%0kb zqG>#zq5Vgfp;;?Y`?X)r)^BewVEF1+!L603*5@&_?}`lb8&tmvZgYUz@7#v=J>gIv zxB7Ie=U_GYK9%PvX{(~TYM=7gcK@%B{3oh7J|AP1xq^%L0vmRO9LW^ML-Sl2S}PIv9J<^h=s&W zX<19 zp!)?1>lJT1AW- z`5m_MfU3PoTlx!on*GuO{6l)UDS8-Uo9>UcX}93rv;$Jx26VIS1SoAMwODCcrv8hz z)V5Rq-3UD;b9>3!T@GmD%(2rrb381X&K?dr`&y{)!GOXimb;KXG2OBEM_WG` zF!4U2<~k$(u6U|mHHc}v=t~*HBhgl~gm*?;%?p5JL=VazZi}|MV;N^(bC|U9(I0&k zX`=(m-L3u7SCJkJv?Yg89!1ZSzKtG%F;NTGbKQ9FwR$Zduvuf~x|+O;NBP;lYrI0r z?Q0IsbxY3(Mo$mtwOY4&XN`#!seRDj$R48ic8m{NSBO$tcj2yBH{EZ9>c|)owUTa*d~= z?GV1sdz{ke2s{uvL_(6=H}yG>YU*=5H1#dVJ8Z;$jJ+hk9*0Niv?`Yt+ z*2HRgoaaN3kk3XBIyY+OIycH=wcL4%sG0)(1nupi zS=)xU;T5ArtY00ckh(J3(p&f0lzsuFHQsR`j>(P#aXfS!h~uH-K%Dn=9LTH~m-@V0 zV+1-5#3_lf5*-KP6xDGcj)#r|aXicy2ZAUM)NF!Ix63~M7odpr0QAqP-UsL{0sT#& zy94^u6pC;DZvVBGndc&TVh-%k5>ERVP@cDRw6Syjjy85Y9K=SN>_<>ZM;kjXXdkzh z*;*zx2zBL-Hg@!A`pE3@X+`cnI zoJxotjmaUjapqVW62sXzb6hbFWYIWdjG;yg-C(UZoCz4((~lKjRjzT92VX-^d&9urarE=-`T{~=9=)S-Z^+|6fNkTSjYdi+IoK= zZ(bxV=>35&$9L`5bVqC-EMT><15U*35hJ|0=GY~Bnp)u9@tto4N(-Wn9PTVI$FoL7 zGfKXWK+UsjtWalxIR=~h91kn83pJ*>a}XUnorCCj=nPoL!)ol7F&Q^QY%$VVM)iYN z6FS5bFgwemC9kjFdC@hR_bHca>T}&)ef!P(n)hwATbKu_d9kze73=e+u07$DbxyeQ zlObcz2z0ATUiHT@)4H~9>#WwA&gv>Obq|Zqi#-@CRA+GODJnh3>b2ctYYPoW^(g8) zwH-SqlQJ{h@D}da|2A{ESIBqwn(Sll?pz`G^;WG7d`OGTZa}|?oLF?fpr{M>!S6AA zU3bev(L?GQ80QglAEIB)Lg2p0b3(Kw^ph!m0e#v&=plC&p!E72!J}z}I_sE^sW)C{ zBcGKqumXYRNAPWF4`F0HxkD6Pj*L~v>lvq>iXM38rM1af^IT`l3hP<-3_Y+KTKYf$ zWo-mq3uc69#qx`>ZgnQam>JirshI_=kFGQ37;GiDw0 zy52ads^)5<;wrlQNTAFMxLw}H>gIO}^m5?$1L@&~=r=V5%=o#0*4e$;FJADx{lF=e zRz+rQXRZ6o%E5>2;32)(7wwhkVV^)*S6L%*SvmNSwEb>o4|V3;tvh%XdKPtM@x3yJ^%z544_j-rvwFN%oo3zYdTv^y{ZhPbkBotJtLwRGjdoU#uOrFp zxoM4dR*$cHP)xEecwM2oo<*G(pcSgG1RgY7V7E~#dQ!nGyCHhtQJWX$mb?%D@n(nQserP!hgSSRekGoMKIJg@j}?JZIsr=QRQH?Q z2yMxYvN8vtlp?3)ZFMcl9f6%1lmDbu;BB+xH0JBq2(Psj!vXL>dT4FM_fTsq&S_g) zaownv=&h|dcDA#&0`5tVfZAEKZfl;Jk{3O+&FgZgZC=Mi+q{kk>c4IC&f>IcsnK$8 zo7ZvHHm~b)*VC3BW24Vw>!lBRUTe{N_zM64|NnRaY*b5V6hRQJPK@Ta`NwSjA7dh( zVpv2xNG3DA+Gb{Y7-tPpqy-P+K@u;Dx#&UgX2e4jyoiW`q8o@Hh=+ino)tvQMFQ%@ zgF-Hf2djE^W@pw6)b@7QtEyL3-8Bw7UerNv1L z2N(c@Pjvtn>#yv^4YZ<=fn&JFVeTv8cs3!S3S|JY_?w#&E}djh2x9_3x~auY>kn-!%2FAY?Sd9WeZBOS_(20oskc~ZHma4L|ME5|30PKY)~peR z@O1Iy@;>T8ZwX<}nYMJf5X!V?)N~=Q3V{f(-55d|bOnE|Ox{X1u54tR+_qIdv|<^U zLYogi9@TZ=I)FkXD$%BNrgaon4>u9hdS;Get6}GVcp*bvwXW0I%&Apk5#6@3UyC0X zX85eA(Ytk~0}F%8xS?C5X;5A)tMj@0`Fn;u5lbs@!k3x1r4cC02n;+0xHCwM=-P_R zm!((T0B!g`MhiE@vN70$=f75auHyntNeua7{kj?REA#Yz(>_Q~Z-x{)ULP#rzy3vI z;~}opB8ultde|6Wa4TBkA#wtf>y3>bqX9*!Fp`BJya7EaBt3@+Dcq!M)*S<%ASkL5 z@jzhgu0waPaJjho6j3yiB6q}(HOEe#qpG>2c7*B6kWN<&Xh$VYKeETda+-eHM{5j; z8c&e0Q-LvUhx3$m#;^y7i$Wy;O);Pv!$g_mWEQT6)$ycXDp6Ys7EyE|-j(7|ov?yiID#ItDsM0wGsR8xsO1W+nm# zHhM-TdL{-&W&&kXCpSxDQvwA;V`~CN0%a)zbyFh(Q4>p-FU@)n=r1!MAhetRX+W$Y z{%w_a{%t)#&vhZQQA8hV_0sdd89|6@ApS&i^V(qulu99>&M(K1Qyhg33IK)eG!jtZ zxxW^X=XH*wIQ87rm*+)>m@pC%Ra6jA7+w2(RCQfYQJDdYDx@eco^R9s!9~TjGym%{;lbKRsIn8%*9{dk2h39scc&-KBiH7IiYwvLJ^CsU zp3+zCwj&3od`7CmbG)6d_{r2ikx6@m$+9XFCAS@#nFV$|T%4AXU zS%|Vux`z1s;&2|TOXzT>QWav=iMJ0my{HRB=2LZH*VY+12(#_pNfnP>R{L?wKs-{= zDw=DpW~V3c+v6R5dE=jHcsVJ}fGJ>0ISHXc@EuH!L9Oayeap`#`^LrV!M)18qz&|Z zd&7i9P-ClO`bcZBSyZ*zW*4zp+_~pPT`@%^D_E&#4!q0`~+vG%S?c40c_*p1+r z-^gc@;d~cX={f)IsG+__Lt`|M*iLYbVA#I8GP}`zywV(i=aKsSwyv!;)H->unVPcc z<&8VVn(DF^t)gaL0>QoqUGJ@_I{#3{dL#qtY$OXKe3ZcP*~30n9$=~=8{>cUTkh5m zV5e{YJxRETt{b-rSX{STa$nsBi;J0DXQ9QS^(u$sW9RSgI!LPQ)`;%kOatUZR#|4E zZCr*N&@Ku4(n8m)kv5;uXBDDCOjH3hGa;3y=xpv|Ni*GujROw*QgYnsKg1Vw8DhB5 z@CLDR=R3KjsuQTwgYC##(WGxswp1o8Zmu+OU71l4GqFftDXO8+K{uawm+e^ zZtR6mtZ3RW#1X>Rgv^k0x12WUwD>W$@GUaa2M@oVQY^O-FYi5|YhamA<0SP%viaGp zU|3gAl1rNLc)Ke9oNLf{XBO z?2(F^#%$4B7CGpVNe77W6j`9JH@WOAuT;pz~u0P&(C56}d6)ad^MMo_R&W%@d@`3|URYJDYb-8yI@{~ULJgg}pf`M)j zAtCvgkZ{kKBeaaYhE~sN-o;dpR=RIG(Lqu4mRsdU_gI!^;)zdzHnJ`bG@FG}`@0Sv zB3TrH^#kAwh1?#Sz=sOs;X4`K`}lZmUu87WU%53>Pu4s*D$5qkP5iW|FFDf*}>#C9$ ziA>|B6;I*Rc)@m;w8p25+YpP`TJe^uv7w*8zGt<_FW{`xvYO1)@1{eYJ)0y9zr0J( zGwUcIN3AI#2a2I(w^&?Q(^phR&Ua4Bc6;A6qO3B8WojhP@HUCjj<$-OkbS6p z?x_gQ$}7RjR?DNF1^d9u0&#h&Of)42KDE`DY)r#<RJ|7bP>*2T|uYhte1)Z5e_2tw5Cp#!1(q)!qlb^6CZt(cZr5(JIxUEwNp5 zm=S`OLKBP5)VX2lv2W)LrpBoo*+`Y_aUo;hJlSZstfo;(r)#wdTa-3#7V}9nFiE~m zSKx@UiB;M5y_y8*wg9G&&$rgQ0VW3B1TD&EDmNC;NM~I^jZM~9y&SCW8HJvC9cN<= z2D7|sB!g^@@f%!Ee}U=v)oCif7Z=qk%{)uvO6ruf<2%uc<@{Ce`Dx3F@J&m`4yTD5 zQVzdS%ylmI0~u4bCC~@mNe%K!mOVRtvQAeeJgJaWSW+~DVrFLR@80m0M|5?Ct%MOO zK+Q8`7`$LKVXU^@^!!Q6=NQyoFBZQ#t5OzWz48Wk>VWFkWF#2K78u7SU0nCP$Rk zD6#qxgX(Cp1(+jK7jbkwJ}FjWdm=}e+*=>7ggc7K%oLvdEPVjdi24~wCVyIYPhd07InI%fBG!MQA)H5osR;#`&aAo zNGh13z7dDzFz*@u;?N;Bd-b>U$b0hN-_H{9*w%>+jgKZ@#|XhxRk1j{gxmJt zCK(rBh%0;KgU*G7rwQ5MgSlxXW6X$@3pe3;IdooM>|uv)lyc-7y!r$b-RMuh7+TyZ}jr0e;{*?jxe99sPy?)N;=Xt4}R#;Z|L{dlw4&Rr;NG(S<44{8k~N=6l# z3)X`$H`|Y1t2GUym0*g(-P~#dz6`v~j!M_3VOQD`#z%U@a$QjuVq1}si#LnPqa<}@ zg3TC+YY@UssfPr%?c|}F0oon~t#I|41vU_@_W_Lhh-SU#j5(^&?NKa6ZR<3R65E!R zLTBC*ORlv-O*T@+mzC9={;Vy0I!4*|u2!6Dd@SdbFs5@BL7SPeOT+h-q7n_>m!4SB z9^cUXjw}@JTI=b0`yCC+FmX^ASYHS>f=~9wOXyt9$vj%JE?6v z`aJfr{i&LQaN5jJyn5G_8{2^fbNIAhddSs9 z3&I3pBgTB9KXGl`0F1EmR-T0@RYu<}SGZ88_|zgzF$I~P-%Gk%;ka3>k=QU68lRX? zX;(i;dexgEITN3zgtjl)xWmJj?8)AHgw1-zo7CdBxV!3YDx2LghZJ~r<``W@?q2R9 zX1znVwn_&325HXbvC%G2r}VuefngY0Spsw7idk zn;j+Iuh)MPrEI{w$_CP=OEWK5NN85w>ULLv+(2A1DrJXe@3OyTqB|r0Tq;G~{M(CvGx%}__InMBU;g=U5iz7{e72(>F94b&@(vXScD)Q7Y3&fl`?Hg~BWsDXZD zr_&tvS{tMum#d$1JtO7rXk(j-K0cfq-2NXXhLT0tW@U)HAFl(ePTvynhQq` zxaTnLyc&S*pKjVJ`JD1FO&uXQLITb6m@v|Za_U%lkF2NlR_fWN0)eer5^Ft66tCQ^c;KirC~c9X11)Zh{p z!brROPiJv3Sv7pbG}bPrH_G!tQMQ!6Ry>Zy&t$X-CPQHEHfW0*w>lLKkdvX7j$~6Y z;Ut<>SV?AhYYgY>J1&%A5-|bcpfDqBR&`Kqxz{n7zX`T05~XRmv@0l^TI=>& zrc1a|cr!CU#+Qb;MZi&8EJ*--4+rT<)`Z$Bs*!+mVK&qAMz@_^&8R2oA;9erFvalW za<$lcEwoIxSMRg@uQCRkeg0r&gdV~NDXhM&f5Uf(cWu!-tolYtj^dmsJ!aWEC2ae; z()YcmhriOB{vtP5vU!u&2rRz$5pjm`Osp??k}4qUnb6$Eh-!nGofjX5TPaAwoNIhe z-!dU2Y|&dd3EoT(I96h)w75_BAw&LtR17vYqqR<2H0AH(x9BC)@ddhpAeN=qDbWvf zAwgwk|B?E1UFc5|_U@t@GWj-EiXfF#k4m4Z8TU5Qh6~N*h60g@3R*N|0lq#TC#8#V~AnBeR4a9tVq{%|Mbp-|bmdwOF~mPGU>4;i-(=DS_(4Yx}}Z zDj%nayYbQ8axflI7hVQN*!rX>Kkuj>1{v}?R?8V%9XbqPWyDp_a%Pm`ebqx{t1TO> zC#^6Gwki;jR?SS6utfj@sBB!;_)3cwu)qKz6jBId{(ReG4u{O%(u{+|-n5d)(ps?+ zwoQtd-L8K%D|pG}l5sb>+Ys|+r5!<2W^Ob?Y~|!-c!iTj z6Z!+qCE8b4QM#ZQ&%Ha19O5`MH)kd3w`^_sm5^~7=$TcbI6H_-kM>(zakBFJdHWcO zg2R>;TP0KB$-JRVpOh zBGV_y++A0gm*lRWn5}FbB@8*i&*h}S{5IhlS_OjJTm6Bn;=9we<+Nbh5skZwltF5l zS9n~R3*hdNqV4t**L0VG(B3;2wU%j(tP3w#-EiRAsdTNl^j=IW8TpAyN&_sWAb1VI zSUNV9H0eT}^Ea&Sp_j3iF58au=TFk=Ip=!zu)*IbXe(slVgWd6bvwj+Lr5HiSxXCgKRHj;UxFF~YIxoL8zwh_gD{U;0UF<=0_h=%tc-8H`K4w;VP&!qJT*WvR zZ4+H(;fZ?HRK6hrzLP0vKW$*{O#0fTYKPMPaXKkb&$pwZ5z&k;-I2Ag)CEr!iriDs zGSh$F+87vyj7frHR!QN!1wNOT?if<5S}tczDVBUTc`v)BQ28?RVkTYk!@9}~rJr*g zzSYZRb&@Vcu`Jb!Z=es{Mg>#%^SS3zp0Vk0LxWTPQvWWEj-U1NH^yJ07H(1JEW)c{ zDVO7#C{E;pXd5a+;*ouG9@9mcMpjP=?{{)g-R3*T{g7_czVJBb9SW0gOyI<>AdIqk zi!Fh>1Olz{}!Lm9$3q@f6QWp zmG;uU_55|fok68`ST|lk=w?M7VpZJFof}+kDWFU`4>%8ZV$^n;Ara3fVrsX}2y4ab zHx?P{5#j}{@IhQD=R0UeVmb3XFRSJEFzf?}i%zUGg#0%?0&V|Y^@`WC3j+1F9He>$ z8QS>OJ4-x1&&%gI7VNop%X>0b81lBP>{#nUwZHXmCaS9}6Zu4XJw=+!hrX%2a^8|$ z+U&3h0ar_g@oYBKgNYot4qKKxLsy#=6&|srMBODibeBVx)1XITa9jAv#YAw#sD=D; z($l|ED)W>KuNqYqJ9MJ;0>%yuO82lfRW&6XWg8ECK-locdTqGr@9P@YBo0DV#0upu z@jJKGTzgnn=3TbAaw_4(3hiV~y5JWTA#2wpJ3LU!)&PFLGBw$x`K;*{F8RSryW;@L z?5zmX{;9ERE^n=?dV# zTl{VD`IyE>@j%AogJW{w*!#K!cnnr`ZzNtFUa~6hta^oA2d+(ga^bya^5dxW@{l$; zozvDo?8>@B!lt2TQOfky&hg+atA`ndMLmgYIIg42c7E5%NGK_qZGqfRvsvJ|Ez6~{ zU8Jo92MTqIb%w{<;nGXX&+&;NCp%>>&Pi&=LEfudQw^KVmo$IuJM0)DNKF1}5eLCG--yDQKjWxP;@FHs6IGs*+|+PSb07Efdj zTB~zjQRB|&bg;K7T?g8fl4}%wCz->v8G1HcBx&c2Y0kLOmvb&2hQhS6%QI-H8z zP!Y1S`;@{(k4W1q@)&%kK&TzNp3s|E+bejpCDHk-<*<2$-4!q~e>kilh41{l0?5$f zUE&AF0C7vEt%F#uTuGDOYbg) zmkssP!*4`brv(nRz@QS2?s=Q(>nCbeH{b5#3W49894CK5+?~^p|G28(p-w!);Q6__JJ8>O(_;MASb&6Sk+nkUEr@1ot6@E6|XrV_ay)2^VP9SQH| za57|?;alhhp_B{KO_Ct>MpGL~U{9DXt;TPLFMTSt<*Zi;z3D0tAM;*CPjeA~(Po{e zZh7!km(6RjlCw)HTHRL>bGOG0OXwB2t4qv3G4TRStwK4_$hp1QYqIsSDbd}R$8N^z zR?^z>!7YuH2#2>`O;2~jT8f?elwii%2BCH+9k=iGRxbHwyd!FG>=)^z4d|rlxOanr zwdfTMg9d)Is@UtHfD17D7pVhcNqZNT6l80EzBl>u>d9s;Ia$dwkj%lKT%U+8`APCY}LyL9P@UZhxmb3mK;b@qB`h$m}#Y>S9hys zp2e@&7kag8?*SFB9cz3NIS+2WMO}!bmU;qL{p}ZQI?1Jp_*CgZH1J)FG-tzU!OOUk z>7Qq-Dmngq1d;1am`cY4r+0tS4a>op4S6M@Z}_SQk(JLR^F>e z;1NE%uD?J3!f*1v7mBysOnbCLe$ZZ5YsId@YHCzOa+i@b56(Z8OrhkxJauuaDb+65 zjV|j8$$-exXdftJEN|TKxXbKompCi-^e17T5qW-_SDGzk2yKJMTUfdK(CSzZhS6KU z>Ay}_H5TIeTrKOE8u==7_$($@P#^jv^&5vDcvn-$^DPqTN{Rvxx`P}(+N6uqG4SV+ zgqBy}Ne~;GO14U+0W17gO!uHP^Nx+uOY@|b8B{@v7CAY#GRi#8sngH-`9uP1+y$~) zsU27ovQoRH6gRSd4UGPC(^GLBDJ_h7^1sxvCQMs580IfsNZnd3-4D+--9;0XlkRJ#jF>D%_EQZF$s2$Cb}`^$z}?5cvr@0$ zo@wKPQO_~c<;*fP63gkJ(@FT}k_?#TVc>sGL-*KHDW=^td#9|L|oX z@kl%qn*Yx+sU-EJ|2g)*jP?C*js5Sf{Qul8670Ev* z;K8IezG>o%DUvMi+}(i3huh=72TlmZX~Y0)93Ic@F!#!8NTFw#l>OdTMk%=6N^zFPde3LbHHs)#0wO`CL5J?ntSMMR-zNgXa`_ z(x0Qk_q2((3z@fwfm*4DYOHu^EgQdb3t8f(_{DIo&C5Dm#?ANZ#joQjT~zAQCVWEN zrqg9$rP+I1YalpDY=D-r-9fo&x_Y)-9IXu;kdW>8qM7l4Gn3VLvVYz8qgi?=O5oPz zJnB6y|I|)FI}W+K-)`H4`&@L2X6ZVq#^&xqpQ2wWbWxY84Bbz&nA_w~)p_*vm;k?* z_9tLo?0dsa+`=IDMa-|%ogV0ab$mH_I_gIgrDnM z_bqSv&1Z4j-^2%9hGwq}NLg(`qxFupD~vxz)^XhiI*7Gt547BgVu@$dqe~y7uvW3M zo~vFhUZejYZbC;<8c~bLfDGT+^XDZ9Q6H-&S2Vzk>Uq)abZA@Z{G*rVE2gVJ*g9f4 z7lP0aWo%WNMFT|?I#qQq_%uO5EnPb1g^vGdr~weJaiPqJQj0SD%MXiCqb|+Xfe5Al zJILLkvUja)=T`DwTCi2M(iE}J@dRytV2s^N(mi3pCCHI7n=ZrM)MJ>LxrAFH>RZFM zEk(A&_1*P*4W7LFfp$tI%4gZ<-F2u~e3@`mCFD`&^c!oJ$8Ggj?INVK{7@mgS?H+| zRzI&OGu%N7s~PreYf-O1TIlpxvLvX`UOUV^c7<95D)Q~8#CusoXp;53I1H~ejv`$6pi z-&QYL<>b5$R~L_=n6o*7i|@L)Cnz{Q59#Y!+ay^3_j zQ_<`$rPl%h|7;hubsZ!F)(wJV(cNEj)cqy*_AsW^bUZU4?_NwJR zB|BecdYy#s->_5DmFbf5=pfHf4X3e6AQ*x@u8@-1WFTD>;(^gD$4|cXZo40_rYILt z-%M0QtJT2eM=78V2_<^3OG+xZv6oz1=b znCv9ppQ4=Crt?M(aHt8ciuF|C15pNQXNx=Bu8+yrXi7mCB7kpwW>KT?f{U~&RDf|d zV|S^ccIr40%Jm3Ua9&}bKb=MC1$%laNt$1<5t)#L`E4?e1Jg_+g895y*}CGcuxhA&%2K#nOfbr1JIgZJ&M;9>D#^Yv;ymQVq9n zE{e=lL1T?}wvXJ+>e?)#Y_Dd=g^nFOr?)mst=wH(O|+%rXRvHab#(V|rZtjgx~>Lo zbG-P+sd3$n0X()^Y1vVN(~6hO>MI+ICK*Q#U-pTB=1fAU!xI*({VKm!a}FyJ0g20+~)!N4IQ zv7yC+XScTvoC9VJSUe65IQlLP^7FC(W&Vfw5(@veV8Ft`v4Lm6ih-EMfrVVgg+*~0 z_z&}6;!8+@0Vft596Sm7k8Vcr4oGKkV36Iv%zugCU^wui-xdxI^_+cQx;)MdI0o(v z3Nvv3Vg5^e2@4FqJcNg5gZxL=96k)}9R9y!D#ne~B-l!ap%VLS}*eqst8WZ(cV3 zW&STo|77fcN&4SP`v1%8_BULhNS1)gioI+Rh*l_M6FDFASFG&%xv{pK;w_{RYo5GZ zoc!iMJzDwky^W#E#b2?(&0UHjU;a)QMfCRs1hMjyOE+GS3r@iN;$0+O&t7NJt6r}9 zt#dDkrBJm{U~4<}(;Ifh^$5Na?olmuT_`}Wp!$c_P~+ZOnDI0Yj!-BM)=n3$9QOuB z7OAU5Bl`>p=7pJt6XSPJTIdTBW<|`IE-m3BJ410MzWRTSrRY^voVHA4@X45 zP}Ob7^}m*dJ;@eN7)njs#|@uirlL<*U-wZ@DCp8HejgHFWY{ zxB%K_FUZz02YT6+qE+7**-nC6c=+eDq3!b`gWo3w6*~Q{%j%uIR4xuPw=2A6D@2$} z89Y2$QTn~2yQWGHmf2l_d^30wD`*e-HwhNG;MyifD(w!61)|CfF|gZH3^r3oE7Pmh z0+qnx0fk9B4q1=;GGH&lCKrx)bD}I+Q&E7;&)u^|WTmG&eGIVmbR=R2V#L4LrD^sp z;#T+@lx>ATR|@a{LVr>YhnY=uzF;f=h5U$QVgrApf;JY8n7hN)*6P5-|Qh)Q@&T=$vw`fUZhJJG0-_b$?@p zW&UtM$^@6uo!-u)yR7WISn1e2T=WC_PrXo@74_^PbX$s2Q0ZbvgH=O6Dy{ZX^Z3H*pY|ss%AgfFY>86JG7}(xOG!9 z?70H(|BIyJ$BpO_46IPlXf3yz&~@rfW_dn;4xgB`i^|;%vDW8slVnF#^#j?L))m2tfOOx>Xx#?tXV$HISAp! z%{$tzhWy3SBu;c;);#;kD&5aFS)C|hwgHm*IxQPIoo5t^lEI20OZQ9$3nSl7l3mOx zA8g<81WRtavyjQw;OWBIY#e-D)fzj{$!d!i=2*6UH=HW_1q9z2o^g0dXiSg1)lE`{~6)tEHy z+KKpnpdvbosYEuPa4!Lq?sO{ESv&-$wZl9J~xSLlYbdATG~VoAGd0+w+^}{M&vAm@X~!) zZ53;(0$BGY{d{fjZ;so8+dpS@4dvD-D5oE*QcJF+&@ydn_ocPewr^_QwG^Ii8&OF+ z09~+xGdT-gkqf{rbCvF+z!Kw(7jldBmbF=#+k$IOn9|5j@!Gw*6Li+ZPqo1VG{r(i(^2p9FP^Q z)dH3tc!3o{1~)ufb%w@rB9`r8utp$I zDZ7@h)mh{5J<-sYH?dYA@Sl=XtB81jw!*esw$*>|u9}k$=v1T|K8Vl;#n54jKKUB{1u+ub>OS?uo0w7j^3$@jH8XOq` z=-zr`+GOxLOF-ux0CW+40idaSrCj~!q}rl~g$VK5)=b+8CkgTtWCGW}(CaGM>C#dY zXEB((S%LxZL~bZJ(9CLRcd$3lCg*@#FowKOj0z=*JUl&Os@!nAPl7f@NLdA{!5$9X z7Mr8%J3m(;EEM3)kC-A4%EAAGm{q&$X5Ui;U_~fn*3@r#6gsx&<tj$H>h zY$c>|6*5_BPWPyX#-3ed<$f=f=2bYWte>@g4LP&1PG0X~FV^uE~)k7tVsu8-^2jfk zNWoN=EBp4F!X;{o$qd6sW-%K9n(A9~4f461iWP&;6hW1Fy{Oi;fU=bFQ}L9PKC>~! zU)Hd{Py*LqHtEbT!kN#HI5qvit(E5dQVfl+PkZcWst4E?|Zv9D+13rKR3;} zbsqISw*%WhPQo?ScUOBI0;+qhH->3%-lx-ZUVqfq8~ct#QBZCD{!uU#dRJkubSFEL zd22Nre&-dX2n%_8?p=Ix)sFsJ6*6@qvR+drhT?)yW6;goTAW1Eg=zh!7g&#J{k@Id z8XJs(Omhz{C8+@)tRQ3Wfw1RjJsx{zc-^{M6s;N}^f-X^$u17=cx2tWc`Z8g&Rw%A zo~rS04#~Nx-JO!qBN_$!bSMbOQm3f}a|FX=bwy4XMDX#P{V54#@@nGLM zqUNMakFlqcZ*a|J6SIywMrXP;IVT{w1oF&2D0_7@-XcvCo6)LX?iWZ5-;b&82GC1A+Q4U9s z<#k+-EDD#y;Ii|nEPt#B7SG``IesdfEsOu^a$X)OIv|I`V>r=%BZ@qX5{u96a-2}; zNIVjU%i3IiPM~B8Z}17G`rUZ@c=Zo5CGTNOA}XSu3Dk)Yd3`-NVG$@2BfHZ>3Sgga z^~xa>-zsm=T|1Fh{P)76q8gd;GK68+Y@Q%{13u39AKHn&I|9%kAqN>UB%^<>`$4~h z-Ti^e2w_BZ3@yL~Z-f^Hc-7!SGw6Y1%pjc1+0GD(r{LB?j?Ef}!QzUZ90~~Az){F5 z!xJ9;rP#QNI1dGl)vamW=#hG2I6B#&ulkun4VWdj5H1t8Fu>=s0!Eg><2t`Q6%N2t zaVAK`;d-8Wu#UykW3id(%ML)o=wZg2Wrd{f) z0Ar!yFgO9iifbxs-BQsKn!CpWTd;fp?tpkZs3#ch87^Xrs$&y#3pm8(>8YnQ6#_-} z*wV@#t{kW_PuIW|hVSnV125n0CP)MuZ2|AkmK-Jz?v;W z-Drx+Y>ozt{z&rK=2e+t!pJ?##sRg?n|m)?e7R`nT542hUADQeR>Q|Fra@rCaA)b57f*1HLp{51zcQ zt|R+Pw~Aj~Jp5?!llaFW50-BEkEU+}zYJf$X>QW@rllWD-{wBIba{W!T&3ubKThWz zynZP?JU8)7)E(GI zxE#s{3Y>vZsQb_bpvPi!`RsdmjR_<8L&Re%8=+)3QtTFaNuV(% z>cBuh5E?Db2%(samq1X#PQ-hgM2g%bG$1~ zV3#gN{x}vH<57!cQ>t~!LGC{4=dKeSj)(w-| zV5Ln^1fg{+-mZjk-a5V z?S{ec^*id`fj;QNh+^5hBlBWAC@6W8;PL!Znq4hpb0Ta=3Eh^DtSL5&Fy!nhBmllo z7G%4|P%aeaOF&q)c05tdyB;frotk;5utljYb|i!O)X9Oa%7VAJcQNKi5yGB;a5~p= zjF{ah7|~`oOHWaQ?<62hY>_X&3$ppAIh0&UOD@RV6iGzVV*J>Ti|H zFy6;;v7+v^04(a5b?yW59(U&8dh`7SO;S4waDO`2Nk3+p2|zWyNQ(hu=R!6!yRbAd zQ>APOVtg=sEX!q4Ne(W+T8X)IVZI)8ESuZvaHZhVdGB7^{>kEtB_l6%;&}Y$_g?fc zY!RaK@Soqp(E!|ynri*6;N#E>-wnw7`yeqM>y7uH#jF@cB9vYd?jl9jrKxTjH8j7fm z0faDXTtk^!mr%zlp=N!%*;a%+7rzrA`x*-89>YkS8V|ho+bOAiw_mTdh-G;gJS>o2@|7m~!_(?V0vGJ+RbJYIOyRdb>^{M?n`7!x!``XoY zs>yrkh&%S7Yx@y>PeMhBYdIQ38F$ox3`JF#n|QnpZCXx z$i)QZk9T5-;>@5K+G4xCj8bW{yLBpNK~ef2vvsdW5dF3t0SU7dz%%dyZW=@D%syv>6~J4V%LrWjU?o_=3t%L2;?S_=^mLhu zj?^-ssJsM^`*j5S#cH`;GO{LP8?f0k0+_7H_#qx=1^X9drm|s|SXmQh_TRR_}d>>z3mtL9n zV9VZErDrNEVs>UP4POYcQd((xQGJMdy>LHV3?KaRFnqZOIF%iy@$Q_h8b^m|83D2r zOBZg3G+*hGM#XFxgB}7ujv4~WNL~4z&>exV{~!0nwMzW%34n7?_{8bBgAbCH6+Iw^lPD(FTs$*(SA)%OSG!hKrkX~g_!=`H?F(7bcF|M zy{uAD`kYxS1U2P4k642w@@}cWP(3%MiQsQH(vR2gRFaJfvqhb>^9wtLKfW@ zn=G;nY_oPG$HX`0DQ=(StG4DQHtayx0)%WGJh#Z~ihXd#8o0x(0X4Y4lZNJfKF-US zp3P44s-I@7k@`5Ed97eK{`hN%eiGmF)XV!2sVpS&xk2;_LUV}<3eJ#U z(4kOkfe#jnB^Y{=h7v8lOff&{{L!|FN=8m44RQ?>1tLp+V10(5&Ys zP##fTkz|fIHU^LW7HbY!IXVU(iA_^dJAR!d@qs-@lKCWg051;oL_F2Oz6oo2$lCN%O>T@?a?4boDeud=8{b~cU(prGO!L;C=p!%PIDTdi%e@#urcsek6{E#eI zeqRy7Ccx*H-XAEk4xDm!B`_&qZKzWa^0_HZ@rpKCp7@f=LWa7-*2vF{Z%{7;O9|Z8cp`r^u8?rFn0mMaV`SB1 zAKCLM17+^ZhrOv5intgn1rJ7_m3f)`E5-Na@2}q~_{jV#iZq-s4m#iEJ~sh`KUA*L`4>DIQ_J$B#BDv5biX>)+?HY4BkV&Z@cu2sipRY* zVa*NRmvjw&tC)IX_%XS>y^@Tc+d7uFpnWLsdhu3Ko65gXWx2*GzpwrEME#}oStgwe zqWOz|Ve3HtzU^7Lo4~)4e=P5`<5~IL@I%Evfq$XyP@Xl{^TH z|6BGl`c~mbbUU&CcQEBiWqsKig?o&Nkv2hUdyM!$0KWw`vU0`IF6 zXuodYcVR1KI-!B_1^@b%l%=e?NPdLJ3 zMImLyol1)h7;%k!}OfgibvL94gP_VT4*p|HmytQmKy z+93k0L+=huZS}Dire>KHHr`s3+%dD6N{0mp@7k(t_>z7osdAI- zrAum=*$o9lLp7|IMjUEGF>=hm6SGV0FIUuvGXfIcG_QmQ&!=jTpW1SjBaeA2^BSYk zxG?f%eE-AbY}}-x_|&wglQ+;v9zm0pvDXXqLT@cDUh}W zTsEY?h@G8%24DN$!kyT6tk@R+MLhwt;oNXl%*l^DRVWcQc5#+vP0ZMj@v-CTcXsb& z9j+=y6I-{(F$)>Bw0A6nqN!^BNkv)s=PYaW#UITlO(WGBhl;L?TupL${^q2OnXkR9 zm_hg_#5W$I$sRBZqxf&cGY-69hX*#HTGRYj*LxCWMbxk0IZw_rQ0ItL4Ft_?q}5 zQZ}qxKE|yU=f9x~_@tLk+RCl`le0%U;+I`U)gO=bt2ll=oTpDk4(`qd zE&n{+jo_Ey`ls+6xq$?(A4dA4eChgW`}T19I5-0vI6a0go@^c6o$sEn+T-B-uTI)D zi5d{nTfW>J>?zMl#IU}InN%FP!qnxyBb{ksMmk=_>?p8K-~J~gU!$e}2i-s-zuD$) z0xLTsxBjpz;yP?Jr)UBoFT$#j*fWYQ8^1}S#!fw*Nw`ae2VZ5!#x2(c?^wo3`|m?IO& z|5nqE)BPBM0i5WB}5Lfe$gv5rg>U9c|Mb|JowOF$`F&6G8P%luHBWOzj+*WMin}I(&pc1_ zsP$^5SEJ*1Q8dnh_$t<_Q!1k`J9RQY77Bb~a07)>p)WuoX)3T<{uAO?G*)lx4SmpWVx zL_6y(DTUZfr!FBrB|UasLQHk^L{WdQ)KiY92ywhqDiCK;z)5Nb9?6u`93}{HH~B?U z=D1&y_ypo>M^6;Zx+qAL3d9NYA0gO!qG)Ih)UULwKK(llpGlb$Jeiw48Lb1?>g6FE z*S3fJlyKIEs;iDO<_2Pc!-Obm=*UFTfsW<^@j7uMS(mN{S5?U76G~2aD(Vslc4IDjDRN|xvafg!W=-3*tN(AaMj@nMT+? z#HeIT{V^q%5r0d^Pot>R867g!?vC%3(M~zoJl?UnGMYwSu)dbMBYtI6oI_oMyt;15 zp)Pk0P#IyazCH_&@anqH@os2P2l`C)sXHsEjEbl(yH9l)n+YSGx}s>9~%rVvaLf(a#AuI0wS7eSoLuY0u`bJex5mN!I?U zXR}{dC$FwKIn;$&O0up6In=e6SJy{5)P;FVvM%&IQgBr<6LzEVT9 z61qYUMNzu5YgcPwEv96ac{1C@)d9o*ldS#!96fI2SHwNrZ_zH@31#9thnqv#CJm%n!O2r-2EG}*2N zPAU4IADp>*6n#hIvRx_=6E~{%9i3K0(akhq*qVjtb#8K&E5wtN*DrIf7wi0)@MSc9 z;m|k-;&f-MjG|m-%NKikCOLXC`Khj1*B(WGkI_Jm@-N)!11XylX>C*k{AbmP9u(py zC#5o)OjX;Z)VHKP!>N>=B18;^OjPWp;XQ*4G($+W^kylqPO7E<@JbDLWQ6$Elc6AC z4psXO=DQvp=6R)v^3G_r8W!X^$-vMJZ3_?YJ(;DGQ5Y8J!Z~|5`~P zMmt?jiV(}al)9t`IXp3Q<=S(V{ld3N5Z z=Y*apa@UMPY-9B5+UZBJ5~YMF@?_>ZGJ)vjjC$%P;gYTJ$ENK_b5e%>130I4ov+(P z>r+qWbI%^#%jr^;PN_^|F1$e3r!bu2q(sp{UR}V@E)_-1y_A7YDIq#KGJ$y58{_6X zrJ|^<(+XdY5M_>LA$Iao{9bP9$xNjbeJC{Coi|$f#^V>{l*}B*!YFFu$!v_CKw!O- z#2Gsbc1or`#m{^tW$t%Ug!tUU*`HbY7$UOSnuXZW)BKPpv$G=;h!M_ACW=}(r2^sZ zYdqwkIH_lM8sP1eK)m31 zw-EiDKCO&ijX4H=s&~$%X5bqRqyz%9I9oHV%{|Wh+}PIRh8yR}3#blTv%=X0)AoFB}B@pi+;n&Lq0R-Z9P$>XJ1G~ z?FFI@s*Mk2&mmr2l`+k9sX(0USeR~QTBZT5J(HUMrN7b@bqVpPJga1ta)>8mCB&ut zxIti;L+N?V(~MQNKGs)zx)s_&ogze~*UQu6Jw0ewnsq(tlvfXPEmUCO{YZB*|fZ|QvxxZdQcy*CyG`$DMD;WtkZSTzJ#@uQkqBQ(Dol4#Ar-$ z^lYp>6^@=Ls>s2fPd$6qI(jzNo--UhQFLhz_PpcSQgcg zdhn*$yLCz+PNKP1vi1d@h0C0lZY*ND9?dbDIjVjRw6# z<)ma92T_Mz%9p8(PIqJqL_;S)QvpFPEi_?DefFeh({bfhN>#1Brp=xLWXpYQ6-=L7Sz@6Zm-f%qt{CtVlrYzu`L z>$IKT74c>l|8VN6jP7zY2jUDTCI~T$+J*XXz#bv`crwp8Z5P6S69eUwHY2blQ~Y`6 zLQm#NvcWDzvpDDN2zo={LU-Rd^tg&yldVSxymOLF8R13aVh2MZPWDO-^-8s+QfNO8 zh2bLg#Y)L!%=L4=%YX|Xdd(@5>r z)xfDsh&Me(we@7~ab(CVJqsH-EzPu>;XhAVTair_|Ft%Bzr1N!36g}=mEgf65j1cRb_(N+chfzwiJ}dP4JDQ_tb{w&_ z=IUJqK&#dqMX$w3=#)S-^U!#~YgaBa{AF=$No#KJjJZ+t5yiSB8u}Zq3ZJtOeCbH* z(Q6{4DsJR5!~ZM?dlu(l&k)ZZ%t`d2?8#+@|85TUtjxilV?2BA*2d6P*|Tvo{BJ!A zAM|?gWU>%FgDO>BGaZ>g^o{50T1JRd9nK4Jt{0=Q`;8Lme#h>&og%~$ew2v0nl7bh z-MaQPo0oCf-JQCONg3r)JnIazq9Koyl11d zw(|5~XImc%=VLrQzpg!Rd9iL48Em5wMGKsE1w#8f+NL7F>v1pZs1=A^ExITfdRUW) zTGhKcwsDRk{bVg|;f>A=BoKd&=h$f(cU3C{p6uIb1jbX+E!A_sv>x2qrG&WA8LiZN zM3BRw@M#>6gIco?uMuLl9{Q^~lD1PaJ^z~P^#whEO86Je*_;$1j&U^8U$HqU1%k+% zu%|L=NDQ~_p_P;)lj&*l_Ks$X0Mt84Jr8&?v%Pt^rWi^B!|K{SsEpi}s+w#I192Oz zbL|wh!(gWrh@+iW_%ig)zlRtVG6&iv%sX#LR%~hL?5+gD{o4wW;Yrr|cNmECREOP) zKz!g?xV5)qMj{C`qUbRvMK#IREQI@hos!XK)pq^H9a2@>_wm}^i5xYlIoI8lWL?Lt z*XBUDZ|+4=E1Hl&4=jL%fvDy1nf_wMNeRR$=O^FpQ#(0HJv%$4d_6+^%ZU;~H6dIp-rK}eyWZTs!oGcYDSY@KpgZCKqp;8|3G~@r| z(}$9A-&&-1o4r!j!>-sK+FVJDr}VeLUJBmM(ub<+eoy8UEkIW#^Pne_S&z-1K-}$& z!kL~j_-}$8OSLBTVCG<_(EHC$N+6%?CuJ`3^t|pwBzjum$?WTBR=bW#&0QTmwEOL( zsCO^zQt6#Qsxn6PZl^9Ga@oCf-x(mUBt6iI`p{p2@aKMb>lHFMRG+$jn(41UUUu3Q zh*zn+-LA?A?|tb*)t<|4>L;E(`Ylu43jcXrx|Ldn;wnvnYM+p7iAW%RL zRz@uy%?0xL7fPjN+`p+QkUUJv|&BJXgl!1MRY2>SrG%^SNa8BK=RdW3k{(JX}frlk=6%B9%TGsH_d#hJwk zv6a(OA=Y}Hau=YA4~6P-T9zbje$**NDnd7;ORvRDS%6@Ol!JG-vRsJXYMKHHHIVvds%MHg99BBl8m z+Plz3DhroTsbmU9Pt=Z7$V8Dl&!jyWT_l!KbJ1j}$A~RBbh`?~4Gw=QqfSl=?ddr! zP3zElVznwG9=ngW5>>k}7U)BzycK^<>#^X8TY6p`0U)Z{UgDH05VdHPqz@&tl={?8 z5d#0=sy`{Lks1uciXVKSWUAs8*%s`w< z{*^3sWDKHaZyap&_wZ0AM4 z|2nP6^jBIpIc=x4rK$Q|s5WtG7ve?7LV71KhY_~9 z7XjY$BEV%{U-Tm;z#jCF;)agKnhDv)Zo3sxv=5cHQ|PxAy}qD?1Xl~>f1zM=BZ}^D zWM~w2`hv!8FCO;r;$ag)!?uTh4Zzt&k0Pw&Y?(}d9Rt8@nRE%H0x>lxCuPtkJ4L~6 zr$qAgIy*(-kW8V0$k9W=IAJqA!E|Kkm$DogArSd(&4FmFs*`8c?=L#f9fYWTUUCng ze&Hehttu2#r3z!#LAyf3{l%M1yZY`MT(omb8f^=O=u2Crc1j>ZhY9qHnl!txWrS$s z_4^V>b0$3xd3uUHZ>mN7LA!CFrOG<>U8m%Cbg=6RM5+F2?74#Ol4#%+@mom^n zH4xLBlqkB@!{drnJbUhQN|AtvhWoy#5I=i*#(R49^7Pyj$7gM!5Wc_P=E+Q#IH;3C z1HZqtKRY7TmIaelRWR$uHTo?E#26ed2h!r0@2Cgp%ABeBT+Lik{m>RVYfY!zglLe zRNwkyl7n*;xo>FazP_+6jG_^HCVP-}=RQcbt1>!@(6CF<-j^2vKJzdhB&1(b|)F&$IB)8jdZhwjij$UsUI zJxYCn1F1++JAo=q%gpk6N6!x-r}SjrQB3N&!_)KY+T%Wb6XGU9z-BK!VewM@zYv*0 zGRc{ywf{@80***MPEBIQG~zjuv<$1UxFhOh^`J|Q8dW2=N3mM z5JNqgzc{mt-`Yw%)9=Qk+iiUOzCa(lGDp%}B3WuAQwq(jrmLn*c zcb(qJZ9i&TXS52$PxKc?`lzb*WMBNW)uSFf(0oD}-aJ+GIc zDHlDE!+}1fUw)IkN~Z{MoBATps^3rbWX|_T1+^8CmVgOr)7rvXs9^ zG{Y&LwpT_7qIOCkW;uunak-^hX)bhRRFJpzC~vDe#V-}e zcSVw=_I50cBKO^%On>X~y~oVCj?Mo3P>3Hr848&WSKaqXvh4`jt)O>=9KZN=@uB~( z#6ea4jhJn76g}y*-G4hYiogxK6#ep>lR|ZQdj9RCMA30hsX)|qQlbd&#wY9g!b!>W zW-KNtcBv?GdoU1pkscD0hhlgo-J=wekfKI3DG;Xw;$HnVPNCoHrn_XR71Zxq40?hL z|NB^lSIT`8qx$OVNjBK_WQtJ-#rB{ju(|ry__umq`L0tJy^}*V+WkfU@0*iCzbxdG z@*{R`dj-9n5kHFD*=cTj1@1mT6rJbTOz#pqHV5MGPE4TxvB<%P-tll`a@%t_!P9e` zXHPFjCJ-&0x&q;j7xce6dU^s+PXk9L5SKV@kD^l?nJBu(kx_dflqe77mF>O#On;0U zO!78=DkJLTL`opsx8;TK-$Qf%YD3v!YgV3Qrw|lgU2aMg?cvBo87y?8Xr_6~Up?gA z(fqf!T3_neOutX-u#ULlJo^iTkJvK~e*!sY1J*cH#MW;_r&DAmozQ2HDG+pWqRn5u zT?qF#elzv^^a+Dyf5*K#KNks)=mmEb>t{p} zBnK5lR0I{3XaJR{2rL-`k~6X(izosDf~bIZ{(7gXPIYz7-aR+&-rbq!nKNhJuCA`G zuFhd7UK$?DgO$}eLZ^ScRo}H0cQ_r(r5M|M?7zS7l9C1|pz6iGP&eh4nbPiIwkyWH zEH_d*s7RfZ{x4{ZlFR9^s&u_5WMX-CEJg1TsV!J}p54N~@(f?o4mg$^8A*RmMwHRy7k3&K^xg1y2Lahrv^QjyO2^kKh!eYh0+f-QLdj(+LCB;q^hn7 z`uSogH_EY;PSSM+s(Bk#Lqf8R?LhzXu;`0UA-&#`B{Qe{w?nz{zEEy#9?Fd!sNC>j zZA9fpj#Sm=g*?0?KBr0H`{qqw{YDIPUwsvc=O|&!@7U+!<#`~;6J;*r zl^G%NW9$7&sEJ-twD4&^Z03=zcROmcL2g`(@PGbg#2BIkEY=)_KP)9sS(8dfKqeQpBm?n7rx?`@>04v#1uUR@K8RfZV~y=qkiC?`=W9FBE72W zs?Z*b{kUm`JWcJf!S&;?Do-!g>PewJ_L&oo{a+GDZDiWA9v=v#%D zE>8rx4y)>PLEsZIS5BD|1=;z>2W}X(x%)gRlA{<;C)+JPC>cy$^ zsEmD?HYO{6FM;2P`t4=GBap@05nGkpM^i@kzygcW!HP}fP6{StnF5|lj zn!aj!kmtia$TKO(6IU%BSA^Acrrfp#O<#3H!FgON&o!bidKY@`tA1V}opg6VRtYwC zdx22frkKBI8f%(J`XT1^#df$s;8UJ(MJrW^9w`WKY-1Q3^l3U=V@=zHwhhLp^RQ@T zQE0Pm6wIufSu0OfHIM(~5<<(R=E)G8 zjWy9kUu7-R+nC6G+ zYbr9IDMcT)x6$TPiyD^B`46MJgBxu=D2z6*M`rJ3UM95S_7C#h8{~Pc==G;`U{MQq z(#?U-&Ox5_gFJEc`?SF4^dL{Kqu+Vl>5IBO$g@2)rp z1um00+Vh2>#Ev!=N55|gzSazud3Vv{pi`PzoJ^ixM&rwqyXXwbf^${v73A43$n(9z z*X%bMzgy7HZXp(zg_y2hY(83&cJ!?pr4D#m$#pvi8ZN3rEs1Mosk%5 z{uSiG_*ibMv}QZV(--79KFD)c;ImRdt_sNDXDhtS*OP?AJhNw&5Ga=kkK?agT>d-~ z;-w=?rd7;M=*7M;f8j%iyGO1PFUqHrrijMi!1B;UaZw(|F|@(YUx)xw_QvtLDN4|l z=QSZs2REA&$1~q1VVO42M^gb<8*zlQED!1Xr|Lyy=JOmB(I^7i(l&N1@>f+oTu3-By{|y%oa6%OBs~KVA+S7gY-i~Z z`hx`@`ubrgwLVES;Ht5pubBs#rwJd>aSF~~_~H{ab3Q5kwFuq9(Xi+&CJEV)*A<*Q zX*B0SX8r|%x!Hc2zU@_(T2&QS1fi5b3R^1QD5(sK;k-=6bCH+J)=tcEebp8P=W(gr z#a30{37oene5HhS1&{?7%Y!8hUgok5&?FDq61lJVW%*bF*jeSKpQ%9}s>}=hJX#=4 z@@yOA*{DGH3k$i-DeUz~38fZ!tS{CN@`3ktR--)PNy-hZ!qtoUWPoU%*c4$!nk$7y zHOBj!a?VwSbr(R4W^l7G=i>^QtLh0AhMpW#ZGpf62(QmnG9aDwetHF0 z&5FopfSB(^uUQ zdonJ)zvxZGa}@ikwSzWrCRm<2w70#8dpzHmPJ$}J>Z|@z@EMmLD`=W#&5#9r=(h&s zg&7 zI-=&uKg>Cn5@nf5D?U7fXMJ!TU zz2MU%PpOTR$`xgu^tHlP`>+ARrPsLN%iJxd4;2#5>Y0(BP`vbFYsr8a*4+zG zmHW}U=@|iyrreR5(idLz<$Pa7Q?>P_zQ%>KxGiL*iD%)`Zg-K+XuuY4io`D$r*z*i z%{To|rjXvXx?2xg#fk-u2{?Ds0YxsTs>O@-04bF#7UKFbo)OFSlhT=m#^SE|PQpO4 z_qB2@Uv3+;t+uGmH3pg%{ms>a7BQ`=ql(m_F8~rM!HKO-B#ZLM9z=t1j1Tss>BTy{ z1e3>dae8e|g(d)%u#;$skmFoci>k2_9s9bRYJ9zB4IiHtkjEomD{|cM*~aaK9d=S& zYqXLm$Fv&9h+yDeX4GFE0UIEALeIV1hUfEb;RBS@>mvavdw(e%7HCjY&x|wXI6TcU z0XaS(QNr&8nkdg5fhO`fx}a$~P2$VN%=KWcz*dT|Tt- zc$I4%={!d9WAQplVxb6sy-rz!v+X?r%1AG@19o@9hjQ+u=OirSvwWx>&I|e(Z9b4! zWlkx|GclwW&RK#Fi&roDiM40h2cdQEK|j6Jo+Hc0>nFHz834n3+oR77LfU;$NrQp+P;Dhl)&!?ONO6fxrb|~&!>8Hg2(Kvf z2x0&PPu4t4)zeTU8aTrTE{?m>C=&a6om93QstR9o@L??y!aAp*iL>@}_F4}#hZQvR zI%3Fb1?MK2o8_s6q94{OQq?2Svq$FB*RC(j3+u&vrVYwhtf{k1DH4|BHuSZo5LP)J zMSWNj&!_v~RshDCnq30t>qs6S)`UQVn0gvoB2*wcg9$p;Y7D(x1Dq1-ZqJzjhjh|y z#1SYiaORWW_+2fbm(@Oz=AMExoyDYVC9D}CUgjl7=8m>6s(+y{)ib zYaTRDuPe(kxo+O0A*k27yH(!E()O^8zelapsA{LgM@KCNvGhG680|T z64I(XGM60bO+Rae&=Cv0IHorS{ah3Dlf;+l=chqG&|xkioyc0mtCK!g#H*^-Y?RRR znYZB6q@R}y{m_Y0K_0BT@SI}_S=Jya#UA390-y4H(UiU(XwD7D83FlLKzwO`6Zcs;b+ly2_E1J`l=;2Sa+5d#+R3 zD~w%e3@jFJph7bbtEzCuRE}gplXteLEyLI{dh2?z=3f(1XICYlC(|I^6=dZ6fUKni zU0<>M!EC8s%m;lvk1);{{|DzhrYWsT#g<2Ap6+x;#}lkE@CIi(Exc$yk4rljBX51x z@|2hJu;@G@u`%V7>XX3n20C!2^IMAg!mLthK<_z6B>Li1_VTbl{^fXW6-t|OR*~vc zk`sL3kA3ZtG2&R9ChkfztH&7QiuyApf2mgESY^`~Bl;kEA*LyPG?d{umsl^Rd5&zu zBlzlyN8-H90ZKqm=F>}!e}3?_Ye)-}^SLE(D;FM38(3kYtPnbtS_RTHPI6gc^K>RE z8J-X8DG5iz8keZ!TZg4cN*flrfW9P1G&!HRcRQtWBsrb{))&q#?4$<@&Yko=ks0wv z+-c=rAx|e!G4JF_say%)X;>MuMB%HdP6_&1ygFB^Yj)u{& zgiDJ~DoLk>9UOx{BLJzT@=|#LcVVzz|KP$A+NtoH! zi}T=F(sBUGi1uz2>7=uXPwtCmb3%z!&ZqFc3Ga)lf;!!mGkw*%;1kQtRNK7g6D^^a z`JKx{EIz4h>4~@xY<|aLaa7T+>!g>c!I7sRPj9-=_y*Cp3~4MdeQcy zZ!{O}1UiAapy{Nmiu~SJl`C~SX=l=W9u|3_D@SOyf)Xr8I_cRWblT%n*dfiahLU8h zppAC^i#5wuSC;(k{9tZ%P4j~rNJ#=Z=&+Lx57v9|plJ3k_#jvKd@+1ToF9xbH_O8^ zXsJb6uqdC~`N2GY#TmLeV}5XcF0|`Xn{z>Ft33bit%Z(ZD69qcljpe9{Z=o0f-{}T zO#gBkTODe7aDAeK4@KgcGgVcNaHjMMc#(_C!;*&gK;@zHxN+`_M#!_t0Oo@g?0PY> zN>N)?)s96Smr`7Na0M~RL$9hWDW*BnNxjhgxZuOG<$Q(@p@T4IDs!_uEQ6LUcT1WA zwbb!6nc{_}U3-w1KVxIXv4Kv_N7H!d#;#~jzVJ`bJGN(Lr`VP1l z7*Mvlv%_3=oa>Ks*`Fy|!oxS$|H)!5yQ=(9aS@-08brLBb;onyT7ANVrfzlhSX3gmKl!jzK@sesH>J9e5l5HTMvL$a51t=l;5ZBB&;jYi{t(>wQW2S z>*ZcnM=V`vmBK1w!SmDz%e3*OqGgrpFX84ft*XNdKGd@d+Mt1Lr?GJfGUt5ysvj34 z44w4hppEB(Hhxc>y?*AN)e)CBmermuR8IuE(E(Amy0UL=o3p5xxI7F`J>?kO!t|G*gz ztc^SHS1us54uszF(CJKgaW`$86|}KV;fuZ$9JEpH(5I8K3Yu~0<$_ON^>h(dCv6_I z@v)FosF?3wte?4O<;?TJHdg5BLhBvOUnt1>IYVFR$;dK+CL#8aIwqyDAph1<-u81xGY}fSvvG(Ly{@?#dBNU*E7OH`OqnR!51xN zDfGMyn8?L4)8t7_1CX^rQ&KM7<9B>g{e4DZBe`iseHA__{juPa64v|a#i5^C)MzO! zp#=0~n(YIh4FgS_CI3$-S7DiYG3U4ID?J&xA%wMYpgBFzM9!-RU$+M2A3?&|f4vP( zHbX?z>Nuz5w#w1W5woU&_=;!cWeTLfNG}T=Za^$7MdpmW+*i#!FL%;t=jA+=I|(@@ zM`%|s5?nGaU0<}@k&O1LWL_#Jt;l)g~Mc|Nxk ze42cfd}zkG;8Rrxv&Z_EWyYE}gawG>UXBuX>Xjk6JWbzXi#6<}h0HUu`J6M24T?NK zS;ET-K9IE3Sc!BJR`=zco6;REE6~6<$9?gFbEn~)|5B?d>|Ug=1Qs&$7g`YxE{+%e zdeiq3C7iiOV13O1E9uPGi%H`NTH=9)a_<D;bZTBUM$bO`btkmFealQBhv`+@}O)1jma~(HF(IVIp?bSf26S-NokV; z8JE6PgifpbNFeX)i-pW1xdv|^kq{bz#`>zC6!Hvq4c?OlpTVwCJg4B3(&vJH?k^Bp zi&Y?<^u;1A`YQD3bIo_^+R7vNCKnLX&r^jwoq9cg?kjaq^aTp7cG81|JXC`eeCR~+ zLP9!Cy+FpLsl*4eC}&!YS&U}PDQgr{(Ae39HsT5A@WXg?PeG@W#1 z5qe+sIY|dii%vqHD7S=8dWZOeOq{=-DAK)?eqQ+Ey;Po0NmEt-Ez+G<%NO#b^mu`c zOFKajx>RP`jZ*NT`6h8!nx-|J4;3JR3H?E@ zpDhc{^o{01&%?LIb=?rwqykCx>QFCXSu@V+HLk1Cm@tW5UtCw-L|XO8=K4xcrdfpk z?d|L3vj4LOg< za$i;T^#U1}ZV0wIp`huc4;4sCM+MDOR(AO!)t_6>L#MqtfsdZ$P7b0U?GwQ#r~5Oa zHKpOc72a?$4Q<~kLMNa=Fh0+I)YloJY7Hw|0=SgE(7W+`Ft6uhs`WeQINdKOXgUe) zdAM8Xs})FhS{P))r(BCQlIyk3%1n{pfDO8@4hV}{-IfeIwR(X2AR(fMSj^pZ!7)WyXXhTMep1>y^mpQkte*@tn}dN|oZ~JNtpj9a zT0qo?(e#3xA8H~!7u5K~mP0R`BTaLyr*s^zI0mab3QeB(2aUy3F25LPt_T{_bvw$r zs>%|Hb`u0&7`gSi;80?a=iE*`;+i8(rx@uB9q2gjWjhKZqh*;uK%M{8SDf3bDzxhm zC!^8IN)fJwL4ymS)7XyqQiRWRf)DdWN-g3}KpmF)(vb4dGZT}rVl5o&ZiEG{xL7}t z1~Z0wF%8~wnLFuzky&F=RgaKBISqZSiH40lpW6#Qv?Emz(6PqWEBJIql@Cr($z#!U zrsX+BEIR4)!Pk)mO)q>#l19(q8mgX$OF!=+lg{-Fxk{v5CD=q0eGM1V%dWZ@R@@5{ zX(CdN5jB0|8>dNljqOW}JW`G=$N39{UHa-Jrl*I{BcF(@7s84(5h-KJrC%OX+HW4@ z!I^0}4S#DD+;MR^7I$`BOK#qm?j0~+AEmtmc*DhfFkhe-Bgg0~JsJ68K<2|RmRwBp zC4HqQBRabcnSjvx-h!s8aPFmo>cz;h^y=evX+Rba^2FBZMaq_*%=z;@hOQpj z*BA7)%jbys4PqK-%*%Y4keD`pUC>n3&!~d;zH}x+eWmn*1tqbFmT+CcnO@oOYQHSu zfg$eE5{?dGX_~@;`OF_Qf7lFtX)Ky7;m1LqXbG@Pub*fMO9pv*v4l^D&=uwc1F}m% z;^@K7fhHoc*B|pm90Q5*ilYz_*(S)NG-f~L2t!rf9onm#2R_k$wg@zFg{_7TQnt)E z{1=-i$`r73)xd$YM74;lLpA7kmTa9A=ieU}&Kg!+5tPzZL5GhMlFOXZ`ay?( zqi%mLA${vGo19?%+@b{ZWMoqs&QOq%?SrpZ6G5(xs(Pr1MJKH+Of+7$!WItTOs6vm zjUt`&TKelbm#afNDUMlTq}^-dHvxGp=rHbeEzkN$Y5tINV_BzX*lH{WI}Ujd=>$A* zvF7#rO@@3Z$P-VaosWi=ybiCUNse4U@tm%d^qejYtE#3JVbQmGLwgLn4&ejbAv2{; zQCC*geanD?FOJs%VUP24lG)SD6SQ$-7@z+Sg`UT>uX>|cugXJT>MZhURehj9INV%6 zw1Xz(rjxT_S&qd=i_oj;cQnKaZa(y!b5-F~QXkWEs9?=$QhF=J0to037Of7YO$a&7 zP*TuyUY;i45e`s8ixjLXO)rf_N^cHjOKd}eS})eKzTwG8 zFDLpgM2AS`8cXSLDr!A~H1)`Vq0Bs(tQRyIdR1-lnCHVM&8n0vVRM>#nnOb`Nl#MM zuu>Y_*!!!C6ijJh4Vs=TAthj8hj(QIzD$EzU?2Cj3Yt!uC^VphuTHwJK&#Os2TXgwhbbY^WiTDS;+V#>v#t&DtPyNut03V61yWUU_kG!><lhg1@W}vuOW~>J1cSX=}@bZ-Q+({GEOP3FtE#8-&)c{fsYek^}9gt4=woWJQ z2y(iVChoMYs?*6Y+>Hh`qes3}bKxHjeLtZA2BfO+WnQLW#Pn=57$eF#)3=pFxiO`n z;gxCNfr~>&V=(8F($1lNpIp=P5BrMq%l}L5C?#W>c*^d?kl(*QpZZaGHZ(vaJb-At zgdYv9xVKY#6*Tb7I>f)+SAXqZ^_9|oh34riIl+4I#Vh#0#WDTupxee?=JLx3!0YPhYi#=m#hyq!Y7?cvY2tbwz2Y&Mo>EbmC2DYi%A%iFZ(a;U#<{9g&|S ztgRejmF9@W0w`;>Z1bn|zR=ccS0k|I*ybsF6_$zY<@HS2w8$w0IA3P)niie#rK+l; z3dH$}t0U~3CCJEWnl&y2X&=0B2wi)t za~{)_CIlMr@ifSTo)2{kiZbek0;#H-LR&qq5_~L#HBk_R2brs?mvUp|_*@*~wPk_C z6SF(%0|iZ0omRwjuqSU{F-&|0w@NVTdARh`md|bZ%w-gEzAt}tmK-Q(VxO_9zFXwD zRDWgL^Z7Se%f(?mL4~-d!RjNAJf~jh$-ZLWGp>d@Cisf01jmQ9Sf2{M(6+&4asNC6 z^)KY1{gt6F{MmvgrDKJM@<}PK4VzO}3C8ooUm0q1%!K-Mzcy$rt`a;XXddlwz1UhJ zaL{qS7mEfI0o+AREvZp|&pc9Rc``tmFvfiQd90KR>^$_|| z!B^DA_965`gM>&OT&9hyY7i<1W3f#mS1w?C5DHAlkG<8x~=}qVVXkyWm(<_zBW3@4xt}H1YYLmG9l+fyF{tGl_M!VtFQFr zu-cV-d0TXEYGJ5~SU*43*RICa4)y7}#ViBu#tpu(LI5cU2XL;c73g1{rk(n`rEmud znoc^Iesf=aRe9S4mxjeMLAjIoRLOjj(qz#X} zu?U^c8w{bhs~>Z#>t*%h_OCQ0vSm&Sc6gtx-~uJn9FZkFBM%oARaGkyb)JHq1RC%F z%Jwt0@YPpcTZG<8w6Mt8Dt&bYOypudzag3&vGe&gESg^q7KIbHysvmV%4xyZ7GbXc zprDO%EirwUGx+*{^Jv(r)wn`HnPT-GA%aB!<|H68F|KD{E|HcDTV zeAzWr&bcKRXSEGRa(VdVZ3v(=`6w;N)NBxREa4)?T#$|6C>PVj^HRPbb_z1kRMk@j zpH5mS*!(R-=Q*PnpcjXAEYalgqH`~a0Eot`lXek4hM@lZaQa)yC3!qRxni1TpBGnQ>bHE$>^ZYG!@W92kF@aw)MZn6JeOnogoDSX+u( z3+>|w7JgkoGBy&gm~$h|;EG3Q=vRoA$x z#!~mZca^ZJbXHMmnr!uEk;i=DOclK#Pbbk&A@kFOCp4NCorLlbZ;Dja z5g``3vRY|UDpwBE9M)pbSOXZ1dXxW97N?qNB$&`(Og7K<|- zZjHZkv8`$y2axm5UBMS(nx_SS848HnYWxl_pB)OWO2c&l5L^hWs?eg&`S5949*Lt* z^NBCxvn27t8!nEQ&de%OReCP5%0sO<(wGkmV{sn&c*v((iYgykQ(AOEHn7er zx6Dp@pg@}TH=Rx_b6<3Zf3S^CC^yi~gfEk48&+Ta%lRvo8+Qa>+HO%kv`;~3H1E)N zg~*6Ins_1p&bsD3Ex%U?O(UNTUS2S#(F_QoE@QL96DC`g9lKK`$X}joayT=iT;_TT%qoM;1bvwpBYH;+XpVN^sfj@Rn+ig*KMUuTH^6roc)ghur< zr|f-0KLv5pc%^g!A}tr|M@vzoiF@DF2cGN;|KLLrO9w@=0*avieB~0duN(nCzEr{) z(d52Zo*Ypw{4{wK_lOS*G>RxaKjACJo!w&SK;YKw%QU8888TyE9G3q2Sg0>`<>!oO z9?i&@<|LBW%Utfc?xYp7__MEVg0Ejudn4CIC%ukJ{v6@2=|KRC`-&8OPxGgu^s6fL zI6Mt4c&T*^UMvsh#7W4z@0m(vMvucIaa{q%7(Gqa7?ikwCo?M6Mx;?5crpzszTg~@IF@@&#)$c> z5crTG6r8ihsf0eV>-kkkmJsJY>BY#a^_8BCWPl)X6pNx&#H(y)HtlzKL-2(WX$_A< z$H@$OF%nzdklE*p$XVN3YKJL(HG~y4zkZfH96D_UE+kwcGpQMAY@N^o$vlZxW8BZu zh|`lfXUQeV#ewF{0eLpGJmYv>E;;{?HlH=9CG?Mlu%d-y9 z%wy9o?AY7NlC)02PKwq7d3?DFnSnrbq*qmycGyWJXFJtjebIh2{FRH-oqrkP|1qD& zyu7?Jp(p#=jQ)8EH!f&8^;b$2WM7wH7*sAs-cIW9$V&Q3Po^pR%PBoAx&bNEd}Nk$ z_9^&2lFaL;mz{UFi!b=(ctNX?4}tXsnofcaedxOq?vcUmQ0rBmJgm|E?m)ACdXXpB zA-B3cGI$%yL#MMfh%FFsM|$Lyt5OL7L9Y7t%D+fEO-K!PAKkzbm3|Ml(1B%8?V$O1cKWT=Qdv%%8gj^e=y|kk<;_aL1XdE#;Eyqf;I-H)s2GvL_Q;@=k-Eb z+(ikGmJZS%sa#dx%!U+!bke0EbqFZ(PPw8rrF(+4#95eVby@W#cKGcO)-EAmKpr29 zGOSMeOIB>LJ+BgST%4)NJc&H-2%*P$v~tZP={RG=q5m_aMJ&TV8Nw=O_HBMpQ!pj0 zSyIh%Skc!6C7>tMU>Awk;qk!|?hBT%UEp(32z|LQZv2sun=&>cPgbl7@{PdxgrFZ? zZ3upd7oVZ6+=0;dJwpjFe<%;*$cgGkIj3|+2p#>eJgk&{95l8-2>q6zpWg>Q4~DS5 z7HHyp)8WC!R62{rdBHNTCr6Df>+rhF8|yIU_lA~L;VY$&2YGG?p=X{X-Q&2;l#B@S z&`Pj?Y)OcZ#qEX0=;Y)O`rkvDuvy@oHQq(S69Ua{0a>~TJ*7K~{FTyLA@sO|=J3E7 zYqs@bZM;ce>B-3M!NM~Vq4~;6hOw{MlDRbSIXTOy?CWWo^i@uboE37xHzcM=A<%Tv zUIk56ZA7?F!Sc%*o$&1sT1^-7*Cz_jDZOWc%BT9-a9SyIte0;HrB;krdGY|C5sg@A zOjC+qpm>4o(9;}EizU&U!wa2ejPCDp)B=V(YZmxnm#G(Y6G{OVgcB9?)pg6M3J$=UX7ztI#wd zv3;0R<)sSab2bwDAW<7}94XR7q@CBxOVM^-FV9VL#=PFQs0>oO=Tc(4zS5JCtjj0} zN-ia18uY(V&H)8dRTGs!kWM;5+?6J!_`RFBvS_Phv@H%NrqC-R6<4F6FfhMl$`dHBO;8t)=4tl;c ztSnkBtUTX6gdSHG#Z(>_W|!g@4c?PY&9ePO+qgdHXR#4m!F6tk>2o3Un1Y`VG~wZDCPbbG180nj>cyOCNpc~fy5q?-mj#-rL!33FSMQu7pSWVY zm$Zl_ZPa0`n_}uvLI8XC3kWR; z>R!zG?%8aG?T11;;Rm6X8Qcn6>J}8~bwQ{Z|0jh0$xy$K<_g=_byG|2=W0SQ5(o#j zgkIKK$8tWlX&(xfuz3hQ1H_)M4O)#>7thN@iR5EZo(R-QCxu+_{;;w!mRd14y(NR# z=I;tJ|2(;Ga>Xp1__tVRL`+khQjhXJS>z6kuy#X)QkDd z{%frl%aq76zZ7z1oJ&&OAhzslaBHoX387yzOV?Uo5Xz{y|Ki8N5-tlicDb5CS3jo& ztGhakr92Ws&)A5bZw-773P@kbDVXumG(&n-74|Rd1*DU93!(os;_v=ILfbTo##j#jXU+Kxn z9RZ1PkD~|cgwTH;>@cp~eISGtX|m)J3D*joGd4mqYxiH=9<&i9d?46Z>_vVo^Tw&O zB_ZAt%6{co*nhEAh}VGu8Ls^o8Dp`H(MEiFm7(YMt$7eM(N~V-T-eu)_$VdYkG|nK z6lAX~IOg-wV9#-n&xAmeWfYO;Pl4u;OlS@ZBQUu(=&hh3@d6(zunS)}9WLh+Ps-|~ z*z?`L2rH#HA{0jkVlV0c1wOwFGRINJs4? zWzQw&G7vtwzZr$z%SyRk_(Yn)t?)m+2R;8PD~@LruT3(6W<(SB$Y-7;y}pBoZ(p9C7Gb|4yOpkl5{Lp@mG<#(bmxmc3>GenteCF+e=E@#u&I+yfC{IKd z&Y&5^0$(o1Boq|)INj*Tyo)3nSFZy?6Xs01F~7z%Y=Q6r&{ zu0?#{dLiAk2BrR`uM87yUyTFdOWk^Z`)RGU-u*#h+*s`ZUsz>eGVM)Hh%>uQr{O=) zyqN%_!AK(0fbK$}VLm``Q}tK-MEOn*mENUR;WeV2R~6#;aqpNmKy)+Z2r?-4QBr`EXAJV}Vf@@b)2t)_uC5FgB& z;6JY)Q556R=(BP=L{T6^L!mQppsXs=LxyI)L#G`Ghh`aIqj2HXYpMsJ_;0bmn{wc> zd?2{71{&$XYh5&D_94Vl<|jh)x%#Ozf20&PTOcR8z!4fl`K)qr{s7vGT*`evqHno# zs&R@9w`PzZkw$z*mvToM%}DP^-Ck35?&7|_Xy&#$M`$ja$=m{ z@-Pr^u#y*VC<@fYqzBqxDDb(N&{$21u;BuJkdxmu&TwI=@eemH(6SvXij}Yko98AG#+Qb*14SPwS~*sOE=kT-vaUhyp!rcvt6{xJ z5c1Dvl)&r`n%n8ed|pflXx`baEkQ#;RX{hV+kB$@HlIsnG$xnPK+|YFLZ>5Z&j<-O z>;$1{U9>wXf-Melx?bc(>&JBcb*INeG8&7M(IAxHQ!oexr;Z!VIfNKjdZ7!U;^sDo zzQKhp4#=`1%OC2errhhu1+GAiHlmPc1)_mGzV3btA>ejLmjm8#f!5dEuyMHVL$`%? z3H^r*Y^iWZXzMnH)=P~H4!AXS29|da_Hm+lAJdT!8(R1w#T!pvx2M{eG$d^xPMAH9 zsn=_qS`KVevx6RB9j~V!Jkf47$p(^VQ0l@StQHz*+#&}^G)W}8#z{61`;B~uE?LXL ztOV=Akn*T24ehn<2Tg)@a2#MExOb(3&1(4Ou@9WRJz6yVjkBoC`*dYSsqDvMhKoka zduSIouUW}a{GVA{7HNdFbwlHT)&w{Vu1yOWhQ+oY5>+q3itsw2Up&QS; zyLk$4YxamDrc01d_!(GC;p2Pa6YWmU&p>yj8&7Lh;Z5Z<6k+cp9Q^p^g5+fRZ$shN zU&|l7knRWx|2L+a|KSazJFur)x^e6PYcB1BB~aDA;Gk+_Porjb@#&<)MZirN6-<3=w5 zGH8pP`%~(A8pWb?HTjWm;EG-nrzQMhZ?2+7`wL1aq#5^<@DH>|_o1OGzm?12JM_^~ z!~nPFJ13*i8{&tqv!z?JCI^Bx>#Ui>KxmugZRRi#+GY;T=s;pIbPhugtyzYlqXUsF z(xPl#nSSt`*=Pu8;uy*Bpg3h0oUDe%TdcQXO>0vwf!_Q$#n9R~;QO5s;EPybytbFP zfo6)(S`QcK+Z)k61?^8~h!^f9C2nYqfaCPque##YBxp2uWq+U;jH}i%8xUvwr-%H* z8!m+Bd*NvHDlPvTecFXYvf;EX=sS+~0gd*Zql0TzgF~+^@Mc=1`QnsX!=1+vTCaHl zGOQ^UGr0CNIN&@S*wElPoF{tV7BqN)FILe(JBFfUy+K?*NUm(ZK+h2Ly)_CQ;69yh z&WU(C7C$u(ALmnh&EDpPQynVYE+j5^-c2_=L6UJ@SL7hL>Z*ln=s(ke_F&>`t3mjy zR={!l9>>VJL4&w2Ah{9l6ZKP3tY8F+mL=2SZBs!v1X;J&baUOxr?jn6(BbCM6Md_l zY9IJ_f%s?+({em$@dhqvn{${z(Mtu&`=B+H>j<&5oS)vz$HwA=`GE&+j6cKoi`{r4 z)o!61)-^Nx(e&pq@iufDQOOP`8-Zld5$7GaG^fj|1L!xVLCOAx0#ox;D&hphmKYK&{Tg8`^J>ci`P zpamDuA}uZ6BU6VWJcM!JeZS@<+PTg2$ePcKL;@*ZF;qtRO zEJbx><=k#;L9+=t_7q3wuxUr(zWal%{ zmHQWoD}3qGCv4)i zN`s}YKqqO6Cq=g>dDxqPPhEoJ6PDOzX zyiY_^1Z9(10a__+9dPGXc41jh#z9q z+;aCd{>7BzASLKcC+o@o-*qJe4KC1OuLsitMT!FTt$d(xeLvkstN+nUV-$K=mVWS@ z>d^NP0`$x-inw7EYQ}LJ8@&?f1#vlobU!D=1*xR9!wuck0;==>D9<^)5$_2jkcQyf ze@l08w$bvnbekRYJNRCk=DLBzVrZcu2TY(JJg0VZ0Nw^uw)t{Jq*2k7`u9y zd0EoNjoT8l-?#>B7j?pB zngi`RbmNIIj8;nwxEM;u&e!>UcGZ#2-(v2?VukPZAl{h0;j}^e+(SQ>hJF0ri07Vl z`$=Q}Q~CpRH$pA;=L!m1LnAG$RnvZOtv_%WTKf?kFp~sJ^Yv^Tg9R<>* zzj0M)5`3H2#QQN=rTqyR9r%)ZMZe&Hd-PCEH=B1t_|0guWFtj8^w5URp*e2N=tzau zD_@igI?_CxBkth4D&2UF6~3rdA@9^~&ca(9l>)kXkF-dK{zvC$uhuW*Mf^~fjJ25( zYa<~B?~s^W>{4?rK?g!Roc560&Ol`7j}~c$dl8DM)&U3yUl`+4*x-7I)Eg+X;rdnl z)c)Z5sjOB}zvenHzehUGhZf-;=UhKmgxiZ>tqDI#e(@h`Hu#@v0;sPaQOhf>wK73J zdbH@fkHvg7mE^XTobO#hdq~jDqZ_}gKV}`sV(GwpCUs&GwF=`J!-GE@aMZ{TZg z2%&rf2FeSF3!b{W<1h4XyE}Fh*Zajk`ob(R{47H^o@)_`+;SP+=DITdhwIMb`V~0V zl0mu?sI-TlzmY533s7(bihiKcE+wd6eWB-gVTXj#E+VwxYiR#`{Y(scj*!9g1r1Oe zX@b0{1AzJ+7&=CS-n7Z^qR{$vHoqkb<^evi7Y=W; znij|mlo*MokXpZ^8@AC}S7`;%mF_g*Zzn^yK-Z){NbASk7|D1+UvMbSdI0UbWdEF! z(E2%ak8Q0(5C4@sjT3@7PXj%MZamqxRcC0=0p+quQPe)r&exsJJ}Nrgfjcy++`usGZMthu~2RZa8LBG&N5yK;iFXC-61d6e|p`nNiA^>jo zk3?t)Z4;tEXm-L1eCj%Wj3OI{X35492gFU+#Sf&u8mW4)KfXvk+^7|iLS!tp6@Gyt zVZG85DJQ`d^$%W(2WSp37vVU9h*Z3UoKW`GtYBv7<7jIZW1 zU-yF!DZ&@ta6_xJi%x0@NhgqJI9oH&6m$XpDAPISc*CWk89KDK6ZmSL7@67tAwcT? z%5yl&fAIa9*u?!^s{)D{OFaF_08<40rK5eDpci&g$h@cU<*)Do!5z<+0J=Nf2<^v? z!}|pNK^FxLc46bWqeCwd+8rEvX}{=pj`r=u6gg}y$|Ni+LV18f1G<7k|0d}24t+w< z^=lNqasQ5f*vj$dONf;SVJf(tSicdI+TZph9YXGJCRAyEA|d-Zyq^h*6M-#8C~3eK zy(>e}^8$)f$PB$%&>bC$u~^W4)S+J=0ltVI><8KzD>Wrb0hGL2t{gy-uzg|ZcER;j z6dH9Ig}CXikm2lKfUlk#J)C?|zHUv-5sSwt4rae+5@ME)v@97^ zluJ_Rc-~n*6zP_M0b@c#rBSCNLqTsR*-3aZ(E{c>{o__H3dxN$l1n3ox zws}N)U!gs{OA8s+rZ*pB$S`O3%I2gPBK1$AG{p0cZpz{f)`h3_1o5W%)XwY%s_l-m zyBuH}s|DKt+T3d#T~u4@kjHW%iCfq*+#@Ywyg2>f>GRXpgaG|@R}Xl@*RJq9$D#WQ zdU_W{XfZzl9lbs^ zHseveQRk?xhqx6A1UJfGSX8r>*tiU6b=5u7!WV9EuonJE^xmQEqp5Z0TS7p`TH7y< z3P6MV(v9coU2DeMn*{aa6=ChDf9SeM6b=bd&7>_->h16dY z>2Gmqu(71U4Gz`T-z5%xr_lbyp}Hc(r=s@$1~-}oc~_CphLbN+aZi#S{4vVGB@fV; zAAt5UN(NrI7a|W<`m84?#>{N)X!aX3Lo88#p-jgAH`8t9_iq|e^iDzhI!B9pe zQ@mmR0Hvk1F@d7&0BU6iP>h5DH46p$20?#MoGiW8CKRrqHridOI;Kl1z6z?UbqVy{q$ueBuXV~mYq(FMn{|k{fsei}Ly2fP<_Thg zkxz`ta>(HNl%Px2C=lFF($DCI468!O$UTs9ER66%-mi}_c_)*iq2Jv}(PjlY2Jc7P z_57r_J6c6OhqH&7FLDKL*adQi_MQ40kybxw%l7IA{NYdc%J8VLqT?lWLy_iFi9en; z;)u7|bBq;|jioW+u_&*mydT-xk~ELlTtU`iIjz@`V))!tI1DFW*!sGXKW48ncSzPe z)-*fx2%C2(HTT@K&F}kYI^z2aXAi4N8vC~S(D|;>Xgfn2ZJn`A4xR5ndd9O_zpzyF zU{S}Qy}~sJP$0N*vM01Poo-ucw2Jt_SQcE5!B1WL!0#8S1qQz_itF*UtJXxH6<37E z=YY@t;T_@PcUTd>!(IGzkIqoyH<0iSB59!8W$5OA(5LofX0}EPv>2~d4qU#EMGmk< zSl#SZ7r-=8e<#bNS+Q`vlHSdAd2!uY{2pJsB6i@op**phk8>GZK0&P` zNMWr4py-_e{X0=|e1Jl;K>b|KlSBg)V@*b@yUZ}>ZRqnt3waFHRGT)t|sxeBHeiQvI}Ce(E2%&tp!Dko>K_?wh;76hi)gR@5{bj&`Z0tNYS^` z8#oyUpj!&<6^?c*K`(cxj{KbG(6}B5eD@Fz_}ZP>na&_x>`*;x>ib<3a_W9F?8h=a z=+3gYI`kq5Z99klQqY|odhsA=w{;wTB^`s6VbRKo8^~ z(hTA^@bwzX;YT9f9!};T3+iX;&lB_=j#g360W}XKvwjuiMOg-P6}l~zrU|-^L+=pO z#w@_$MnREBj018C`0nLU>;VA!VTXQ8&`&z_%Yu#tc_|s{?^r?~)&c~o{cJ-)GgkUh zhymn%fqsx~W1-&%d2gW~F5U5lSXl1$d6`>Ypqde->R<`7N6jlC0K8Io@AJ97;x|yI>4}oT9LH!&x#)?#4lcANDwK6pQ!iE;7lz|l- zKsW0b=ywF&-=V)1^qy{Nf)-W+4rV(**A?^@M~ksN&|*EQg|Fi`t)DN=kf`oZ%z zU0S@U?ex-)(l*zx9f#)A*yC;|g!W##@jSzEc)y_E?V^x=4SEA@+pcCkSi2h9`BGo2 z9azV)VkM>>peG7yc?hVkGyh%J&VcHweqYkAK`wB;&e855=p~Kl)8xuI4?bTjGW^8R z>Pf%8FQVnI&zqBp3pn|GrCSJUJrvMvFR1lqfND?TTqncO@+SD|O!z?b>-&1mC9S5A zuM4pgG3r6nVrKe9ZowEAIP@~Fff1EiNsH1R?Lx?nF(fP3=OqN_%MP8@V@Qw}y`8Zl zFXYq_lUOY)^R~gb9);`M_gisoaf&yv}ZY5UBm5j%Zftl+dH~O z>Q={Lvd~`ZQ0-@VdAAT+-|p*mY#aK0t#B9%@*=miWAR)H&Fy3Hum!YBkhAcABf9w? zQ1s@2ZVIq=2Z|g8bQ^$O6eAar7PTl)u(P3D`ei7t-#EY?U_bS0J;j@Y{%e* zwg%94rfbfenL`?nrI|#?`zA7c*reaVt^2zDtgg-r`x967`-si6#k*4eupFSLvo_Bm z_aehtV@%%hq-5y1x%c5mI@csR(4w3$olO$d>U+?%GkL75PMgklP|0v2YxzY_KQaQwH_O2b>`8pR@4!*u_mw2 zLz6|nv+um@Sd(|G*~3_n7bVwgD5qkK=lMFv0>Qm7-9RzkgS6?g#NZO}<8+ z;1_ey@XKddC}*6x0N0nq6<>$tFhNm%;q@!dRlm~8`zBI)1YT=-;dfQy2+r!teo-pJ z_0tkA;%R4RwDE*)29}=3x-|Jhk)DS+*TV}}@AqJF)fDSLJ^7ownx1-6%E+asmvvUf zQ_m<_iqgr(UY{fzh9-b=%LC~+5ESFE;4pi&rC>bTV(~T9NVTHhK_Mbk-!>V@4F2f0 zqK7VTyW)UTyj>x|dT3X4wDzE*4X8zyoo#D|MX8`hSv@OPCu}SAg&(0&)UU0b9adAQ zWHXZ&DZjj=yr0i*XV1BXHLGXOkwO?lM60b$Ax@LoXMF=w=aqEhE1y|fy5oCV%6xaI+gDdY5QknNCTpyx$^Q%%l zDt_;AuINES_O%EF=Zokzzbn)K-1V2v74s3f>*daMxx)1-=lU9P-C4pt&beakA2_S) zmupw#9H3Z7i|5wlm-7=``lvUG7|csITNhC6n=ar`^qxTL*UVrg4N&WqfdkTMpnP$T zfXTq;5zzMn9r}0SiqkixgRnf~?3jDg=*ETBXza2Wipf5Z06@udE6XSp>9_Zl} z4t-g4HorsvE$G5EsyXAYlwaDMq1srPbO~+D?m2^!z23D8E8=l-Ah=QP0Y!aaWhLqg zpeTzCMNEN`p=Bs^0hGWDRoZ79iq;Njn`Q9pEMccn2Gj~bx$U5_K)nJKCBMnLgrHde zW+<~Iak516pdOROOp%;4=oXEpR_Fz){g2M>B|W8=362_kP4>>#a^UQqg5Md zTY79~uyNB)uliLT!%~||q_$KXjZzWmfHXK+N{t7)whnZnxDI4>BKPo=udWrj&+$bM z3;ca(uNQQxquoN#<{6zU9qm>^d$~im74(Wm)UP(#MQDHRXtxoxnf4M#tE=a}-9@2` z1L+MhzR00F3E$VjsV==idoxu{0E{nC-Dk;X0|LQ)i_l)|`07fU4IJNFh1TK*4jQ-1 z90%3euN=A^(SxO}32cYQn8;1)8-QJ;>3c901F=_%1Z*{2h#Yl+pZ9cuMnXk%w zu8Z5A6cj@9aod?7)NSifHD#gQN!kIrtwVn;=mdvO6V#t{cAuatI@(7Bo#@a91fAm0 zYXp6*Lmv}#yh9%s^wkc1LeMul^d>=9ap=Q>Zs^ck1zpsk&k4GeL;o)5f)3S{?|zND zqI)`8JyWfh)$F=<{_T!~ekrY&b@t6NVC)7dZ?!aR2DLO$8@mCDF(IHB9W&ecv!JUw z^pAqB%jj3m%;yq4~JAnq>QGHOYDyJ)6V` z(Ri|a zNC(_UN!`+>#jH&WXwjca?K`6N( zp_N>C(>1?WI=)(?Pj%?#!oi<7r0cIQcC^i<(L05MjWIz69aZzC(R+pV49B-wJI$r1 zO6K=hP7%JoOx;q@D_m%C{0#DH8|G(@R%_|=9NJvc?k%DH(9!l<{^sd6csoNs9-REx zYyWoE9GbT?`}@HLLM zpr<<8ZH0p`!FLn%Qb(&RxLf_Q?X>!3J&XnWMftcWS#w)w>4{#mX4MkX0coIp24B+Z z=$GHqvV!=z-<3v_1ob0^+7k18*A`ko($Igq&yN^t|Kd(3gSN~)s`XHFpTYNWw2kOT zFtlB5bJ)}a+823_EuPLN{)&m5JQ1D!cZvKZiTzFyyx1lf78|to$9NMngV-4x( zZM$ZVOC`S+uwApqTA3Zz?9p&vyGD!3G0dIJN6+D2qjhAf-@0wz>X-98S|G5qE$HUD z0<|c5;Xu(VHxwhLKvA0*ikciKW@8L(^*c88`vz)SAvOK#=yMy<<;YZEEq)Y5$GO_| za;zP{GHzGW7j)*)34*qoWbIfh9=g&IL$9+|ldLNpBlR;jI_KVMlC|X5Dd^ndRI0nI z&T2Kuy3(0qKTEK>lu@lFSxe2c>wXq|_SdAJv1wj+HAPb1udvb?8?*{759vsQjqjiY zABk0~;H$GyV>cEUYg?Bzh!L&fT;l@1=t~bbUua!dJP&>TZKU#0^Q^sJNus5_UyGvk zrk|bEaYSFoY7X%026av34_v<3m-GhRo$gRAA6iYac80FWUP=O;m$uPnq|6jaAz#XE zDd<>RN80LvEvn5~!{|;b(r$9NJ}<5~>&VU# z(ldyNsP_8^9BZ!Nys~gs*CW8b>#E;cP}i^4u3!(pdd3oF(CkDcNP=7E)s7}Vc8Zdo z$^Vk`gR`vQ2PlHzQvo&hhf=h2S8y~LbB=I0(YdOOFA8UWVt@H{fiFA1`W*`OdxCTQ zh)9*EiAmL_NuFYJUYZ>4Y(Xtl^NIhi$Y;b-`p+k9^P0DmWB>W&OC*Jr14k73#LIYC z;o85ggR@!lk>Xc#f-fbMv%32H`3dn$k8aszoQE@?`1q;@+cZH^a+;`h9ZiXW|7=}~ z>Z%DFr$Gsf^&y~`l{;ETdT&H8ZQd!Q18CB>^0g=D$1+A*-Ulcz*~p3RDQx$0a0*Rz z+Syjp?L3FJdpTHFI-c!EINH53teti*66-&2SXaXUX>+v=CK z)9$5XJ&Z*8i?-a7lqa>_$L$B8!`JTXrCl;N?5nxVm3_@E5M7(#cPQyB*!LX=Ej3#G za{d@j{UQgfFZsf+g>Tp6b3dJwQ))K~l*08%=ek+p`gi9#SzIA6?hEN=D@TmpDy|sWh~A@Q)ri{X*DJA2H$NSf)>=K+dP!#lG!y6 z!SZ*cALNuXJM=Yz{>7oo3HrE0UoGep4qaN%ryRPZpiet=2|=HA z=wgCC=g>t2{ij1067*jVT|m(PICMTiUvlUx1^ur>=Ml7WXh+Zv%`BLepy))(&*RYl z3i?Wiz9i^;4*idy3pn&&f-dCHe+s%tjcWP(7b=z8@|TjQu7%q27q&2#+AwQNtKtQE zS_=gIEHd}>Z-=5i0u(DjjCNr`J%7zxfe+UA6y5N4uJExWl13e$D+Cl}!114wHn#aL*;^sY6~5IGX@5zuL(=Sy1d@ zH0``aP(K^Isi1y_Y->S1-zkFr((%=m!Iw0mmpOD>;V{*q8rsDU-AQPhIh^Ncb+qw8 z@?$ZcL^ROOsgCwTgaAFIiy}o26xv@n6r(_(wHSkTJ3*VjOk`_yL94s5E^vHz77opP z*GG_beg}u!D8m8pBT+h%+AOcl*Mo!3;^W(<#`kvNYnlYDYVsl{uck$_WH!bN4sWF! z0j=XgyHi4I*2B7v_70(Kj$1R|pF4T=grc<_-@Alwa|*5HXzvzUJ6RQR+g;+;9NOxR z!$ZQs#=5~_AK`G0lUMhFOmG~g2?skf6CBde3x{nev`^`KsybVN?zm_ zR!te8MllL$<@&z_UBsc!3A&_1pAvLAhoaYn(3W@TbU`OL^g%(b0YBK?dF~&JTyRoCaK~U7;#uq0~gLX@YULh!IRipj2ps1}4MV$=} zD6hn^%!V$oV3>!Gzi*2Cyn-z8Faw_}jpT4~21Sr4=O7$izd)LGB9 z_FL_DaWhE8%Nn6$nK~eLSjte?O9= zsEss$!=A$T+b%Rcxu8u8PR%wgSPye^zCby(x|9SjbaM;Pkr;IcU-Y|?M@K$1gwj05 zkDfJLZ|TMuZyN}Dze6Vpiq%P0B5o+CA2VM^P+wNBE9i}m1NuP-6@7K%i+(&%&!M@r z$EX2l`{*_f^AJCv&2@mEztGjO{!|7%tHIX{T01p!nCfDoW2AoMU+Vy0u4`%UYmpr( z28e}?k>U;G19;l#E#7n-{7fgqdm3pm?f^eFa*8+AgHO$ovJ$liKU?x*NWJ~)9AI<%%;%P! zL}z{`Q#sg-81$+$gUuza4^7KzU(#y1juBt0ar&qAp{WeMWxkQbqP0oR0j*849$K4Z zJ@~RuORlz@Y_bv^Q<&4r$nSF3r6M``PIAXei0 zrkje+E_d2dd+>ax2(7n==3c&{zV*7TaG2T{n(x0gw||v`9~FLw@O_Y;W|NaB6xiFT zF0>C30`wGzj&wV$NLJIUi$%5$A4b zN9Tu{S9V(e7_{0qZC8wPers2Z@>&VMF0)-R%GNQbt{9z%N`mF-Mv2h;HAq{*23oA2 z1T9AR*cVW=y@B>Zd!5jtJ!KrG3X0a7q3D@_FWQQRq7?`fvr>klCk512fkHCO1I(D# zhxW42_R-V$E-GRC%b|-4`jkU=6V#Wq|0Wu=uG;#I^OE(xkTTk)ZFfYlcG?{gTo1K7 zB3KXYjtJI6yCZ^EQe%vTYbVqx7}uGPo*1<=6!j)hs|!Kf?ug(L_M4)e1EI3+3c-^F z{kTKh9TBWEjKspCTI*vyyx&<#yB~+G+Ctv&XP_$=ygG7?OsII17;S?b}kX^U^LLsxzGB}rr4w1)2@JLJ^1w{x^kd- zM!};xuIy){6g`jZ&Frk*jlkM&p6%1sV{KZnmi~((s@n!{cj<^$Xb2J|*Bvfr>3nzd z476W0r8DLOSuxtC1#7>pFIW$48P%3iD24i82hstL5peFj2JT*0at&r>kzduLWnc4p zuF);~rnB_BEldUFUNtl(yb0GC`Ym=-q%=cP*a`ZHb zx#syOY@CTnjW?132yV=ADvDG+f&lv#<1zpB-(ek|6VBZb* zOC$!uRoCNcS1^E7`pr^|uh=(P7amzhqc&iW!zv}A0{it7A zRM%sitX~jW)zyDNRlm*pQe(IiBwTf6zZy^drsj)8W$~Pst`h<>dIdving+Ml}Gs6?Rrg`-n-UhO3dM~0^4%V6) z2nXFQ+}zW7h~zT9t4L@A*`qSeahM<+{B9H-1M|H@%wQvKe{>us3F>K;!vl_XqR=+C zHJf8`zvG}iKCII)J!osyb5KoQ;b^xM8Jc%JHScHfeNkOWf2HHQmGHgDp*snBnM0L> zt#*W7bzM1Tp)77Y3I`vW_L6O7BslCMw7+&7`lt1wsSNGDYqoW7QJMo$c(wuDCCt+oXDH;d)W+3e7_1oibOp zJD>#CT9~h<)!1Xs46gUnjc_pjZJOIl{HQChAydCQ7p^>dsje!8`bC;p3^exY`ZwqL z7UBG;NW~|9Dd%?;t~~0muDcYjUgoU|SD#{7g8^;ic4RWQcGTLDT3NFlAqOHC9Yr_t z*`g@uD)oaLURsyswbr^?>rzYU-?~0Ub_6TK>QpY@@%C@BH9Y-V`Tq#&`wH40^z#ck zx9WR|YZ6cJZEp8Aa~ST~*-0Y9l@xR9lWr}jpG(u;k#CV}@BV4>V;q(gO`h-g>V7G- z+Kg6Dq3@;ju48OxbUC18I8Z`sK2PLAN2~q8OC74GTK>wR+Jo@twcIKxzabK@Uh@bU zZV}Y_q(I+BH^>Gega6{Le#y7_6u9OX+blI?&>3RuGXd2;lRpzpzwhf~tTHr*)(c<# zI`2=Nes>e?G=E#y`uvbrXVv}JdG!myUS}E$vp#UpuOHidKhT{-2G3W&`fKNzf_8VI zwOxQf_4LW+G;aPvuzkS*9QF{t&Dv>B%{86%-6Pg_uG6pPx1Tulof2BJrLN)l-Ya~u zzQ$}_*UU7>qB*qYG@j%_yH7&%GUykLeV%`>#G+Y-l^x%Qh41Mu7W)c^A39XOtF{3115h&b!eM+9>{O!VgeF1NGl7zE{wVXxX8ah`$%K`K!v49Ibx+_ze!dNjUiL z5kDws^Y@-#?>PKHX#E*^`d#McxV_GCxLG)??9hhvbIMokHus0{v$} zo8#8}P48w7o4U}h6TV(MnnIq#V?ygsdHSoM8#}%?Hp;8t-d@Jh>i4PN3};^Fq6XL!TCOX@@=`=y->s_lnS7>rjko z0bSXl4+*-dL(!fFE!r{`3$$~9;>>qLQ4a$}tHn^XXn>+^U?^&Gpg6JJ&@%5f&p4BVSE{-BTqgw9T6DFs)bpZZu;G8KWe!y#Tqg9sj1)M@#WcaBb-9Mv~MoK zHQj7v1k&pW=SZbnbIuybT9M{4=-XT#($U+2j6wSp(puW*!g(d#e122ZOQtL0raH+s z7b`(4)=HSo1ii|kN^2u~NFmKFy{s#l+;3>!O4J^_yju!~E1YE7E;+-Y`v`4wN#IM3 zZH2a%a$UdUWMhudgQC6EkXqWe*2iU3YkjPT*7{fvZP~|qm=nuB-Df(G)~j!)>g=y? z@2n*yxUXZi1$~pN8MH2JZY%qFUTs1Dp^<~1Ki2wfAT@9E+^w&(v=!v*tmYQ9){xDT zHJ7x_b+@;^EkwV~EwSeM*ye{&L%vHm__%4y+{aDpW8ZVvSw!Db&~bwAyA;wkS92ZP zY*9YlbPS{yJ6luY=CwXW&}I(a&L#?Nv!yoYmit|dCkY4NqZ&)=31B7tw~g9#!Do-!g?6oIuNY# zz?n^G)6Gja{{xDZ9zb92w)=oCF6ciUx|pEPc2W5J552XmOq&<68FOeyd&1T~2CDNd z?P_B#_1o3PtcNv_k?Q;iD`{67vo5rM+_o~?Rwgotww2q}#%vG1C!sCqcC|5Ur@8m# z*T}Z3jk*5OmDGc4Wg>6BK&?Tneyl69x+cRv0&5g)OD@xawyTX~_p^2ucG`Jfw6lam+x#~0{B}NR5Or7cdKf<^ zth3dA_E+cX{0hN##Xjq`)fwyI|Fd`XEK&qP6hCs2LqsqTLGXi%`~#kto0+MXn<|(Y z80dk&z(mBvR4@}c|A3KjCI`l1C>V)s|F~44a&HOE#KXV+Te_A zQCFeN+xD2N<=zj<%++!a-U_#%yePN10PauY!Xsl}js@P-$KLiko7mgz6XxojgZCzl z1-%pN;ZMD-_XqOkMUDl%Kk!bxYd^z`SRXE+w=n~DqV@0*{uOg<-*Qt6JQweLkW^X_ zwdZh0fjOVmE1F*NwFhdRU89FO3d}j!qtE%U6|+!%nmY#3xzjO-&WDbGbv|szY?%^q zGsYIO%jeQ}h|Le-D`*Do4uC3cTshQ4`E+#+n&K5(wxx^pbO85 zx#?m=y|)j3r^t1kEti8r>Kdr$rfnaBu0|nnUgS9;o@MH*fxk$-ZXZy{nT1sD`fMU= z@K7g?`4qhIIvV-4)PV>D8Xv*Z(jG$1cyb3Xx~v&d$m$sgVW5N7oj!4mq>XiW~Mpr`Ij6d-J@E z62>uTi-}`ia_aow|h-HIAn{DjouOVpvp!&Z!TqQ@)hG;yozwkbyYew4N$bs%na-8RK&CwhGC zNnW>2G1`e9UsF&{5*NHisIFU4#|0=t^|#=IMhhIXQH{~9x+l(Tk*bOiHVd&))9st}gtyxATAhduAqJ2TTKiI#ji=y(lvbb%`E8pW>Inv^! zg#!$L!N&%Gi;Y+I;s<0=$e?IA#$oO$QFLu0p$26Ta`>B{77ndsPzbez$4y^)w5Ff! zkV990UTwak&t8=Oq`-Y!9P>3#u7{u=e_y|SV9`=&Gs`h!sa!cnsW=6RdAS7WXIR@j zKi%||KD$sTPQ@lDH;&iABqBEo=SQi~{v@6Zq4KB&s6)ZttTT{S;V7+4pu~ctt#oIV zd8Fjb60MuIO^5xEoBTy}h671wsqzdPaFs#BhP+0mqbRXRQ8_A~4nkRu=z2C@v|k|G zk)-W^vm{PGqk5?2E$cUZrrMGY%XUE{(PiKY{I$l34;=h3< zWAh=tX+#vyopNz7p5RvaiY}28SX^IZ^cW2&O3Fx<{9p(4CP+FCAt{`=Yt|EiPY?>b zM0p?>x$D%^D_t&cJwuAFq|hDZ$66yNWmL6R)J0)>GDxR80%*6;?ML?5SWdT}_K}Sc z8W#(U3rZB?Na%&;)l2u-M`fWO0We0Tx)?oyA=j zyS(rB{kiABlbX5=Gix)2{a8REAU7tU)&!vI2i>saEH+nt+ZeDIa zdRce7uU7Q@0{ry6?|Jz6dH8wx1n70GT|DeQThnV3Z*oEx5BHPXHQ`($4@_rmc&=@e{$l)1=Pje6rtZ+W7Y*ypLib>_)X45IL@c& zyf&A8jgUgS56ANon+lvnLwk2iC+Ovc#TpR1cdWFx!gO)GqAFY^r9d@+G-N=dTrpfk z>nHYN;wlQfe*C(W{8-|p#Od41HDgZ&acZlmTr;G?-Jg)j4WR9!k7GMEfZ(YJ1 zt-3!P!k7U%3IPHGuJJLhXAb|&uZoSiodrHA@7`?nYk$0zyL3!<{`q7(J#M461K!iM z#vqUm3$fX9?7XM#*t*3?n?gOcrzMghPZUTi>V+oh#FORqN~IB#=Jj^)eEo%+*Kx(p zJ^}Ol+RMbU&2Z$4*zlX3wOA)AMZp#$s_T02%82zl;Hj=7OSli9Pi~9o@4491`Sa|te-+ZKfl|BqAsG2Y?OTlZ8yb@HXb*mH)^y!TK&n60X?z7U z>uqIB?)I+;7qcwbNcm)+>OIz8Xzs(V=Gbh}h3vTe?>jIq*|LSu%p}mX6CXZn_1C+l zezTK+Len6D#>e%ecoH+&cE1Df)8;!UsIv}R&L|)fk$0f7$TPed+W`r2#~hBMe+t zzACzhUv|OuY=^amfccC2 z+PyQx+j&^sDDAC#zHh5}GgAAS-Hxm^q@)s!I_b(+?Bp2s2UkzAHu-VE<9u8WLEW}8 zp%k0$x|7+1M=ALUoPQ;H@%=NN$lgv->^J|}fbiBYPiQf;k{3eZ)B=c~axqkrPT+?a z(fi_jrC3qFiybCBk&jQ~`PyXiongDtKS88j z^4drM!4ezM>9b=&yvjWsY_U3z)?7id`!C5)`0-UG1Tpj!ZPIW-tG8zq&4dCPRmKgl zSy_&KE^O2{U0z;>1bO9N1wk(^SzWA-xvd;}u_zX0crAZ#t!IfB5*A1KA1Uc0@$P9NB&ak;9{u5&kPFY$=kb2Y7X zAMCRWpXw*fJ5j=^Yep?GsUI`)l|1MDmdMz*2wm~S=bO=$Vrji@wDVi2112r+4)9|m zuRdb<3tjY%3CP5vwMCNcNKRqBkQVpKpiS}C&-fldz%Xiv?p1uRq7W>Qw_3nm$=j_E zywgU^kesqz-Izy;g)KKs>f=y99{8KKVTB=_&ZBeMB=%eV5@~)vs2>Dd3wMxcp4Y&n z7Vi(JZ0_0t<(tsvbVg^lKkg{*JDfyaW>-HVsiI@PmCB2cin?u#<7T&a)lSptf6<>e zI_`Vem_vDLWd3QfgSy{Gs7A`ePA7s%FVWz4qGXMd^E>QFtol6sm8j3pfiT8_Z~;W< z$tgE_sNJMOf}ms9Fv z&&~DI?n)NY@ZS+91GB8nN107mdXU0H!{N5CLvxSE?EG02=O*!>hyr@&L+N<+R`)ciwI@l|Yd#^vF-0f8__IE_f)LIsiCx{CS_=nOtb^5=yRENX~|GDQV`-1aA zt~gl73I_b8z>fl;)TXpgf89&C_;JIJi^_Cq$^iN{mw8mX(K`qF!?s3D9UGJLZo8p5 zvL#1X50911zY%ACmtHJPM(Vyzn%VW=fu{jfyd0OfY3)qe>1#ySF2}ULE7#zX|FDu5 z9vmAl@Tb0hX%yTvKf*8KiVJY-z%2lC9{re{6Gi!xsof=67}OelRBrG?(BvsY`=MdC zo@aRzViNVO`fysjvgL2R_<>0C+{eVBn07uh?LJ{}a;7-du?uObuG_D%L6mh{RH9C} z>eo}0@_AO>Z^e5ZPk&2P|B^zsgZ+LuC|6Pk;HJdTYhn|$TFHF&$qgqv28C)Fpc ztRW`1zph1PsY)ypVmG1@`2C4K-+=3u&iN*@CkA*;o^|&(wtS25j@tF)<_+)8zt&z! zI6V&^nqcKVx@)&4=9PS@2DvVpp@{Fn)xj2)e5mgy7oNt{E+3EvtMv!lzRnGfQiEBp z`JtH;19dbDrj(>1D{~LA)~<8f3JX4aBwib+h_-5e_zXB%$x&nXr)}D1?YvKB{x{C{ z316C5@9kS3vd^8<9BCb|Iq-@347tkK{0XY?*M%;?ph76!z+E{3YuCs3rIUx!f4YPD zQFtw@Ps53~PYP8fVelU60}H|NV4xZP#RuUt_NefyKzIj@bV%F8@6lx|H}U)51EI4# zQGO^;9+&SuiCr>JrRaehmcRTmyL}kiD{%;uR;PjY_iG``)JdrZ{PypJYc5U&MuS5N9eXZw;{e+pvyhmL)3H@~llG=d&^SjsT^p2H*!CW0O z%U^iry!C`Tj~Z{U#7CE{_i5_sXml~Kj2LtO$0O(l@8^)UWxl$oS;D? zL_J^u&r?qGUE#vfpNH+{DL-(&8}X?&CN*k=e~efqXnHjQ%k>|f#!iHcAqtYgdwJEf zXrbt~i$1KAxFq#N16$ao`{Q@tcNd*u>{QgOlDZ5V_^OB5`T*9!lP~)sp;FR0cX`Jl z3{>%A&}ikXE5!mV(Oi%4&+n#6e?%nE{krHYDgi%)}f(MTUT8M{FZbsKE_MwSH3d7 ztpio*0g+;BUB=+iS;>i*P*vYT8m92!y3e6%g;i5o01Yk2LK+T!EUBWBz!(+jiy1K+ zrF%<6D^XJMwiU_?j-uwk+K~DU4#M_~8OPIV`^Pp5zZ6^-p=?$^(qvJO#*PPC6K^)6ElbVyzzCfGJ^s6I`1aAg)b7)*)WqmnkvH1OpZ#IGtKQMt z`AA>p3mj;zA+wvS$18o=y&GVn7~NJ7d#(k0i%QA8rHywQQjS$Fd8`U4wl)pW*qLmc z!0O=690TtOzno&M3gPBVN-tF1$=kK@uC9^Cljvm6b^HP)yH?%d{}1d%#X+21X-K7( zhk5dF;E9;E&0y9AgKRu|F2|kN+Jb-PSjn4Boe$-R)>!desVPtFQU!CYEMr!Ir`y@x zi9t41sypTXMTHr7n4A3HsG67V-9>jIxw>ov5Y-)lP-3L6 ze|?VeX7boRw)M6Yop_te;zg}rTTMtgrV+E7pMkG)RmgIl$5m;_at00mhvYG=e=z&V zTYU4P1J0&IfIO=X^Tk!WM&~%$DsKs~STZV!zO0CnTlPE=39Qhm^tLJ_X8^67^V59b zV&ESm7SFrU(MqWFd2O89=~-#}>DeCO6i)HDakU;^Bo~zJwZlpi$T)B+z(ge<0q;p{t7ye>~Ww*QcfYYJIu)Tb0KZ=#%FF zUV5GTZWM(c!K6m3{M-jgcxFxQA9W|j#eS?TRd=y9$bXb?EB~+Z#-aRK zO;V$aIb&XX7qzA)Kt@d3kI7>`z{9_7M8+0}2l`kJasO3cAUUfaagSN0>yfgkLdW=~ zgs3~Wvn}(a{CVv4uw6+4byy2P(zz{~0KfXM1w~-{EJz2AGBZ1wNeFfO(^dfS9%DY^W^ENrc8<=nQF!zt0%G3< zOXt-oZKN}J#q!RJXlV!pEZtt;V|)~2780rpIWMB<-c6OoNvgAb0&GO(X+TM4u;)u5nE}kW zb%9!akKS5~_)#)9(fblI+GTj#>B?JJSwMF)$w2x**9SR+*E+W!Ti?$)FE}VQq_v%M z`>rYV$7?F#3%Zi-h#?(KhXP;)8Z;uP`bx}I@HPgR=D&FU8?`!$AMYOy86^+^ZK!r z;~(Rf`|iKMbVs&RdeI9==%e9du8Xa8ewNkzuo282mh<6K0q=!`-8lJhd3@x_$80$# zr`<*IADB2W$~)oClC$B9KYf7YT}v}4=;JRlbh%HGHeTiUw6y!dVg1Ohp3iM}Cq~Eb zC8k^OVlUr6S1(VD?%qYbtdc9v`8?DghmGv(`TVfBR^9h+I*$76V6FO!m}m;PdhVs9;; zT)oPVX=v^JhQ27{H9c3J9G?%6)9x>X_an)kFLb_E-EQYq?nq6$Z~u&IZzjhcy+TL3 ze~cR|G<_Mi8Rz(nHS;W-uvY!pePR?C;8iXqEHRCEzWd$h_SMgacTSAJ&k7ws>zMeg zqgl^q|Me52j%UeOD%$-S+}O)!XrzA6=l_3CI0{Kjn><7RZyyA}PK=~{y~?Xwus%~5 zgLnM2j#zh;P?m8Lr$SoZYzN+PlCJenbl2PuWKM0GEdhCP=Es7Ge`C?^(1O2V2TBiJ zt*$`7t~lDr?dE}Op&1}Eeh1KH@}SpHy32W$fvc&~I?qk|75A3k?<}A~7{hJW{FL^X(Wv8j4 zC%%uEro=v}wCc0^zn_}@yYc)H!77-znCgNa=X>t)@`L^F_7-WvwKe@BR zbi>0v+5y8+Q>2O|X5{=nRp%EbAhwopfpVzMZ}aeBgBW8n;|xp5K}$89{Da{Ea`aCW z;aO^pKWF#db7s8L&}sKp%3;I|CnsRtLiB}_!A!9&CjITbFA0x4MCvFDuw!mi$9jfU zgqtCi{+-zuGDa_nWc)%qCG@Di*}!ho&K_81xIq?3$`;togd?uFI7h4~c;?vsGn@A* z;E%L|kF2%c!mmifB7S1Uxjd)$RvKQf{|S-|++~>&PfO!0`(}=%%2`R~4r8^9;`2se zP5C>yhiSz~=nrw=^+Ia}LNFivUaD&f@GlZ?%J>7k@{klv`l_)&iT2a zuIAtQS=XO+5T$j}-;aGU@ao_dfoe7Grl&e>2&gAwDg6wE=X&To{eI`TER@g?N3L%@ z0fEzF7#SIrE^%xDBO|lm;`ZQ-;&0^jgYsH&zP1<{kk+``w-~tr^%)vj5lRtl} z#Xl=ONm!VkeSSAe_r@FWbT@Vce)G^rXRT$pF@Gkb-;jz=8Wx3F<2h7Xb@uHx=RZHN zr&JN@lr zR7KzPU>q|=w$_?_!9NkbXEGfbmaq6bi2|RB0@>QM-PswU57guECCl{}iw_=0dDz)> zkwNWky0VnFaWL=HIQ1eIl$TYH1T$U5?KL4Qk>pk@&foCt_*9ikG?}Ev@mIvM=RBg9 zQ$!g1_pagg1LYqLnL1$ao6Ok!%{v$@bcD{J(#dcGbc&Xu6d}eA{o_=>zJXCD7s1_D zl^oUGm^%=R&0lIqf-w+F@AIx}MiC^CG7}Bx%>-GZmZ>_iWU?50!mC>ZlB~R+-h8y% zBtc<;5I^d=lHQ)lMPoljkE&gK9feadO5ym)6HH`BfsPMsq)}9`=&HD#DgA8SI-CBU zBJk`sVbaWJy{Lm$qB&4OP+CGeF|k!el~s-t5caonb#dU`%Q34FM*sF45lEmqBH`S~ zm|^34)~WWjo*tvG{qRPUNiNHm%}s)MC5gO3RXcs$7@g(;O}ROhT~w|nW(}rPqA8OS zQ_dARFs?Ef0ovuZ{-qv$9?|RFVz+*8wBBm334@yPc`90cSa02x5RJSi$<4u9&}nGdl`ae9QR}0=0ld=VcewOsR$7 zbDY9n@1jhyKNz(3haSpIzWbx_@aWaDZD#aF!ZXuSwdjB$Z-$YU6H-&Lnoy5z|lsIkpNJF`S)tvOrc|`pW}U z^r~n)%pnp46d_L*wOF&+=xCn~#no`NLFia`P91)GU*NT~o( zPD+spdY0}PKqs;mZA}ETgW+UA^4JO=Q$~2UyxhmZPHP-n_4jnWfQlT`ex@Mc0(pm z%!dqm5q=r>h>|xSR%}Fyq=J^S5RjN4G4kd^pX)yKP)=Hh>T;%E*td9q#w!P$y365P zQHrS}uQXzj$a~pi)hvZ&mCN%Mxwk*5KZrOoX14o*c7J04%$R%>O5DEnN3B}Zn*4I| z`totvPagKF&C|NfUAE%<3CEv{@FE{XeU$qkTOyGTV+oVg z{KzClUn-eYI*stowLX}jqlzDr!;OOvp@J0+aBCVv9X9Dg&XK$u40*6u0p`K<{c(WB zG6~j6ZKfLQfvoC_(p7I)mtDRoQDw(X=Uko)_>+!#uQ_C(1uc2NA$KKDG7hqLuexh9 zY2mNDI-!X?>xxJM+`^huIclbU@0nK)%&fe3%ycaYli*KE3TWH%1O+g3-Tk~(G}rXo zUdm6wLgra#7haSe;11NDg<52*BbR+lbS|sx)YyLuu`@7Ia_Wb~LVQ&WGHXGbntIpG z?TxaCvw%;pM*o`8wlbW#S-;FOfjya>2tO^7(=+mLyy5kyB@?lSeW7;3g#Gm6dN$iR zndYk=G!3Q|ZRHY6RjQY}(Qgt{=cH!P0gRaOoLBd~HO3s3xS6`BHk#YfG^jB&e0m!v zUYH!@%G^U=h0~NA%k$;UlL(F39X+TS(defA8rvG#_Yd@qUnQ%c?h>7Oerd|v;}Jat2R30bRK`aUle_j3hfJb|B+=@yQ9q;Uo{lVvG0<_(8&!#sB9o zr$CDnrG>+;=fiv5UyB7I%r^0!gjRNE=M+ieA5G6iC7=7`rE`GB&*thnwQts)qvf{S zP3@d#mhd9Suajb)cTK%2b{cV01H^UjPOZG1+xjfA2!RTYU6@)ux7zKl%1p+qvgUq_ z14NcBM>%O0EGH^<%&*4Laq0c#$AT}dkmWRc=7d$ZS*3(@$sz?%I776A`AD%S*f@m4 zN=2#T`W&rsoC5F5cS(u@C)XIqF?lp=hp33erFXqC}SW7b>Rpjo*ztMBU*rsyDt5=tns)&m} z{$|jnMDGo?q@i=&s(R#C1*BhFI6#x$zcTZkzqvBfbV?4aln9v7NCX5j`qSnkI|CiQ zW>#3w5xW${x78T}W#tW+ae1=e;hM!DN(OkKwC7e^`*r298-i!c1fv!0TruZPKigl) zXI9r>Nc5+(+kks8c_zFM?bZ(juDB56x2p$wj5ay}{z6JJk*+e8hKp1_^H$%$0pCCO^e+kA@v}JzFHT4YCTvV(?;a({l<-FIjDPRxAoqbz)4;`ln^Y^ZC;TOc zqHW#sRsJG&{CP0v0taek%!G0jT@1PzTVegK#va5p4+jB3^y_?0buXaUV~uA)=&Yth z+@~=5;hx<`ca`PDcsoT~DfEniMiAic69THjBOGHT*D&km#jds0AN z8>lNnlRgJjGCoXnW5zV2@xx^|`U|1{L(B#74K}6I5sd;4Y57Dev6Fj#%40T+EsO7s z<_%s|kn~U;-?SGRAeIVM5HG1znhwpDPad=@U`D@+{by;UI%R^uEq%7W+b-klJ1=Y{ zD5l^Tb8T-82H@JM;bM1y6&3zk6mp-zIH^z zma?6Dm^DHgkumxkt44OsV8a#r6j+CB<+A}%g-d+;Tlp8RlcoLoHW{A(6JN0$f)5QH zkpZNa1M)Y*nfLct)Lck%u9N2@iO<*VgpOt7u z`Dlpqj}hMI)l_^cCVQWq7%1V#pZn20+?5ZQIe*Wk1|t@rs_c-+VnP)DV+stjSe$If z&4yl^qA`~7}$*Mmc4@olk!Z%`A{N4yw?|lY z6y*K2B7T9zDmr4)cL73R!+rYzX89Gasr1Z@QSbB3322A)Lte@ImP*E_uhIgd{@Z~m zZ%d)d)9!D}j?1-oahDCp267pAbi_nAQ`b7fl?`+NUxYUZ;w6*+{n!TQ<-#6PN-hHz zQB9)-3}o$rf#W9q0@TAwDE%drUBmS(wW#OH-|Ix^+|k=8VKHy_k>B%=dx$mze}RO$ zz4_NnRYW)l-X2}o%1uy@e3gn58FhXZ#6v3M3I1&8{!VFSuS6bhDyw&rmH(kP+&9~o znB?k{H$On>w!$3u3_Gtm-U(Z}@KcKhGvGI=)w_L@kaNcFQyNc)dkN+`dbS7i+g0yK zVoxHE5xz?DVjbDJlG~hA9a-e4T#6pDUSmhC;9`lM1Cn2I7ywX_64eqq1O0U_N;j;+ z(qqKOhg11bedDA#P`|(iL@y?bHkh|@55OM+Q1Xrq&;eq?#c|IIBmeD>k-I77qsVmE zGW@HBMvVW=x)26i!6q|W*Ii#;<&cJ(azAFVWwJv6 z8%g+>rG%nyjrdY3A=SeaUD!)oB%Fto$C)HPK8%JKyNt+x?yN()RK!akYRq%Qd~5EF zc`g|4qB+)9GDi1qrzKZjU+pG~G#3eK?MnP&{GJE#F*vbYK)7cTb^+oNK%8q8%|v;} zQMOYCa@^S7>@Mo$Y$xPzA^3zOU)enAx9sn4L3`cW+?e#COC20 zYi1If7(n5=WX2*JYNv*qE=~(JdrmH5#rEh{{?(tWZQq|=x(T#nZBQgoxy@L%d0AI_ z1>f&GW@%E2XToc{&2toZJ7FxQB2=53?Ay#wznD)4deF8>;EHaJD1{TJGr!4qA(@nE zBJZjE(0hlI$wvwpF6C&Wr~d(sn36yi{zw4EBz>(wkZ$yV=-;uwPFGVBT(>{J9yC02 zxOHMIck{1Qd+71M<+$ywFsPRLDnD zmUCLh&pt_lF2CiQcSQ>E+XGTD>FRxbjU5Fo>vtLd%{N7q7AZP>K&$^hWAh>zt{mi2ore$esF88E^yd z(s8vLp;+c*Fm6PB3-L<%`vQ_jq|LOxm|;FdO1O^~PD_EJJ$n zJKo1j1o|jC!Em$u#8XoAGvN_m{4hpK^ytV63=>IgIuzRFAJ>F`HbBJowq^9Fe4RAx z(zTTA+RMx!VLq^5>r({BwN0=GpKWsIBtM;I_Dz_c@3vw1JP)Kw=Ye=ermpv83liA1 z5!p5v5pH|$^={jCoRA>Fw>;jY*xU@1JTHZu-iwAJ`r+SlM+@&k6}RZ9u1N-;piBTKD%%~zyIPOia0hRZ+a^fsEK+_2W4>mhWp z3r+gsb*3q|M8MZ~1f%hVt#nuPoneb<*XL5{ByVD#R?J+PrL(xgd9cU!;dtC0VS< zEjxmX;gJ2${={oov?rIPuc5`dQ4P+6zUFqdKWl1-$Y{H~YBkUJln;P&SbvXA^Vzj~ zz63^>lOc_pWBFEhDizjURXXi9{d;Y2gjz4s0xrMb+*=fbser$nzU6x6+z)e%(nO!E z-UghW`|gQK#P3B@6(dfbiaA$E1nX=|9r{~DndNDeALsNJj)-hPOh^xsz6Svz0CHOo zKP#U^p>v<9*H2aarJ4eOMkH8`hN~x=qxj+8JEA8#2w<#+o^_kV3l$u#>!GZr>4=ly zQ54&@0#p$TGi%HMBOe!UcN*Tys*L;dcSTgcx%T!@pmD|MO$2u3|5gA8L&Iiiv}NUE z#D|Z$c;pSl+PEzk{lA1P(-^lYE=x;-hMyV*%Mi!h*g-PuGu%j(>swYQOt50iE?Zla z)6%IL6Ir(Ze5|5Fe|R%Db8D)Z%XE5!R%C=<;*Gik=|27XxepxS0Y?lLjBfQ})>=YH zeCE?&VaWtTBa}56sA|eg&M7>Q2JI5`q6F2^W_*GTG>Nwy&ZlPhm!*=l{e*MjpB|wh zJ^L1WQ6G23#1>5L$*+}mTRJVHcwC5XZ|BE22k@%8x%>7ZSwj!g%!sW;t{~wl;m!ar;4ml;c>XtJTcKdPmPs$ty9>ibux9`phk-k*G&t>MN+x<#LQjv%F3b_7z^O;wqk-{hRfX-Tov?(4Fb zMR~`UM4x_+t|&Sw$;=Sw_}wGWP+YB6O4yV-LsOiYO$H*8+z;-%NezAPS?Y>6L=4u9 z19aetL~~L&QF_~V0_$AKqD=|U)b^tZsMroQgy0l!%D__;VPbvvTyJBi6l3t8BdU#` z<=(RXQoXhs9}>{>GVhDs)sc-F+>A>yU;)hIR*4AHh@&@}FL7fEKQu#n5Y^eX(88fd^g`ourv3`AB8|KXk(=*|ok`F#*k z^yo2nSxYe5{KO)!r#cHf%!ZP0RNwxhixBzUHgDJ3X0%cFvsQ2<;K`8ngU?xDnm+M( zdGVgyQi+-#vgz0NbDumogK}v83df-9pRq;GzZ4bUFzKWHw4R=6Vb6m{Cg^#VP&#%M zNLGp*_-px^JG*zopx62BF%fMm$U9k7RGKtV9i3~eTt0ZIfl8i1x%Qjl%k&p z13ThJ*%oZ;IP&QrXGtwNJY+_p{oUk%MTbAkP%5+*f?{)BTM4=3ks6l9hO zg#IO2#7FVdccTsc_3w@!{_ZcCiKvq(QJeV%kZ?{NPm-ViSRRmhXxHx?pEy^RYj|N8 zIjql5XZjiy*yx(67zfd?8$bK~0wo}tiC=h!DaiXVK{FLn?pjy2qs%Hbf#CCgNo|}) z;;*sqFO_UM=F1N-)Xkb}i!oTZR59x;W>__rtAD3#T0(gHu~TJtBNqyf4+?V}^p-#J z(!(83BzPpf1j-3>CF}W+CZ^Xd)m(*yzdl*i&pB1~r;1*7=NU=kH@ zj|%v=Y#h2eun@f6)-aUvL)#1Q3C|ub6A5h$TYd9MvjSm1aHOhlfAe`yA67_uD4XX~ z7n5TTaIM?pVGwhagN|e|;3*i4)z=ij9d)ciQ9?CbX@z4f3xIw zQ~3$uWv9kfR>iKhurS9QnG`MoS6*jAZAe5K9&iUj0BrvBtFL{j4UKcf`%0vyZF-Tv zwOpvYL4RaB{-!Ya45kD`-uEy~KPf>+eDpAm3!1lHQC4SD8VklZyaY5iXjxEmwZ+2` z#gDhD#VQwfQMsJ^KGfQWg=V7(wb|Yz$a|lf^gYv!*5H3LG@JUZ``D$^WmE`pX+mu# zmlw1km!Fl~Y^_OCDVL-OfIkhS*Yatn!FckVSwj^JQ8o&fsK>g+JZ4Ms8VLmB# z`2M8ZKT&fZ{eGGFceJX`GPX80Ipe3-p09BRl~an;%8VAF zaLrx^jlwl+(GKHG{IcanS7lY#tmmh(>4numHa2^S8$!R5AuBdTcWW={oxga;RGD*t zUrH2aSglW|9ma9SR80zw7?sMee5O;a=Kj9xtmZH#7vakgDGHHTX1{RA_WfRGQ~Y5` z!+CUPQM_je(wnn_bZ?o^n{a9rjP2J)w5?(9PfM{5^rX@;6IL?Q(>)|~qgB&@!}3h0d{4i_{aFx>dQ zr{u3xmhn9}OnJ;8?4H`hb$2QwpE{|2BfvaPu^y{pIWOz3hGqjo5@`TVq9ydR{hdmLH z`Rk%MbOQcTe?`ADtZiKEqbU*(mjni|sdkkK{Jb(^H82**c$H!}1ish&aZG~CjqLYC2A!BupMWo%mN^BNOq;M~FJyYoxHn94+SP^JG94T6Ae_IY2}^B}%R8lFfcR zX?iLiMp` zxSss7;rOq59ErI?Sk`u`3i3R9r>0a)8+kYEuK!cySS|m%a9kkmK^dC6dAa&6R0v8| zw&`^(F?B2)j|e1V6ktC3e5{lyRmo*sF`DS&?FnoSq5%D_yhMF8J|ZnR*PF(X|6@;C zGKH8Q!!B|Q$$kB3LIWjH@S?6*hzKL5)c4eUHt?{seO{$8j+>p^x4<3)gd=<1MQv8u zamc$RHSQb??{=mrIY1f%mral0AC>DRH!j%ZbV8jd?j=Thn1KmO6SI5|zjXN}t23t@ z3JSN|HgTy5^(ypzil4kW^|~l?FX)#5qwh;))#F!jirHE2-!5+*ySqvTo+;+Uo=3j1 zo9-4` zbn8Abbn;Qj)`yQF@aG`aA|$p$Y1<%bARiT+q!l?0hiYK}F%tm?U^;Yw8M0jYQB+C` z(tG?dKydY^sV4Fd%oR0xH@^@!Ve7AM`Aw9Z)gODle5=uokrB$Etmte`&*^_6M+im0 z*0Z$$`*l8?CFWSFu3+nVWEY`*Af5KyyYHq-Rj#-&35Kyb*!@F$A4j$3Nf4r3gaN_2rCMWw3s!cn@nyJU9-} zs^c0*x~+q#uKQK#oD@gsZA-rN)0F4{ew-W^Xihcn`H-g?XYHS?lal>b^D!MLR7+i> zbAoX;N>*YnDW3W*wzyKib=GH|jBc-W>}%C0CWs?P0cWz6FfKpq(F|7U3mD+ zFE>;k7d(i|*D;Hi!q6ddeONVz4`3bs(kz}!R~zYB>ow~1HM8(;8v z-C4k-=2?Y_G2CmRZtr{jWo-6=ObRW9K_yx0<+~^&``BdKjDa$*hBtQnRm*9j4I=xj zBuCT(;z(m$yD~j$`0BVc2FrY_EKv@V1bZdzC#ppry>D7@>3!~Er2p! zebk-*@o%+9Z&zWcXqZNFBj)U(bSyC)^pzUyzwxMoWdUG^tUP_%;Abbhuiq69GLtRx zi);;dTKCOoBo9tn{&Ox38n_MVImUaA)H`Vyjv%Peo8sxCTxB}P9p7O5%pOyw6%S=( zzj9~>CBzch929a?j7=P)#bg&15xiXmdO!Ja58>Gj70We)-ak{(sTUo)+KI!E40NFv zn{|GG7_ex1*a8Ca!uW#=ImVPI#pb(;deFd#Jmhy{aCzHvIw@`RLoedraM9%`rT|?y zFE|NC5147{_7UPRfXp9nq?r#6I@Q1SZFbX8+y_%4WS=KS?JQaNxW%QFi0{pF@Z04% z@pNeXUfPYtXtjLh#y~l%NY|c*7wv#u2Ania|MaoS_*Z@f36#HQ3r%fFs@}758nNR2 z8nxK_vZ5(dtl(ieTCUl6G;)wTi@f;j@r)1mR{%7yQ7AA8SrkKB_cD96TJZ`$C1Mzh z*-&$+fO3^gerrE_^&277W;GvCOGsC#5>Sw7-?FSKB)$3h_)V(Eu6S<1?@K~pHsiga z9vY|2vAl%3{dXO3()w-?)7esv0GMRBlNL?Cu;4K} ze@<>YtClL_jp%)+oT^1bB$?9{*V$-L0(fkTZJICvg_dk)R!X=llxN+YN>)-!+6LlgupK^Va*ndTD!9hvr_}E!C`DmWH)JHP}sur zo(i5lJ%;T0`1AXYCEYAo^IQSFw_O8YQ=xnsK|7zK`EU0^{jz(3P3!u;P*` z3!w#o&*z>m0gRgOUfm%CyKk{&+U4Uouv~;>xS0kVU%@@UR)z$JoMppPp>rGzlx@aL zQ*rTm&NasCk*dFxUu2(p^N9(IqQD2PlU-@JM6^+`w0h>~FV^L+Mlxyo72}zojXGE7 zWO;b1L~)aQs;Pidtzp+T?>U$ou(dIGAojD*VCb2H;v#_ZLc;s6^;UNti^qZ;HfR1d zC2^lopY>&IM(6X}G^nlMzEvjXB$<=BWVMbS$Uw2-%u4E~hcyvqsviw<`d97g%B?r* zY-W6Yc@hQEe|TAmH-6oLYGXEpXYg|o&Fw=4z$8KV{qQIa3q%}^&cEt|^;*-0Z7l0* z)BxUpz$mPCDYeFc_Ae->0!&5mpVxR_?zjWQ@qjV(_anU>#2TjgWOFf2^~}33Rj0!} zxoD;J%E+v~go5XPV1D087eG-`+V4eNQhv^k!XM>aQrC<02-`C&72XSv)S2+ zgGi@h1_glp=X{ZO5+YFe*l4UX4 z<5rEhWg0AN3D1~b)B?>8)Ye^cGWh8D%x-Aw)&A>b+@qfQbF~M)f4{L~vNoA<-o#<5 z#`(cOB1_~cjlPI*in`mIb?v*WT}!sB+O5#B-;99RB=5-&X?Q;q)-nh4A& zVuf(xT%rWuN%4xo>p69EB6V6mAd9Hz4Wm4Fm3ei9| zY09~v`}0xGe(Sl0CN;n`7!zGAJx~MNL&(J_jyXuU8w4O^f$RsFA*Y%MxENRP;s~1n zlX=ZdtUF9J=d(kY{vquhJu5-fYDQ@l6<(+^ZwS^A)2q>nUohF@d5B@{ACnT3yJ}sI zQKf@h`w982O83PVZ6-8yixEZj?LE$3pN|e~Z6j_ci`1kN*>zh+=IJIAxa+vmad5+spuc z@&Cgayn;2Jhd?iF`6qJVgCCE;0DsVP{XYQmKn=f%^K`8b{?#h&ftJYkt~z{a&vd<7 zY;dnzNvrxt_sL`{Kn$v`uc2wTI+|v3-V$20M%E&#o2G;l-|{nU>lg!UIv^_rKKi~M z>D;Z4Y30#!dCpTn&8stYOIdHsRsYoa^+4TwP+F1F8z_Fh^0awHIP6*wskXaQgN_>_PtdD*1=^Li{dRA#xza z&wt22efB~;DR53{Uy7d%NyDd7{Cqp`v6=Qdzupu4EW(zaP5yZUN#`9DPp0_cy&_kU ze>i^dRV<`7ezpmAb?p_oC)m}{9*+gtD`FaXD|3-{YHNt!;c78|OjySk`HQx3j%PTx zYYuuc$Y*nn4JaTfogK#bjeL^&8^;EZHL@zrD84##cCV%s&t^&KRUx9ZXCC5kL>``J z3!RUyfYW|}y~wU_lkLOX9r5SvQrEDNQ^Gvk^zDv#XPBuNOWV-A1n-X6L35Dvy@u>e zKJ@ua;8|?!M6t0^=tt>ypfcOm8_SV5UPG~Qgi?XRsZu(CV&mxnXG9)P-gs$e z*(DSkvHvVGvsc8j(Q1hY&t8!wsH7C(lWSU>XXVNKgJOZm1=LCkP8ak92!AhI_iJSY zkNHMk64pWND<^fi_yLujw}m>B-@qD?xdCS^T^M9F^3R~N$kVjsmR5+w{Uo}-CEE== z^Tu{gG7j06a0--6#cSD{5mh`}L*%msBa{Ajg7CMwG2`mVs9r^~{5h_M!~1Q%PE?pD z@nlrbBb+@5@E6Xu#*fH*bM4ng9puzF5zRZ5R0D)a$L9FY;C!jw#n zvqI*Bi&=sBAK*A7B`tzg8Pspt;9*WiH80w-|E#M zTM(Z<`%?ZxZSD(*^N$JAXJ5)aJve`pIP-p+1Beg$8=lPe!~9mS23eE5@p!`7iXguv zDy}!Ubc1spKRDS8%mIZyR#Ivlf9^PKG&!UX6~9XbzHO?d0}eVWgOQh zrIi8>zi}zDl~O6%Ac1q?c7;u3JNpp5+{|V|Dm<@kkC3i>$4)R%0oQEjeAnrg;6t74 zsbqDXGjK)b>YN+V>Uo}4a74}NK?NC}%&R>2#q&S!bP~N9756iDE3(XRK0#E=l7>^; z^L8om;U4~HNILJqdo#7&uT`otHbhR&vOJy}+7Fphce`Bkd7pNhN=Qo2)+{U`B5`kO z*B-nj!@P~i3su9C4|c}Y5RnanU5#86=1IoV*#XkXaT9_Dn30=cRvQ4lrAU#;JbLW6^{ryj|kSXk=!nQD?vLYHPy377Vn+& zIZx*^vxl?x;WvU0?+<%8OAS+c9K{cIahEuH7E^%eOtRWl$IqHt`PNkH=lB8?b%O3t z3J?+W*-ENLJ{)9?rP$MJWZ%HYf*E|Elhr?`6K9M@OIbR%LpX6}DWwl;y92IBR?|K= z%V{Cqd*f?aaTjIlKj4A07E(Fc&-947D9lMG;eY>2fRwKwAnMxmI|KJUX+AiGT zIgr=K!DsE_~`dA)o|R zN>2-R@r;MgKNBC&*PQ1N>MJYLNX1 z!W!<=17}ad!7Pm@lf`^q;Rt#)9OlDzy^^S2mLVuV;L`}KC`(gHzYX=g&Kgl$@W+5N zeQkjbphNw0deBhh{TTvdU`0xshWfCPc(z7YTY%cQv|UdrBnU_Ec`6T*kt{FlxBWK= zbaJRc&?BlLknYKFxCQa&xEju`)D|?scHOO7G{Z*qVRftXG6{_{hZ}?FyYkcr4R32dfMR`Z^V#{b*ma zf=w+B?vNZ}rP5%QgKZ1~YC6Zc0vW6+fQRFlh9#d?AD7iguEqvv;A~@=l8W^)S@Xid z_{&ophmhP#k2RZqb4uf|#8D0!3N@eYwVJ4L5F3C1&#ZX44!}wrC0U4kPR&+A1Jh^4 z0MYfhth3`Z^5lS{?M}eyIvX?c879tWvga4n&(r0fw^AfPvohbOdRiv)nHpqn$WuKd zku+q^-ytf-VaTe)`F7%SJwf&%stX7QedF4zEWMAVXAx)S!;tlQNN1{OsfJ46lhPHW z&(Rc7?=->zHOjIGpTik(os|4oueA^cCz9|dW(c3;SEIfTeAHsvg=C(VcVZgp6C5+ zU(S45+pi3q_5BNqgl>ImZ^ZXKML04!5}r=pxNe%faS}zsch%Bb=Vh|=)*FZfPlzA= zdarJjDIp@;`>kFr#(0eX62jqAgLrhzI{#~)Sk@qTj$$0ej-&$hx|Rp56c?fT+?Q*uwG5)>nVP= zBOJ~__Tem|;u#n&DIAAe5+9yf+MjS3@^ZrAa={wzO;m3o$UX#NKGQkZNDDLt3Q z!k0h9F+5K{Ol!5@Zc%c+->ke4r+8qTu`W~Ahq^|esoHoxK2VLN4TJPXP6{|W;s~{9 zBlnUOdW1kFU1U@_m->iYO|u6t)475gCw?bXcU94R0^UUSWToIW5A}QZ;j5_CyMg9F z{2LjdH6K1hf0g<)@?*6N&s!f}9sDzv_9Y);4h8JbGllpD}oh` zoR1^hax!oHKI62x{G{Nqlzv`pmp7^d@2c}u1bI<&eh7Kvd%3)~vi_M%0Vu(FEInH% z{&43wBXW1B$MkK}00DmOACX%Wr}UV}DO3s$4boG(HqS@Z(n~(NMo+cqxnW*Q-?LLI zKn1;ES4w}<_3o0-g5+S$dBt330t#6p^4s8_t{nV-f2jT3$UY$lQ}X z);OQ0UM0`H_o+!P4&_wqjgW&P$GT{}nq3UpnOdh;xm~ujDf6_o)zqB24_Dy&@4r3UR$x0B9su3BQs8G#Y45W zDXnPa=B%OPh+Lplr7S%yit3HOWgoUwUkE-FIfQDG*9UJja(8a6AkS>)uW;T$E!r!C zT_TSR{T6-CmvCOCd`i2z#>=~As#c%lbN%cPZOw!2`gP+VE2SGLHZ~w1dVkD0tH-D& z@%d-R(Gw@|GbfA86IFT%5xIh_*hmqOSHQFQ*)!vK4mNUD=xa4{P^NGkk()Eb`Djlj zb8c~P&Oh#2$aR!EPt4C-KCh$LSb}gq6gW46{!cAy)qo?iIZ3~fa1N&a;g;Hdc`}dr zUfEXX^Fxi)jCfMgM_9jj1h&g6URpVl|6 z$Iyv;Am)3ibKB~9Es@eO)E4mW5$<`uCVRvElTx2uD8u7(X0EkbyEY6EKJB@YX9k=^ z@BMY1!2H7y3B$yh+Ab9K^K|)VW*17?F0UFQp=$>Y)}+cZXnnsLaslBiKD$s*Lm*in z@-FHvuWXOUaf;ha)%L~k%-S!ETpZINza)r|tbd0-o1NNG^LdQlj?4N5amGx0or7N? z&gjhU@!ao5KC3t-)#AHLkWZhEyDa+c5}Y@mu9L2#tf}JMsWFE9LeN-*_h|=t zSEtX?fS2)^w_RQSnW>*=>SwDD5s_t0B7^UVmk_HSOGwu)K|WR6Prmh-*Q@T%D*5mk zwq3gfzx$V1hhGeqIhhr{yAMEv@D9LN)7~(~aX!!zd>Xkj%W=*r;Y4u<&Wob}yg(q}2cd9pcwz~4BZ-NPGSA${1=pU~bgo?pezJtWszXQYFMDeXhM z1o>O)ykGR|D!um4V*+Q9_mS;)knLBSf`k9&pYsTEEkV{H&wrnAK9?b_?LP`QDdFsN z2b%P5eQHY|Jo`m)`fF|bYba0ep-AYnUzB%9UgQ~}*R~8g&>*}QbU%s&#_6+P^obM+ zTt{)8upIg4c+!XKgeQ^@KTqZ2LN|Qe8-418xl|`0p6eXsI$_mdMM}p}o$$JVBf>j} z&!I^jKJ``^g+tH|~*5rlURuS%Z(9#Nf}A(Pb!TT>)->r;C*_A?ikox`W||fj-{P@m}9JQc-Pr46ruECBim%EHg|MdeSiaAkTsURpmXM)0I~IMPyh-@ zO1###Tz>92B1b8tlrHjVwX24FOD9iDSt5_6b)tBBmB>eE_3ej2XFU&ue0T@>@Y^mr z+hr@NwWS)Wl@-I>{dhZ#e6j2qJf@b`kTu8~Q``NxJo#`5^5LKK8yW62i_E#SGJ8e# z81O7w-lD%so%IwW#ldqxEykXi?*Ij4EZrUSY2;wyj5BaN86S-PN{Gl!naX8}TuVND z7WwcTioSL5|B3`407( z=2BTcMeEg?>R_V!vo@$M&Sd!=uJZ?hET?L@I;3#LG;l8MYB{@q3{vW2CvQU+$0-6M z>%%(hv_#81dt)Oo$;te4c*sE+>_so7rxa(c8yTfm?$xFK@2`AU7~-ubDR-57`HhY&b5kz=KvaHRY%B>M)dIj z$N88nM>C!G&pZG%h(B*3IPcV<6XE#tmZ}d#H-iTa<6at(?-q=RTx+ETt_2P9t9mW5z~4m_6^gqr3Nu?v}~w^Pa+G zGW~*AX9XM)elziU!ok-nYYoTz zRbiKp!^^k-5h1TGCJ&Bj{^s~BY+f_1s7LRvWmZjKuug(@Cc3>?mP3f<}8(r_P zd@o0fM_nWFn*t)QR9OJgmj%%{`nBSI@Ze?g3>hnUWT~zl-`#)w>Wq| z7k4e>lSRX0eBO|sw|veICAX373FjMuv+iu9dV}Af$w!exRQ5Ca;kAYv(%j$~6wml3 z7pR<1N>h8kX=93wA5+~s^L|t5=hsoaG4p=YAe=p(L)j6084@G>IxU~XbS1+w-#^26!9M(CdLo??V=V+B$^3lCC zic@R&ri=rqX?RY+X+*2}N@qRECsVnsMjk_6#mO+WbYAO!G~wJpGxmxyp3JMb1!r7M zXZ-R6NRjCZo{Xy0(5Om?^E7!aXT~WZrdbJT`QTTpkHc#SC|g;;afsvF6*z5v0@m_j z>3}#6Q?(v*SuJE@Dm-aZE8SuJA-{DJy&4tPPividg=M9}exurgsN$(O`W^@Me-tqA zO>6r~YCi59qGub12v2Eq)wRaKcRkz?(?<_E2oT3{&aIyvB2p}|4!ohe4V~?{f0iNX zkO&??#qX4KDZ7&1$Xa-)$z@sTHkec4y?#$-1;&gur1)?|&ab-&rx*leoV|($)i@W6 zcp1m#;A%KJBnr|sD+0vrZmFKqIyUq92G#01tPFe#1v3@nps|8H_o2w;#2KCU8s~J9 z#X3K>5O21x41;nqh~MFA5az@F`6WsJd%?)~Je+XY_Qwzv#!U`1KA3%~ZJ*lv4ww(G zIp0kF(N|pq&RNu#a2~jthB%Slp#~|4GL8-_1I{Td4tO!S~Rb%VO%F_248WlWj&eZk$ymXSMW};CzG|N-|E#M zKO-x?Qz&gX3!8JDg9oavwST7eMi{)xeB2?hv=LZZOW%khYHDu~qi0@o?$)QoQ4-42 z`y-#yhazzWTx9AoR(eC%K{|7=#90uJl~!0J00Qn78?iL$TP;qaS1Tz6U_uC1Zym2c zl~drlI8n{*;f);&jPd$=EJjwmV@h=m_k+on2&t#L&Y-#eW)DAb6IP) zBEdf?y^{R%?SP}FA`|31g7ABPZ(6!Vvb=b6p@2ayXi>7qt|Z7&^v=1{58(aeydmGygK3RSxgof&Z@*Mk;K5lL>pa zocQ3p3kRA$JlFIi!r{^AMU)r(#>#=D;TwtbX0FV*rA2^e&+``mk5HF6p;_T~xMm2A zO7PB_C&PJ?-|E#Mr;~>0LwYit!^n2t5p)XKzJ@c=tMTE{I_94}8O~~ct5<_uOS2FK zO2*;SuyKaAC*!kaAxtI`pj}%aec5c6&0a6^p3>gZP)PfoG8Q z$cJZ;KF+nJI)KXH2Z#@!v(4u=U#^35=WhC(;J11;$U#(=hv(;&$zyzGBYS>b^2XG> zx)H?>^BJC>PoNw;QtMvybUfcvT0WF_eQT8>^B(fyLkQ=gv}f}7l+9Z-$n^`=~DOf{RBk!X!cr?xSe1xp{IYGWm($^-O?a5>JlJs?{75+H! z`5DRjDdC(m6So5tTVbws@$krjkMJ}N_p$OHi4|dLYGU->4hC4ZeUJZw>m`&18r#M`l z_*_Spu0=R%M5tR1BF`5@S;O~@wlLF~doq_$&*2p6|NM!{`)4RNK9Xxl&cXO)4c%`{-thB{VT)ODRNySKM-d8!^Ne3bk8!(v4b{eM*DFXLoDmHx+)_Q+ zo?`6pTDohT6Ll0>+s@ylfQ2;`Y_B1}K?2nL9Q$MXwUoa{+l#==K2#Tmh?-e%GS6E4 z6xCSv7_T?+E|eKRmp+`Xb-mZ+cn5Ffj=n8uVg~=M6s$?{{7h<>dG(Fox#pJWXT-VB zy54X(*LA{Y)DM8b9p;}t>w0_=FTBcpz!k~-JiK+izWS85YgJ4w?ER6CdC=Q0j*Y3u zSm_Osg&hg73mRB#M7vUYiyN+2;1su$KxWqU%unXAxrN^*@*&S7m7bo6YGz%p?8RN6 zey&Rsd^j)2%(`9~t9_!5ajx$X;Y{U_w*GMlu84X4o*i+1T!Cceg8OVdLfllTAgAR# zv$LoyJLoml{^`>L>AM5RvkdvwwX^6iI+&@;>2#faJ+rgOd~YR%`_JBE^fEZJv#5+! z|6Tg9gqc_G|B$&|KyjeX~*GT)Q%W4RGSVJx#D#l^ROb-M;nV<26;oE;J zgTw2Aypqb!w+rzm{UU;Vg&^M|$SK8!0OK>Yoke@n>h@1*$IH!hPU$yE`gcgfZxZAP z9k6>cou5b2H=rFa7dVMtjp|9n=S#G+i2Zyu@!_3Cyn|~evXoDW{~U4t5kXEOOBdH2 zTzyUg=h%1;`FUzPxDF;iUqkWy8;a+PNIFN$rIe?<<7HElz81wZ??dDB)xS&ed?iW0 ziXa@%-zS`H$WlJxoa6b2#OGwP^ntijZfE`2s+(qY3!h{5eyYR&?Ie0Ns)rKJ>beHx z$#8fV-0NvIc{$>96hU|;0_WS*vc5o^`7Na1JBeORL!O76+77_2!uqnvZRCwVkcQpo zb-R+L;dJc)ypBA^s}+|L&RblWagV))aQ=sE=X1ZmNVcC!d~PDhX(SydcstPib0e+& z`Ey(i=hL(@GPC>9yk!1aTz5ZSSxj}ArA494v9WOxE{3xg#n{<|!+kA29~)nmcS7U* zc)!)FL0(G`-XnxFOgtIYA7~B8pW|vcix$GYO8;_1t6@A zeP{*I0{LgU>?*qRS)UUM70vcT2(lkRxQ#2ecx2A(v2T)Be@l>}=wv>-5zaSAAKf?; zV*YmoVcTaBpDT&VPxb(+`A1QLtalTHeYiTwx|OKd_IDH2`-n_hI` z@L3Ifs=(VxL-q!*Gjd+=?$GyZ`;K`V2!Q8PdPCtLKpI(@+V?&?Z2v;_81FDC%*y$G zasjePz_~OIG{{KddV^qPq_!gV0UEih*aTx#x6>ZrV@Z~FK!SAk;na56zK!}ew~(yY zlBN3+4rb?S|L{KQQAg0L*}f)0`s}rS6zv>7Jw%J{TPB=i$j{tYFVx8zVkXd&={#L~ ztshG|A58lOpH5!o9`)_Shj$>aNoD6%M70E!6mH{&x7YedWa%i?331nABkv`9^|A(X> zPtwmOoJWzzt|IA|(7Sj%YP*PJZAh}XO?e2_Htgp|kv)>oPSR&~-CGP>$;GO@CxguFx;KBAH>S4heo5*zVV1bAS8oe7e>1}}sUNi$@p(2`s@<{B=Em=p==uEQ`GRq_YtcjM{EGS% zhU+8q7;u=Xgp^#2Y9c<4*>au^$nJ$W3 zN_PevRgYw$CaI~GQmPUnq8;ig>Kf4ot%8W`A7qI@9|xMHx-1hQrT^{s5l*64gYam5 z8Nw-wSEgc|Uy$@C5TBxw$b5=!REB(j_!Mk14wus+G&0V01zG6_0~>@_J^JkMkMAIK zefOjf=UG%oaec@>?8CWxzm_}1Z0Aw!4Cnh#Y&6uP#-2$}6UDPX$JKCjC===g#$gE0 zlQ7Oc1*pG17feZ?LR7Y^4}@n$`cO>`XYSDK+Obfmzn{HaR%ue=WRreB-?kV8v9)8 zbzevGV>jFr^=UQsa@wawD@>oT6!hUUyp}P(2V}c~-CE0?KCMhceqP_1=+*eJtUjE% zWn?})I-cQd?(d(2!}Ie@%UI?`Q7k5`6BuWvWt`lHTNW;}m@fd?&r_=tX2uSs=cmgX zT-!XDIbTLIR431j9R^`HQCa5FJyS!L<&^#NIT}0g{+2ZgGV?s|74excpiY!Kd@5kU zI8$v!{dvZD1@-3{=W{gfW*mkT8>=U@0{u)3f-vm)Km9{*{^hFCh@f!d?;QQZN~_^m z1cCyP6Z9Xhk5D`9w0-b-h=OokNBQ9C*YvL$;Nk98#T^^Kac2p?=I`!H1F!X`gU0y7 zG99R}lcm)OCxpUgdv=?y^8)9&3TScgH6z0T-q$jY@c{&XnoR>rn=y0kzOPSO$`@vw zdj?lf!B@Z1Kli<90qD*8mlEK(!>UO1>&QSZxO{k!2WDAo%Ub#fHve(3cI_H{M4^TQ zej6&K%Qk!a%65J)Q(MNy{kM^V{YmS;x2q)c4xZUssADNWliyva`j|ffxlsSXg1rYG zcbq>cq_y%-8E5qY4!i+-9;<)&ZIyyUQMNI2tf*NEZ~?)ejZ_`?{s2W@Ko6UbpNeK~ zUv2S%^PL~>phN)Qb|AVHfZ}&+8}6L1tsDS?Kb$d$+}BrSG~YZ9_Etz62VcxM#|^HI zbBo4P8+rOj6F9;z=j#*Ks~Ly((aX8-t6XNdTdrA-O}A%I`jJO}ta2WCG?CwtyM1%@ z!NnajR`AUAgA(DTU_FK4_l*M&;}`yrfQ!{ag8+)DMdUDAz7~qQwuYi~b=N#N-x-{g zLBT-*MJ~GzI%t@*MTLZo6bE`@RiQ1zfPhO|qvH-$fj?=r2Nc>PrrNq?UhOtygD3bw zPrGxQ@x=Jy7ygidYjNyQybK80=nu3Sq3;H0|Jn}xKyhKV<w z2M+pFZF`OH@wGMUQ!}5>4{%-9eM*M%^FnP}Ob+<`_du58T%-`-ymY*629AnKfX>#x zmJ;=MD--XYIZmrrivv%iuL_?IH=ZF0e;z&F7QIZ3w1|UE-_81>uhshcJvN#w8dp8^m&Tn zK%ctpe!fD$&Gu5_7ygidTesbaaXj5y|5|Am>u=~_k&5S#_Vi9^eOhGK!Em-#NZW-}bxD8Vi?^@i~>g}b$TLSgA+loB-aXDKK?ktxyd zwDJt-OY{%dwpWEa*RzE}_THI8J)63eK5EO~Gcv!)dj8;re1|0bwzszN3xAm1K|K9r zTu(qT62%oX_RH@8nJ$g+&KG3cp(o&szhCLU)tFhMSRb7Xgr;%5i=pQ_@C|U!^#~(%s(7}7`HlFgQXQ&nN zX{$fV4W43@WO)fXoR#3v78l=ON1oX@3BQ1gd|xo=u;!AX9jw4Wvb6#b{I#tB@D}bo zZnqBI(D|{Vo5R9|c6)Mup!1_|H{bc;(2YAkULO|jf@{{zp&L3so}3@tZfWxaoge=) zU$hJ>>pxuQHBSQ^p1qkK=yQ}eIJJET+;NWr);>*ZELc*{pe$JL3w+NU0$*5xIo>|D z0cTdmZO7h#?$imzNxk#p3%l_5*lZj6NuarHK3C{%z?jNYZ~rz>&iJjD{0nzT z$iaGk0R3^twO5MJ-o97_`<@he4Q|s@8L*KDMH!kvg8O^QfE@P0^w=6)1 zwR6Nwt)~wTI2Q;pG<6Q=Wj|Qo9=yO8=Z3&LEfjVBHTmg5`qbhV&`)RRD+f^c0Douc zpOr-1orHe_I4I87o@9IXa6B4F#j~#|7hG@9KM+Bak@2S}L3rxBVy@EPh6mg?Dc^Qi z%r4cldMq@&XYe5YzN&gdC!FbHs~dnWuYZ7|&u)0QdqIXi11RI;xgQV4ADXuVDDd!S z^E!Svcr>8Eudil`ZkcU~2QKcw1)~O&2`I+TfIbSqfjr!ONB<0tyCwCnrPw%A7(ej9 zA7;jZd*8U7$hDv7AEIks@#Fb#GI6)yG2)t?pfLi?;3F$Lc+#COtLY<*`F^E-ZS!T7 z3>_Ol!3%#UD75tvtTYN}|5TOfc3Ug}%H?KQc0<26>L0Gq!|cYLDmL&2e9-3iW%|3t z`IzFs3;4=!yH0mj_QF1uZ@Qts$hd2a<3bB>i4>T<3n>0j^VCpy2GDko%fz_d9J-+k z&evxO@2;%y^`g5}XNv>KM;`^AZpWUuLu|vgwyyvvyyH1!900}G8&Jc=FZ`jjwXye7 zy=$ZO^ZFY&uOD2&19vB?Cb+&q{|qvKA{+*A+|9d=1UK0Ad8L8{@PS1Lpi}n&q~i~D z(~LgwavdWJ(?5^aJcR}a>R%hlpUBY3B6+x4ZVmQ>wg$2G#QgiC8o_O8K}_PWZEax* z`VfHLr8cx2uvf%mxP^KQ&q(XiCU@k}dD+@MoHi8lAnS~5cRkmHwiHjlKF|ce z@b@VF*S2qFoj)_3{m!1@KmNARhj{Ykfh>pmY{}=wtp(2W^f9hqP#UAg-ER61S36Y_ z9B{X*{zFgCPP+X6?45VGW<`*QNj>qFcAbLh@}11=XBLu_j_-1dEXmm?w#rT zJm;SGe7jC{cXf4jcXc>{-eo^P*Y0|6&~dR^Jk$PeLz4TuEd{($=7NIHH=WN*J3fIL zX-7LpI9%oEYdSRIJlUQ~tpuSrMJ&9T{nE#J6P?)9-lRShtsy0#Q^7(pULs{$6WHSp zT6VUF+~pvT6XP$^ST&Zj30<^0De5o}Xx0lk!>9fX&$Ur?5? zNDuRQge>mvfzI={f7pu|nynm#Hg#-#wB%?5<42C_J$G&K&$8M>DxpuAJl%i)yq7X) z_=AppEQJ=75(U*>J}9BDwJT}kHzTitB8Ls_ANNIq{*57^U+SUc4bRe}=WTk7N{|bA zInHFiJmiH^iq_%Bz0v}W)dtw}Qr_fy)+Cf6d`Iu;j!icj-z>MOAm6c%+M?DCl8f=h zMh%!{|F|#e)c~HxV%yYiPp5I=P&tg>K1^RZJhm-qc`JR1spr=~hiR+FHuk6FZ}(Pj zY#fMxN4vO>Hk*Q#gXYIJ7Fkxb#LG3_8J*yBq-84m;^Z)Ul>N*MO*KBz5?S}J>;K^; z$X0ggc}37ldd|8w|a!!qbALzu+G!P&87`;!g z_I>J(z3Lv9JzR3?0XoRh1wAPv-DgY!Ei&F{DVHgE`FD>qN5h~a$2Oq5*~MLDq+T-e z0nO|_X`w&V(~u)6P%S5Ta;<7XX)l?_E80)kwsvvHYnHyHv3t!(1$w`QUHsn1uB@%> zRs(%b59JB}0XF9{yJx+8rXipyIcRsVi#u^7ZCDIK614;Py81pGSSpR4R&mZ5qf-(~s4KeoP<;UDb{(5D)jeC`@2A0$kAu%U3rCt1=CdX=NE=}-`^ ziSTB^XKa4Z@x8goUMHW2cm1cx{Wt6f=plw??$2-(+C)dvqODQ(W9$9FVSN2ZI53k$ z4bygZqz@Ka+Sz_-UvDylb~L{#l7w%41-|-VgZAsDbnrFtx_sflH9j=TBIlAOhHpJ( zgTz*+t)qj)R_9Ph$Io{V4t3f=(DCP@-`KD$;j5lVpFMoHvWxq4;Y+(rdKdNTCQl>% zTA+LMNlQ75?%$tU{b$lk{;)>VZLTGIS>)j5o|ChrH0L@x2<=4b!%*EBBn_j{Qf6Fh zSWcrAA)e#yUpb?W(D!)DLkmIZNBGyhN9bFIv`g`Bh7R`b2ZZx`hsAr6guZQ9y#2fz zZRwlsU4FCbqx=dVuZs`1}CKVRMrMdbE#SSvQy7IGZ&5&lE@lYk{y2qm8AJ6Y0I9 zPg;7CKk|28XYZ8S3VO9&r6u4Az8OXg!=J#Q{El(q9(z81XV1Ck;}8#B?C&$0E-B`n z4ea7R*HCK9xprmf4Es&!K_2>H0=txvx{sQ*=bc+EwCD>c96=dLChdBT>iUg1cZ_`# zNxO#A!Z*>;`RZH_V^7kce4znNUlr-44FJ`B8R6w&Jf3NIz-e`*&d-dZ@PH5cQeuC! zCt~G#wsR}#3N+H5eLX^$PllhaiXqLQ#>gc_Y5m+Tbfan4a4kSqvH#>s@uzHOLZ+;L zE9l0K-X|z$Q$V}2>3=Cnq<*?x(_89D=V7nYoS$6kH0TL-aWA@!K6bROzr{8MO6!Ak zJ|QyuCzsj33i>%mUsFYo67=&`+G`vwUr4rxt_-jsq?P(wN{BSZnhah08aqX_W<`Cg z+m+-?j4{_!XpsyT9MURqj&n%~VLx&yztw)HfBZz3=RZT*SqNxPa`f$j9`EQodnh^l zfbk{YnL|*#f#XBTOUO2VSrRI-Jak><+pl#=_`Py z&43mQ2}(aB`9?j{wjVl5r2O&3g;b}COu7`BOi0f}_GXY2vVcD}z2uxH{vx(S$Jcj) zZ`6s&X$^>hR6p$ZiM0Rl{g~^-;+|H4GUv%%&kLCE==X&7U`M~(LrL@D&iB6r9eL^m zw1+u|uLMmon;KW z2>lF3qq9-uE%ud6>{>PdMG8cnf>FgyNmWR1azU$ z);-ckJMF1GTGFt+J!y_f!}2{UTb^=A>3`hHko)DmlI02Q;+`Wxo}!-S8RMYQ@AyiO z1G=&G2;Bg!j~bUQ8a;K=leN&2J4}}eA4yA&*S3G$wLa}*23J)j`pJuOD}IovaV+lQDWn+8?yuo%V!4r(54|q*nl$9c>r)Q+wLX z({mhEe+7M2LbZSYLPyUP4li-^dxCE6=yc$VRa<@MG**OYDaPn;Y~C!=JJVyENWPTf;g)*%iNvbCH4!O%ww7Uo`o-5G&?ki8sA7CxBj|qzH08QHg ziYE*-H5Bw&j{d?pWqHN;8Tv%cGy+kP;5L%c-V`hWUM5)^#O*=x`XvY#S#it^w zPL`mrG(jQ%-*+X0)VMxwSCJu4gL_{$utLllbDJ^2^NCNk#0=Lv99_3VLAY+We@i!J zw2GLiJ*09vcz8+gC#G3?=b509?_nnB)PnHAtG7K)V0xL*2C5vsGQoVQ6Wi`_QGD;(&?9($sc`6A=bJw1**O-&ywDVt6{;pv#(D0%g4?PZcD zHw#T$vZnRxDdx@Hox?=(Mb~%q`Z2Y9?xd{lYnlop!uk%S`p7N%{5nPpkMFldAGY!` z*1q}p`Oc~8&iK5okFJyB=R3&Acv;IAO=Sd28$*Akv>>1$T$~Mxw3gVFD~)FQJNU8) zJ%N8+>%+V6wjLPoKH#AzccIY|z12gBubd7(_2WBE^7sB>`8!tf7khNZlfOaYd%L9u z*=}H0`Gq`VB=cBnw4lXb8yt##pN<^RMYL{ewFRigAoH&yeGYIq+Ai*=S{}0n9;8(r zgzq30YD%=zUIG%8s;h(ZeKVtJzgj&eLUfW&U?{8fIOK z2bDKtroOCU=Pgpqx|YI`C+G@So>p|NK}NGoBxu29Cio&B&>bCJEa*0l-Yn?mj@~Nh z29Ew&&@~->K+u&PeS+zArr{Aw2q{~wLrE27w#2Sho=D>+w*rsnq0?-BoMabw6RH2_ z*MlZ+Q0iw11C3RU`K=64>h4Gmgn-s<4kNSV8><#9fkRMA4-R(;y0)Xqp^Mx8aetd# znYR<{&5>w){V4aILGuBp{TJa7d)nUBL&?|4F3Eb{62)F%4UtgE8wgj(4V2iAGax++ zCz$kkCoE~%r4;WD-{Hi&g)0>CuCeGiFCnn!m6+kFDVFfjc1MN%y}5fA_Hf?H-^HU* zY%6H|&KVx)W5?5UX)iA7azaUPmDVVpcIHQi^P>}X_n+L~V`2s=UY?Lt?3v1X0&TP> zx@QUr@m_sJRJOu!V83+4R(^rf@`0i)iGJ15Tz>^k=-cvKF6471uc4#0_|S173rZH+ zf`Dr8AZWwZHM>}>OWH*w_)(J}_a!|^^0b9r-22%DG0$mrj$|K4>Cu-Gg5REwULfdx zj_O$UWsaWHqoqV&W>0WR4xoEE?Lwj5+tKp{4bRV+f{t7d1m9OU2fmk=dKNQCX9*f- zO?|$HlFry~#(u1nL+mU&P|yV)+kS$6&(Q+}J!=fK`wEBeI|ohKODxgUuC2{VW_qtT zVSw%<=mn0J9c##o9AEC}RziD|qjLlezUvD5C#T&&&^sJmy+etKOV0r{62}R{(iSS7 zN-rVaEA0jJAa6IxkiWs#YXpa{dg@*wY5tm{I#Yk9qc0cQK$Qb64=KxW73sy6fzGrm zOX&tjcM$Y)N7H8kho3k~9Z3$<6!;z?D0=`v|6b64cl6DIe#p`3kX|c>?n{`+BYS|L zG0sjDn&~o*A_t`RKKnAl$g733=oNy#z|m}npgG7Y z>AdfeCLOfnMAwp(4(KV4W*q{Jb>=_s=^1FO>Q_rU$3h7GkSb#tC^fs^hl-P6$=p+NH@M{_g-8Y77_Bn{)MoA8a9@ImCOW4*ei zjS|wfke!GlBfhYc70_-KpzrxS^JPkFQc95 zl3vmo9^=-w3~yT~P1bQCr4_vo6CRiJE1mYsLK|ziwYF^GwA$Z^HBy%d zhj~u>LqTJlrRm+%X|>d!L1tUp$%ny_>Vo(F`b_ zJ^IV(vjN3EfU;jWIXvId9EC#5*oiy6*rffFr)(`jV;hdVw0k?6UQ1{xFKDyR1$wBXIo1Phvu8zCG0U~Q z&7PqLT<`7lCY~tyf`b%Yjj++?;67~X&1?lTN4aO3ngBY}uA;~AqOSqkX1bQonK{aM zI?W`K-shO&qm#OWJN9+!tZvK;>xrxJg~j@8@k_0KWDSVZS?hDi{iNZ`(=5Fkn~;%n zee|K8&h3m2TI@v1Y__A>-b2%7@`|iBbLlks7I~EcGJCaqtU|*|)3unJt4r+4ohHNggXm6^kJ(GS%N#WCmNP7ZGiNRk`k;sM zb=VRo6vMyp`e6x;ue6qZ6OVh`&Ai&Bmv^@^j&P39@-9}H(0}%L$!DIO(ejCG1}o1K zrA*#AtUTW@p~nsj)py_Jp;2O^muLAVZIAEZRa%RxkSH79+80-(-*({H5EA9)Eh=BAIzhYSEjrN@HMyhV{cdwFAM$n{vuAy*V zU{}67!+sA9{hov}A2BradJ{X3Z&gI*!%_KsdH=(dhtC+Jp=-X!Rjj@}~ZrjGtz&VnL7(U-N1r4Irg)IU69s+9W%gu2?{{?d4vm`ed#f+4 zW`s0mm@JXTRIeFU?A@+iw5a&WLAbDcptJ{RD`_i0vBim!Q&3a1At-`NXL{Fhl=W|kmbGz1Px|9cP6y-uR=WxN|=CsL#n@}_(Xg50zx0zxT#)+vrKi6(96K7W_4~XF9q_X!mrqKBIGi(C+QD=L@>8 zqYJC3t~Pmz)1D`^FLbm{d$!QV>bXz%P-Jn8J(1%Df*#;}cOj^=-q8NinkInc3mW?@ z%h`Y+To*g-S;99~(mX@>UgETwH#kJz&J_+Jv+oPKpV7lIR|dji0AO$=l%;6|rgF4l;8_zOX??}=XM=<@{qUq|;8^a4k* zG|Ax{N3kA>Vl9a6m4bfR(boz3ACCT`p#SRVn*{xcqwf%ukq5Cg`4%}$w0zHTd)9nP z#ez?iPsxm1*LvL3{w8AmdB=f zYmzMb)g)Quu=I2i57EY^`PB3Wdo=)b7rQ{Swt%u?4D=U58|Y;{lzOp>{o}5uk8lDm z=ud=pzN0@7bmW+fH)EVdA1N&Xr{xlQx}fx*K`xU;n8$?T@)zh{+_BpA2h|`HK6%O?l zJ^BxNUJ#ax6sG0kDc1a6Abg`mUnJ-r&OuKciuKoL32ogP9qJs?$3z;!tESfIRZe@F z@U2UyZapJqy1#P1^HrO=m!k_Mwvqlb(i?r4ZwYO*(q{@2@i~6Q|~o!e)P$t^K8h_?8l7GF^IYN4oBOMKpD!PNHA0aoW!b?a2Na zv|-olHTFv3fS-?dv>l4JMaN_@-W;SI(lKwurW~H-wcv+1dXCV>3hpM~qB~8#MGn(Jf3c5inl`sKD^B!UHmjB>2g)GE3~Fh^ z`=xtYHurZHi#3|eC#HP2cUtu{jNb24k70~2u8=fD&s@=Hhw5Vn9pgkFQ9T&Z zug*DaWEK`&$1UNX{H~;IhUd5+TfLpr-XI)8zADLR3s>az50u5OO}A zC>~Q#T9ZU+$w8ToNwmp#ddl}%*0rKEb#?R^Rdgd$6|_aYDB*b1cbY&R+Hmgap$SP)vb(UaT zw^2=!MN0MAb)5yD`jXTcoBFz|`Cjt6!YXEL=oMxi3Qt4&cd+1-v1%2*F&i~~bAhjI zN0T5Pt%+RY0$;|`6U`T?$BO6i&%aGp9jedT>q?Ta_PQ1&+D)CE3_qf_u~7qb-C(SV z{DRbrqfKte?o>g;J~T-d>5N~J{aAvSmridpB{SbkNUhvnj!w6Aq)iS*qgu_HsG8Lz zIaW#17IUp*wx3xp+GQ*bUsK3$Eu3Pz$qBv3Lpkdx&k>3WOv_hte+u5g~=oFnu& z*!M!?TMH5TkuDVWyc=gMF@u&TB9RiVm{*0nkr{l3zF z0G+sgUqAhl6=7e^xnle3J&;(NpgWXe7VPuFA*@D|Z>b*>DPL;9PF^o`Eqq&#U&iSs zoX%=+PpfgT$qieQ^R+yUZ#_O`!EQu2wX!I3SQdLDCKAFAYgDB9EW48PmiBvSDErhhlzqoTL%%Pf^x%euo+qIj z4+~u=p&JehT_m9!3=945E;Mqoo`>#cIV$yrkc~ZmPwvrz{?$=dtCrFQeZbL`1;s;# zgve9U2CDmu?seLAI@%}+o_M>}A*2vG-RjWLS~6AZ5SqWg{iCK_Y>xC&13;f)RR;7@ zL7(pE<$`i*JG8$NbgrXU2|CBoYXqI`=(U1w?dbJ_&T{ldL1#GnYeBbgbcvvwIrtx~`*l3c8M?e-d;pNADJN4M*=4^eK-1MbK3p{i~p> zIQpQVPjd8OL05A0{{(%!qmQ?lh13#ECndjgcM1{>9O!2zsxh zYjkMX-`|;4ZuZxTr)!0p{Y4k1Qy*q~?^WDq_w0c%&N6j>-2H;m9|2`0NYbwEsB&1% zQTj5acSnt(7c_L{eo03~2-hqRjlL408P9qaO6>HuvJbX&dRyo>7rp&RL#Ax$roqfl zmj;#hM3)%3C8w*~Kkn*Lp5vi6T3U)6pqc6D6@te2O+EIpYX3T+-P$=^E@=3#%lIWy z7JQY%Z09i1a|vOM2%y${xm)&hs7B+2GwB)fuvV996#a9sQp0jg`S? zSJ86?-PbuR5;S5vOV9(Hw$9;9p$%{2_4ZEYc(&0XozDpE8w>&cR1c*@k8#>>3yK#A z+RQPu`#Dq z_cG_3l7u!Sxj@nzWl>LA`gq}Rpj||?gT!`)$5xlajzW96)7JA==lfrh-Z-IXd*S;l z=UXqKxk7uT)8@&l{&SA?Q zEkF256n#=q7^x&e3%Qy=&Ao=+~!ib=tLr!=D^|hM@7Csd~!R5Zd_q)YApM z%=xY>Xnd1OInYl-Q|%T1k5*d+=uo_nY1jYa=o*6F?dZCK-r?v*f--6%w#@`x;^-_v zuXmKbL8jqaN15+S^h!tR8zf49gxGcw^dd)dCV(8{=Y)1Qq5ZL=wBgB@lc%BGOVEXm z(yAuyd5+RrCrX z9HzPt5^G7Db$8R>P7ck7Ui4wQ_|QXtm$)838D;MJ0Q`8^M_=j-3O`KUGot&pV^t`9 z#pE07*)J3{JUI`OgkBm}HX72R-lSN!OHVIp=>kePW-PF;^ zkBwOBK7K~lgkIdsF;CBMbg`hb9c6V=TEv|lRiF6|j;gKR(b1m>2gX6f%2+-5GL8pT z4s~mf*8ti_>`D$R89&f^8=&(Su{u^yWr(vHv}K6asm@`MiLg0K<#>1du>Jb zw;Yg*^pWy}e}H>>Z+Qwo_?ILNe^aH!@4!3hIprzjprtukS`zx8vn9{;?76~3W6_5Q=y zbO(Dj!(u^)mX1ARHiOtsm%MQfDCn03eYK-s6f}B4s_PRs>v@C3Hp|nXni}>@tsI_B za-sSgTCKFfVUYf>>TkrR);{E*Rylgm${{3K?<;E^8hwUT^*81K>-{sWEeE*FbS5)6 zKikN!G1>ee|W0WPX=2QNLXDtLr_GdXBZW)cfH3cx*aa zjM%gf6XO78c2mBw#-%>0%e5%vDaLQwGe64H@CD&pAHPLk?na@V=^V5#7dG{3p{?sq zXsUW|W9+Zm7kpLwqED-39Jx^1h)q)#x~?3eZfZX&dar|MiH=QocbT0p(g}S~zVn?{ z^`Sn>4^(@v`#Oh3)!20WR`34?2lWcS-1%N__teSR77P0J8Hw!;hJb!b(8=zn)=R$W zS)0ZIW7IE zg#;B{e>l(mK(m z;MZBj?s)AIrQHP0wh-F3BcfQ?e{<;^gUEU-1V8EM8wG7UB8trL#G+A6`-&W1D_YX_ z;}k9VoofjrimX{}H&~Glz8dNfqlAeW(H$stieYP)F zkF~NWQhFE@)$4<|T{28l`=d~XdtD7`$v2v?PvPlw6 zVpfv-AnkF}$s#l6d$T{e^d!k#^C}iMXJT6C&C&qE#T;j#l=EXBW)-(+3GLU;!h@?#~fCwW?# zCnxk~yE49+hVpKN>bD=`D~l0&f~57Gp4JG}FQ~@1Ss$#%JImvZ(DH8NGrp<$fKg>W zSGIJLR!|bM#I7up;25Dra#5a<)(9=%x{c7cdQKv=e9<&g^Y(bK@{hn)lu{CT+`6_Z~^E+IdC(nkcMT^vgl{j8(NPOQpLd&;xhu6!n5;vQM zrR|CuAE76AoQLO=I-O-Qm<`dK#20wON@z~PRz_&436YvNE@Kebt`*vCoVMQAtmk5}aEK8f>oigh(OXpxA<2b8yO*b-zU!&JpGC)_v6B7* z;k&o@#tx@>nce0$~&2IaR ziyR*5l|0fJ-+p5_uo9)ox6DK%hl!FevVD?WKQZ}ok1uuKWY75}_s?2%Lql)wN;lr! z&)<#K@Fe3?=An4!#lu2BB%$%{m4@Oct#gjhTO{5WI_C)et%UCDp>XB;j$N6m1BZn! z=t7Y!X+B^`Xwf@R13M|K($PllnKL7Fv0cQ2|2rjjgufG^Wer)pd(g1Z;=PQ}NJYF$ znPd(k_Yr!lgg)On-{Pq%Cw>LzBZq|+uYZKTWLT)CdGBGNT4JohKpI2!DAU~ZsOeE? zSzV8)fz+bo?V_HoiJ|+yc!wH3T)VQaHSKEJ6`C@-cD=>)2rXlEYO(J;-EX?aUDwM0 z)lnTQ#CTBW7h-NzM~T}RPx#jRy>$)~Jv%$w({O?1JjbN_II44LF*?#7d5rGww0Dxj zx-Q9Y3g6f-MXxPs;}rUSdhg+5JFUk7%W#axRzFYVyFwe|!E*$SQ!T$QXpA8Ayp~J6 zY6%7 z_+IUNSznX79&2Xmxv0lhFXPz~+ch4WrXjv)tabiXo{M@KW(eP#obRcUi=&;x7X^)P zE7T>qh4B5g^Uc@@KE(dfN71hdUuy*os^2cY+R#1M%UA@qr`T(=WSC#Z*f$=4ISUI-a%-ua9aHe^lu!k z=dJ!t?>dLwCAJ?qUzJXjkaGB~)9NWtzjt&u;ro+ndgI&M8whQDpZYn1#y7H6k|Brn zgf_mMU9W{33vHZ^s2uL_^y*i(?{u_YFPZ=RwJ)VZO1dXqPYYhnfeTvZD>m2HNtCw4ygG%x~v*%da&Qy2(GkzJ^ zp^OC5(prK#lo3**tc`}lYJvve)djuBY1a|-PDj@l^fpH~7L?H|v27|SJ}uB0g5K!p z?t;>vhL*le=7N3>C}+MWN;?cnuO(4>G@$ei5~U>v<;3 zokKrk(~X@r$1TJiFO5(c8}c?@;tJlD9V)J)xfxbiSia zW<@$pW?_R6da!1QGIoHyRZ}F%-+h~$YNUeR{f=9WfXN1&;hjX&ZtzNSR zSu0ZaL0>9$D7?3W_@K3fqLtQKxU!d<*0(@4Ww9b|k)&)7*AjZMX<=UA=nn)9+Vt#E zLQz}#SywV|)Yw!@LLW4}dpU=NlCtQRoF?c|PFuGGYBlzC+J5YMe8(w$j>sXe)6< zTi4WjZCNZip6wiTL^Yk(6QCud_l;V)m6kzkVc3VdEz$mZ%uClTLA@5LB^cS;#@ld= z=_2J9St`TVK zK#C^CT8Xv}q-a!I2U6tF)`1i`jBOnVt2{Wfi9X%Rc9mbCtn>hVsMqfUUE9%n1zpS0 zKlM=F{Hr~+US`gVsMz*asF6~dOq#j!@le&4I^#)n}*fnHbOU5q) zJCwdwq(HhZs*K**z(;IJvGdcb!ipO z@wL4O)p+0PoFi1@9r{(cj&m1plLFEoObQ<~@ue=rm-?c#%Qu^%w4+QSRKGd+mVtCZ zzlnA1u+rA=2ga8dLl^Y>f&b|5+O1b3Rval%+SGs(J@>2;9(m^2brzbvz^(nA%LR=Q zM1AFO+XYtStgE79m3(~#YR+9#LTwjVkzmulB8NS^7V4Vjwg<6Dr|m&3a%ekXiyV&f z+A_$Fn|{V(p=Y_OA6p%0Kc0ZmcX_myx0K%xq_5FzpIW)5eWmZNzWrwVn(Z4pZi#)6 zx-WM0{fhPZrgrv3(X54HmG|~G_B27KYs;(txY%i3AKlh>wbu2lehN*U!$j|ko#Q1` z-@&c3sNp$QukE^4w)Akc9~J$b`Z#uwos_x5jaZ__F5R8Wt%OSLUL^H6YIl|pe!ZiN z1|QNy?rwlDD<>wJFB)}`UEBw;>sniOaSqwnAe}py`w+gm3nA)7&J#i#=(l_F1wGg< zIAqNN4X@7*1oc*^$2sPMoHt(Ijl4k85GN4m{)mVz$3vtnX6{%;mnn-=$m==IekvSd z&P&fGk2B9T$8o}Xo_hv|I1Md*AfRzJf|f<>2+=O?}Qj6$Mw?Godt0|y5>02 zkTr{39A+1VUe9s8G`AHkiG2*3<2c8>e%?5zs%B|&;wmi95H$7$Y$>tD>E<&9z0u|S z1>x|w&Nq7sb59cve{c@L-h@FKM{V(@;MTThC~Z)8qBj z={UE#o;N+C{W_0rT}eZnTV2nap3xqs$JbYq*Yl=lw8!c3bq*@YI2XKrLUlbC^?d<) zLiK$v2i+~OmT5KGt$k1CY(cdrR-Zo~KkXAdwtmJqn>ww|RKza3e)@fTTE56z+CHA* z%MNK%LE~%>J@JG6m4u$xvoJixi9p#pfkx|8=bP3D+OS4>G6!h1BBx4h^|K^ba_Q71 z`B=RQVjF#(#@P6p;xjt(3k|y+v=ml_H%LxwnG#43B`0 zvto2xx2f6k!l8|MOFPuYyhRRe%vJSAM{v9i-p7g7XSbN|NnRabd=9))Ib!+Us`{3+ivUjcemYEK}0-h@#Lx5WLAbI znGhzHRb+%7il_D@*o#Mx6%R%5q9O{4ZlV4Gp2WKs@gyGf;z7Yb!0(%E(!^qx$!y;H zyx*C$$f3_QqC3O)E;vNgz|t}_p&PkZMPg(a2M`erV9nu2ba_=qEU9BL>dQoUS|u#A z*E|hj|M2mN&yP(k#@0mFXk}ux&TgZQLX4OJdo``i+gl^w`EeSnWaEp#?N;y;bQ;Lc zjlD~p^g;Fo;XWihejttm`Re0oyh`x4Jhvt=K-*AH)f}o z-tGN)1BzemJg|*cE1bN8YP_!wrI)BIokjsnLdmCy?a^4lr^xykDUf{djAWD2ZaWYe zHeO)Q!R4V!z)%4UB1~R+A`mS`cVd*X&100960$U#>* literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.cmp_merge.kpt b/YL_pushcounter/db/YL_pushcounter.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..3faf321402deb649e3f10a1ba60d956f60844cc3 GIT binary patch literal 230 zcmVuG0001ZoTZV$N&_(vhVT0nL+;((>`G7FJrq1tdQb|Ar;ui+HmsYC zlS!fA!mTnYS@F*6L#Wpt9%ScGe^frrJ1~%ke*P$h89b?-C~MLQeXx g pulsar:inst.clk +clk => dec_count:inst1.clk +reset => pulsar:inst.reset +reset => dec_count:inst1.clear +key => inst99.IN0 +OUTPUT_A <= inst3.DB_MAX_OUTPUT_PORT_TYPE +value[0] <= dec_count:inst1.value[0] +value[1] <= dec_count:inst1.value[1] +value[2] <= dec_count:inst1.value[2] +value[3] <= dec_count:inst1.value[3] +OUTPUT_B <= inst4.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_C <= inst5.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_D <= inst6.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_E <= inst7.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_F <= inst8.DB_MAX_OUTPUT_PORT_TYPE +OUTPUT_G <= inst9.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_pushcounter|dec_count:inst1 +enc => _.IN0 +enc => _.IN0 +ent => _.IN1 +ent => _.IN1 +ent => rco.IN1 +clk => count[3].CLK +clk => count[2].CLK +clk => count[1].CLK +clk => count[0].CLK +clear => _.IN0 +value[0] <= count[0].DB_MAX_OUTPUT_PORT_TYPE +value[1] <= count[1].DB_MAX_OUTPUT_PORT_TYPE +value[2] <= count[2].DB_MAX_OUTPUT_PORT_TYPE +value[3] <= count[3].DB_MAX_OUTPUT_PORT_TYPE +rco <= rco.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_pushcounter|pulsar:inst +clk => ss.IN1 +reset => ss.IN1 +key => _.IN0 +key => _.IN1 +key => _.IN0 +key => _.IN1 +key => _.IN0 +key => _.IN1 +key => _.IN0 +key => _.IN1 +o <= ss$o.DB_MAX_OUTPUT_PORT_TYPE + + +|YL_pushcounter|7segment:inst_ +i[0] => _.IN0 +i[0] => b~0.IN3 +i[0] => _.IN0 +i[0] => a~2.IN3 +i[0] => _.IN0 +i[0] => a~3.IN3 +i[0] => _.IN0 +i[0] => a~5.IN3 +i[0] => _.IN0 +i[0] => a~7.IN3 +i[0] => _.IN0 +i[0] => c~0.IN3 +i[0] => _.IN0 +i[0] => b~2.IN3 +i[0] => _.IN0 +i[0] => a~11.IN3 +i[1] => _.IN0 +i[1] => _.IN0 +i[1] => a~1.IN2 +i[1] => a~2.IN2 +i[1] => _.IN0 +i[1] => _.IN0 +i[1] => a~4.IN2 +i[1] => a~5.IN2 +i[1] => _.IN0 +i[1] => _.IN0 +i[1] => a~8.IN2 +i[1] => c~0.IN2 +i[1] => _.IN0 +i[1] => _.IN0 +i[1] => a~10.IN2 +i[1] => a~11.IN2 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => b~1.IN1 +i[2] => a~3.IN1 +i[2] => a~4.IN1 +i[2] => a~5.IN1 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => _.IN0 +i[2] => a~9.IN1 +i[2] => b~2.IN1 +i[2] => a~10.IN1 +i[2] => a~11.IN1 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => _.IN0 +i[3] => a~6.IN0 +i[3] => a~7.IN0 +i[3] => a~8.IN0 +i[3] => c~0.IN0 +i[3] => a~9.IN0 +i[3] => b~2.IN0 +i[3] => a~10.IN0 +i[3] => a~11.IN0 +a <= a.DB_MAX_OUTPUT_PORT_TYPE +b <= b.DB_MAX_OUTPUT_PORT_TYPE +c <= c.DB_MAX_OUTPUT_PORT_TYPE +d <= d.DB_MAX_OUTPUT_PORT_TYPE +e <= e.DB_MAX_OUTPUT_PORT_TYPE +f <= f.DB_MAX_OUTPUT_PORT_TYPE +g <= g.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/YL_pushcounter/db/YL_pushcounter.hif b/YL_pushcounter/db/YL_pushcounter.hif new file mode 100644 index 0000000000000000000000000000000000000000..618837f541cde4d50ba1aa6461d5f4aeeb37cded GIT binary patch literal 595 zcmV-Z0<8V44*>uG0001ZoSlz;?=R z-~>Z%ja{PzwA4kNTlcH7MXBxpCGpeGv0T>GqK!Z~d`sUAb)FuGoM5dgkS4DnuN;mQ zGzE*g)F4xn0a|BrlVg(fh9XD*E zAPR**EO13kvp-jvES8OoU7zRU>4#79;&L{+p2*4B?Pzk1&c1(}zJlcG7!aa@y9fA} z#`smiUChm;dH$$5Xwo@bz($mGmG6M_f&2KD4xPkabASnO< literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.ipinfo b/YL_pushcounter/db/YL_pushcounter.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.lpc.html b/YL_pushcounter/db/YL_pushcounter.lpc.html new file mode 100644 index 0000000..c94ae24 --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.lpc.html @@ -0,0 +1,66 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst_4000700000000
inst3000100000000
inst14101511100000
diff --git a/YL_pushcounter/db/YL_pushcounter.lpc.rdb b/YL_pushcounter/db/YL_pushcounter.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..f4c08f3a6d6b1b36036d6fd02d8d73d48dcdb317 GIT binary patch literal 500 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR7P0_M0fIV^sX(w0LNR=S@+%mR1o~zl zauD$C%5~fzFIRnQ{(==>;udZRxX_&*>2slV!^D*059iHmCvSOnbK1I%?CfU7m7l-u zJ-I~Zw2?zg;)jMWV(Uv6J+mr4HuK7=mD?tEZs{&otnKG(_`ud*zG6<%q1*K_VuwF- zCoq4F+U23IcCKXGtv6XOxL#h(;|||{>bPI)N_*IA61#2sBt*XzPs&PvGwWma zgUyHDhQ5!OD*Y+hE>%+OU)*$QqqmbgecE~~W=t!XHSI$6ofUSTOU-U-zWQ=;Wp3S; zBNr3oy;F{`p4+i%RnY@&`C69shc>o&Tbx|b`*4NkUZulgG3#&4Z&}|uNm+MFK#*d| zB_6LA?^QLoXxRe;<^TT*#-zlAq=1BkgpRu(KTI{9FpcGrg81?u0`BVa*MB^ipx|%+ zy&_ybJU)ECzyc9wXAuEoV-JRtvnH!PRS;BEaLZ_1m70=bkdTtVk-Yf}YYR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.map.bpm b/YL_pushcounter/db/YL_pushcounter.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..06f8d450aebe11e2db07d0ba589143580156de55 GIT binary patch literal 665 zcmV;K0%rXY000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F}E000000012V00000 z005c*00000005Z+00000004La>{Crk!$1(NA1J6Oo&|q^2e)ZcwFM8-_8=7MK@Xmm zG~KqrG#fUXf|$kPC&t=#!LF zGZFj}h}s%GR>+m&>Fm}VQc(zYkY=yWA$A_I>k9eEG}&4uzK~Rp&ZCf8S>4)I3U%gp zbxZe;n$=Wsf6v2baLopJh?s~-9F06qx&dnmZ^B3<v3l==rQqLq|wYdOV17H_ip;^5+-?Ca6cf5ZI>r@)+W$U?WHL zY0u~GQ^ScRr$ZJn;Yg_H1(BQpV~wq#f+4LA26YSdqFsaG+L<~%>nu#&5&UA`80>@L zy}4zA+i+^enrwn^*(CL$+L$EVo3Y}|fU6_n6xLX7b;1iXy=31F2Zs|!Z@yiOXBKdl zlT-%f(+rCS_X^p}zpy4QBL5Tr%y_;500960cmZN&U}TuUz`&rAIxP%HF(?DEQ-E*0 zlYgk6i(^n^e1LyYNW8D3pQF2LP`ry{h@+EZuq#L<0}~K4GkgG&|AQB=28r+iF-UWO zV^D}^h^N0FNRWXQh?y81faC`52fRRvK@x~T>O4GMTwMK7?E=X&r~xqm009603aTK# literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.map.cdb b/YL_pushcounter/db/YL_pushcounter.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a20ad1ec2044a5b5351bd672b3404aa8e16ce4b2 GIT binary patch literal 3716 zcmeH}`#Td18^dZ?7w`t^LzI7q}i*7pK$aAfXvJ4r#?x( za**FGuV{JNbGJ6_vVv=c(x70E_Ry|c#SW$YSaZXGrszFS_gL(U9Xj<_vvcfa{e3R6 z$;Yj&z12-aFOu9_%a*3s*74nGwFdUrP{Xs+P$GgEA?&3XWp}Z^+$Gm$E-Dud-1Af} zmKgo9_RioIWi|`w92n6fOG{Z~mZGSPofSVI6ai(*ZINq9UneDZI7UB%n%`AtM6OuVth*0;WfTUrwO~j zXczOn4{odUcS`suWHA{h=#9o}K(?}WeAXu^m!0Pp=k{n9kuH|Rgq7>CPyS#1{~iAK z`f$Z)$*F5JV0xg-d%9C19}`R{hIr2jndq6$sDP-Tl;yDy2pfec7A0kOjeO|UcK<#$ zVTK#k0*|5t`|qK`f?3!a)<|7QOE)t!uz8|LCs!pm#3CRx+Vvb)J{rXn)I*pnY7nGTELclTc=>)RS!Yc^@$~ zZ<>w^P?^)!(3Gw6h#~c+pN&PjldQb&gullV3g3P()zwI)(v*uskN2bZw%;?LPKB4t z2*xa*7#bvhv&;DQ+Ud`rmVgfoABm^mk$X%))>5fWb4~lv@g^g;I4qa-#5ZvZeG=r# zM_c%BeDBhuz94}o$PB2PlJGCp5N#f`TNgsHFoW z98oBp|iN}Z}0ZV>@RQkfkxFKqAwGR1|Mf7 z?4buvEZu*n{Kt~#gHV$IW%8woiVmwyffQF_Oe> z9t@mr?(Pmvl5Io^xRsNb+YF=o-$CBJ*=Jo#vMFs5vL_0|t43iS5iVPS@M zbVg>eI9(FdDoE4Ngn02gzrH6!#m@-gz2U&mo6YLqZLdXYZojrAYH#?w_#`D3=6hhE_OE9GNsn^vZe-d6d#6OD?i8i4kVZE-su~aj zd_~ETZe`8~Q;JgjUtIOg@E02AsnyENkyAQ$;hKLp0)4Y`OI%ioECkzf=PNfWrm!@W zaHF0%J87^3ls`FuewA2oIlFq)sbF&Xb~*LIdoZ*yivQSpFHx7(d|A;l*IRXnlZfD zR!0!1jR#c@r5P_vGzn6!Rfbka@6dI(N8jO%J^bR<9EWwcvqDp+P10>34qau(`mnN=vy0j=bZr^Oz*_JKGK;q`hk@}e=S*}mBO6u@%>(B$)q{J-=W3#?^<~Z ziog8IKFuEv3hfd2(@Fyb!!7CY0QcUpWlIiSjJ3>Mh@Ax;fn%{DIS|+H+=VYbQg$$L zwHI};U#Q8=bk7&&YPybmJ)}I^pQl&XL9nY;!`-QM*5pRigWH*l7*~~H3PLcd#UYyl zBT`z?4b^o?OK?qjjy zbl7O{3@584JkSE-mp>hO09IO@>veO}B=yOqn$GT8txYIFiCBmUW<@E-T=@u7S|zjEK`x&8Rz6`2jry^&evaAd@|qQz%RDO5 zH=x*rQrRqUptzX$QCJ>T>9S=Sssnidp#=AoHl*U$__&keG}TCw00%@`i_6@^i3sRn z)QfsqUn&sFmjm}~RCPnTh%!hr;qcIhnui5g5q5^Z^vEf@R8^rG{|bN1bNgpSjcSb1 z-E%l+vt@f2c>RS=Uqm+n?WfYFV>*14lsy3;oPV@+OIMjiUET<*!rY6)Bb{+Due-E( zdp?W`;?O|z<1p@R=Y}a7eTv`c*ifso$P_IoC;QcgVSwM+URAxJ)hvo^i9=^$HTNbcOp`=+wVtaE?;JUX-i2ebqEin)r6{Lmxt%gu>C78#)t83;T#9?e& zsc|U!vJf4lRzXN1^5oaWnqab5!Rqvc;Q;BR|Do)FF>Hrjt3D?*C~XR=^6WQ=iqMWu zG_eg14SWg}X_?JtbtQ>yThs7U3~HRvG$7$b`qV+>8t4L4+)ttxjfuV`hHR`m6SSb> zLxc${h##3-+001Fy1t}QYGWe83!u-%C!D69v0|mmK_3NBQ-Ks}T zKQX83U=%~Qm-JOQH$1t;MJdi00FFuo;-)`mg4W@+Dw{s$xGRQQ|9ROJ0+*ZJ{`Grr zZ$I1_d$Y^`po2UiP!Ue{+w@X`FI+KyrcmVAqtx8RJ`)iJ=^MY!$WgI~bd)pAj4_wD zgqus5##5qi$VET=aoc>}Az|uTGsHZ0AENn)&pW|s^P}E#b(t*T#24ByKKjC|oqvMG zt&GCS(;XIrIoX}YWj}}~OnnSdRq|d16!!K{w?T|GDSCewS>=yi za|1u^D}Sob!_MVqUXJmvj_+r;E1KuZERLr)shyLMIRCtO@2sk_r{7PtvyY3ZSIheM zX{KaIYyzH~<6s2Zu7wHiD+iW}~9NAr%=nd9uDUgTKPdH~XtkB3P-ZIikZ?q3zVx=GB0(6Df>s z3+2R@ee{o8=dz7>3Q}{68ztp18}AT=bb80DNW)6z-T2Ef6=RieZH8(sc&(SN96?(AaiU=L#BU}j}z1Btp>+nRycIoLt0 z+$?PDEbOdo93WM5XLoB;bC9yJsSSt?q$&&2G&ccBm|462ldKZ_4;>tw9QeNhZWH@| zaGmad@H_m8{<};vi7Y?7_$Rcm;W2b*1EcZyC`S2Y!jK$0JE02_<+e*Kj|^xim=AyX{_dbay;GnEWeW7~HmVa@39g6SGcokkmwhOB5rO zC=5P&SA0ZajQ$5fq!W@QA+SJ^0jHNk!VGv=if*ITM&vyoy`8zNiwTMrL^V5Nd7y|4 z3kuqrkoG1(o#@#i)X@;KNwg_d+AMTD<$VxVUGA6!8$I*seOHK z1d>G~Eou_jhY*2`i%vx#%^0r*suljXJT#8?B0 z?-=EX)q}MhT0&E7|5xPyaf}k61C#jQGa#)pvI~4adJJ@HDVrQ1KJ#y}ay{{>z_-P6 zu$^jIy;G@Sph<;nkm1Rj3p9&K!9utf4=`-+&}@HCmG0Um%Q?{I^?VF@&)Ba(sR#Ep z3IwpED#htjq0FX;YF$HoRi2>QBLF zH!jB3Bbc1~4)A_|3C|PjuebxNi40il&m4txaX0Nd`uAAX)>aw@R!^#_+`dv_?YhT_ z-Al$mQHTAn&7=(SABg-{m?HVan|oqv{o0XWFQ}s#O7ySczXireB((SK)_qrIs&Y{G zFsG>nb7;^}zt_n(uaZ|6RLK=KBd3OaTy{0Ys!3Yb&?PC}5z)zWt z^@du_HI6s~p8!gvLjy*m10&IavFM}y$gzFMRgs9hbeslcq@|DaI!rh~vV~+dP8-u1 zV4P@?;IDKVGM$d!3HNjxd4!<2p)`k~lw{3`gyEFIHVxDJgHYRw^i5^XFP-!nFJ6l(2LolM(`1Wks_z@e%}|$x7buTi z9aU`)CX2MvAxDk^L4foqY_bS!{SVl~w`8Y7GjxCva!>L(n0=&r*k9ZR*g$!N|8 z$sJZ>TK05J?skc*n!oCuE6-lZqi4Ez`>fp6?S1WSjlevabM^Xl^D~zhbvZQ7;ju>C ztRJ23CAV(9Devx;9VrRUs(uIro-ItJTRE{H>j{9_HE9s+Rl^vv-T~zIfIX! zH+4I?zNxfuida(dCd(D(!$rpJ5l5tJ-6JFMzzW%)pO`!I4GrJb=jwn_^%Zg3bF)8d z$}W`m#96SohoBodXmsrlX{!`|mvyDXW_^|y?WwTAwa&ct5)tf7v`R{U0|W_5_GC<$ zaycYCnJiIL-4jjoewa1?o7tk)5_!2mva5$`P{F{$dqNjAGJbnZYD8Lb5_V*aIE^sj zO!n}qXqnMsa3A3}6bu|&_Uw4x^t#w5dIb$IE7rC;jY{qE19fp=KhoON0>Y!zAt@K$ zXy_ovcRk*qqN;0;T{wXND%3C(bAY8Xh?$}0ST_c7W{+MmcNUQqtQQ^x?SO9g@KPN0 z5RQ}Yo>L`y*~J%*==oJ zpjp03&t+f|Dq_Tm!$F1*=>xuT3Ghe)ae>V0*?~K>IQ!H<3|7&|IM>CER4jWXir5vR zMeEv3tY%k7R^Fq9mnkP-i?Y~b7j0`>y47?!Fvcn_lw)t!$sl8UGy^lxPPeVTEd-kM z>3gr3Zpx=}=A9VIvS!5{fdKc zQtLj!YYQtu5=zC=-#>$=1ERzf3#8@LL?q@|SOe#}nMVB(@XF&`iHX0dS+eNdekq{o zIjxKGMk6_p00M`y2h+&K8Q8P5&J>MoEbgVBu7hH3$nUr_$tNk7#2_e&kiZ-16x73u zbaQABYB}5DulPbU(73Br4#;qLFi2~BYB`aQC{>AMN+cyVL(cB|B)IiWYSuV| z;S3#8g-|Q-XCnKcJ2+MLo4vr3;aV_M!@U)UVd~|TW@!=0Y_R8>A6(dx#52DM7P1?v}cxk)y|<)Di16=c?Lg6+xAaR2%5r{QfuRUIjPGx!wkaC<06j zE{Fwde5a-Esd=VgD)B5MNlCT66_g8`o114IYmOTmlZ%ODm@8%$8*_F6>j49W$JHOj ztxN}VVf}Jk;j2CdroCRX)0$3=i4uT?H}T#-sT$ZFE6&%T$r&%DHhej)E?{VX2YXRG zyvIf6I;eqhyR4r~p8FMw{bsE4oAR_@lY}W016R$Eu%DSa;>1dE`MP8lcUOC?U%uj+*91p zx)bZ~%F=c-57ic4pB*ErCHdzOddspEXRCCdcNs@(MrNmMM$9v=8@i^~uNRNa;|XvRBjTh4^`;m2F~hd%kq-*Zp$7a8cB zcHH%2e{DTEj0s7*mJ)gJT34*bY1Uvr7`b&PiUcw$?d+D5c`|O~%p%Bp?c8hUPOE46 zhhOu&weHD-SCZeKORe5`QWs5d^A`@kA>1GER-GL!L5 zPn{8 zf~A;w$1s($n{ntfS0{1z5FF_rakr~hRqHr3Gn z>F48MmSC6O)7in^$f=>b>x*UEv&vKE>6P4-%q#7op?xP+P9n?Dn^y{p<`4Y z|9|8!rw4z3zV7YI-O;DK%BT2u?4>;XQ>^23&_Vmvr2NUR>vbRdqh2W~s#{b~TkylX zXG!FsmT<2-pm;Fu_nbeQGiVeyv?4y@($naAxSk}>otQx_&$z^iUk{#SA}K~(50cC^sL+SoKh<5V|Fpuz56a0+ z%(z4<)QcZU!$+W?lKNDD{x!Ia6GSR=GJN*nZz2er#^|#3RzdxAEndDZ5e_~EVwEhGS8)hIRd3Ud2i*UPb zQ;s}i2!Cb696b3hq&1*shm1K+mUFix@+a~&;95_nXeZ^A&h+H&@S9PJ(-NK7WfPKTTf!iv*`_e&x^CoM0PP3b;6+7B5z>;`modO!d2>9Jq7nq*;_84-?3i#d*SGSs`^)EGoGIlWk8=HVM}*cC z6i7l_jQ9LG7nj??rjdAm^u+swBExZ}4v-2Se{JlEJO>|ujXDy*MJpsfB-i&Ez{N9$ z_bW1X{5HOfuGpM5)LBeFLI#Ep8wqW&uce(9cqlRH)SmKM#0+bJ^@Sw+zkwXvDlS7N z6oW}g=n9i&lp8fW{9P(%vE4@p4kdCZ2_!x$3&zhFuOKx)aY_9L@tidcPJr?UH*MjX zCq1?+iQO_rRQO)r-4cx8dKPPmh;cMR=@M}kDHR2=HAG|wytC*Ey7Iu;&k`cR?O2pT z^~xhci7IlBwbSQ@Hyh@+)=&cUHN4Qs-~nH1YvmVZ?0kdV_h5SpNUn2NKkW%5ap7(! z+b|jAB|RNUjYQqi@m=!GBAqe(L6{A!wv@;1!R01((Xe;lN&Fl#xr)vM%Npthxf)Ygt+=nR-n} z<9}qu)~K}s>?yLK*~eCOEVp8F2{loyCGxt0I^fFS;B4IpV@;|pHZg^+JbAtuv-9Z) zchZa6ytyax23#$3L4J zeABFQ!@|zyXYKsvt1inVXY~T)aem~^o?{1(zXkPVqs}zzl@s70T;>A|1zEW>$@D(+ zyS`c@Cg9~Sskhv=)CNYZI=YWNUcQ6FZ-{Us?aU+aNsGr$f#uV8mP5RpITjOx37`e(-`1;{G%8@#zz1VwUM3Tk|-P?6oW(YJMgs(uH>`G zbZQ|L94wh}F8JcGopz1TiUf)s_Tb9ys!7Q-XdxKFU zQkp?c>=?zW9B9m*3}}D1ngkQ6Y4=^{1l$mwj6Q|fI(GP4D$j_xAC;IN`06h<;8GQE znIl}2b>z|FtNZ-t81{x95EQ?>G&eIfoo#=5bYO4eaA?DE-!PFIyjkxLpGP^80vKO+$&NFKJZxCDhQ!Z0FGJS6n zHs&Z+3Ne}(pWkML2o&lY668eJ&G;8w za64TsES^LyqJ~%D7@lgO0yJXeDHKZ3cv^F)OTgkwqQ8hdD>^+|dvm`jHfvq|>G9V(g}eKxz@?8wA}xQ}cj1Vg<1zSvICsi|X~}nu z26EVL!Sct_#LkHrd^({8=1>G;=V%N*jnH<9P#~>XYzhS}G#<|!Dig5TwWsJ(DsPsC zLHyybe0aDFz$u0iT5JxrG>5|Aj8Uf`+SBvZcMamVl;;xVD6DfvnPU1!6i3nFBjSBw z0o`wtSuVoAR?MKj?7PM6yTruKbo_>Ao8_hYi;jB213wOoorJ>>#jn%P!Le{ZKC&O} zhEHbG8v&Ymaaqyq>o=Xi)jQ_kf7&F#=k@tAw5{mqM&Cn=!?zi4a2hb-CD4BrM}~g` zA+<5$M(EMvwX6rJWjJWP!sGbK+0heV)!AiL3Z})%TN=uF&%3f5?z+7cF!mH<#CONM zouWtwOnJc9e%>XU3Wp;d2O($h48>bGO}nMb?>fM3dF(uTa~vkGyDC{|`+LhNwT?B{ z{nfzVOo6o{tO@Fmc#&xZO^ZSwe==vQ-@22&X{hG!ztF}BBE)A zwCZ|!uV|P}ccf)=yO`S0zw02fa`T^BrcJPCin#fyB5f%P?)Xi0Q>TKYZGd~&_;b>M z<(;pE%z=9@vd|G+@HyB>A|Ja$#Zc41rr;P{t6DTqE#_q=U5#;w82ph#IREVE{P^r# zj^)r=x5uKjqPVyQ{fbvhy98v9#0~m-jGzbd~jQsv6(EmJ;c!8fyS=^Z&Ds z0gKod8nG`FVqYl5vQsEn;C{R%KSdLogIVDJXk$r6$SW0l)?KnYw%1pbDta(3!29UHB?c6W9HLZTQ=_|o zULu5I;fFB_-`#hahiJNu6&dlFm*y;{d#h_KVLjNVwQ?!p!HFRdO2NLXOzfQcA4hT* zAGj^2gv;gM7lB!U6p_FJq;`k$_a1ldmO3lRjpoS(|2ER*AOFpGf}liDZ>KENh2v!Kh7 zuBwZU-L>`H+@Y(~$gr#1i(#=%xlN4S&u`+XjYW6GN#2@tj1dFI7R?`&+1mxjCgfm(Zl=J_?Wol(pHLZJ&^s|oVpm!iBuN`J~m zcGyX_`V9F5k}epqZSq|2tcy2p0JTX6=nK*Sk{3A7B&GVk{^AHS{~PVOX73S?drmTX z(k&9w)DLoLmEXq@{&iYTe7WN5E*>>%!3I)m&0E%!t0Yg;xyD4ZPs8thZL=-K{ znfNT;Ckwb# z)Q)!%koN9!%Aak6+Y)~tcbR7td$O^@2D>+Xsq?1n zZ~%ng!@XW;rA0;KJi}Wj&s;Id2myHD6dCL(B9aTP6m*F`31P=q3w+mnsb3Zl8Xcd` zMar*+nR(S4#}HPQKs_*+`2!#&B3uEyO#-+C04~1*oS1N?Hy!mcMsLBzqyadg>v2)y zUnubY>Jnc`KqgYm!2h1!>XCQ{XuPgDlt(b!T=dmf_=NJ=mp$j>NW4Ff*v;=3ON(&( zqWh8f4S2BU*_$WP3h*J2C>2%EDf0B#%2Ty83_ha9!3Y8a#7XyI#?V}s&_#aEfYesIB?nfK86kHhia=FqtR{s0F97Ej&L>Q2MOB>IfOCP1{FI?ZtL zbr!r9+0a#x*sFGECYl{m$X(LYh$;LQ=Gps`SKD))m93v`R=#^Xwx-TKNc$lC?^z`$c^P2_g*#;!hjiDPrXAX}?(joLa)0 z$Hh_&ksk`AcT~aK7TCsqtBz4&tX(HpcA!bi^z#7!ILM5BjZk=an0VjEzyPYe;vDJe zEhY9{W5@(0c>62hGBy0Wp11%7I2#|J6cJu5(Z>Pa)&^W!0xk(b!OOmiR9Efc-$lgR z>Cmr!O7DIET$Y7@R}i;F7Oh$8g8KuTGtJM(Tf#&%#j~NAkG?7u%qec6xCpp5477M# zT$$ULNjK*H@*(ew?5^nAhCgDYe@S&)N1=MhMM6IVSo`EFjPeo0O7zC~Ug8FDqS=1I z2ST#@!XnlQhqjPrL%_vc_AmE0J3Gghdz2#X*@=PFL~&!5`0IOdsf)EY>H0@DhQA;1 zx2|7b&!`v0$&33m^ZU`Hee=Vcmv+hw=Z4&yZf+WuI(II)5^>~T+=R9G23i()%t)FL zaKFOf_d4mpoz&n?CU7Su*d7ny5gD#98O72&H^-yKGs~^cGtcOM!>*)rQTsC2zybs#|r+GNJVf^y1EVfD%O zUDZ5C?<>*}x>tx}7l0c533L49Gl#EF)LN^{z&W#;;RlJaY8=Wx=gN|jztC7?I*@aw zXSo&@NF2zAD}i0*Y_hGsZ6x_bewwD@4`g9W1wR=E&b3Abo@4vzN;YbV0Deuz;ty+~ zfGrPF$>0rNVgStoMv>6)-2m2S3+0DYtv|T!Yg+YlUqU{bWprp}+8AWZ@&Pr8!Wce> zc{<7C0Ns1!2eoz`8_RZpOhkiQjt?e4(~12T1gCLLw?`kA&JRT6y{WN6Y#nJ1-0YL0 z!1L}2^d==E&Tmu0j+BKu}@fph)`VfEo4iH(^NBO8OqdXpu+dRl9Y^RLK%#69;Ud>v^N9Ji%i37W9obz)ei+B5M*n)trf zxy}9eGa^fS?~zX{9kv5(VE^rFHDhNQOz*h=@>bBsGlpTl?Lp@$RB>=*RHJoo_VOvx zHrLvEz2EX?NR}W=@$(V8;hoi%n+J@BC3Y~w&kz6X4*W{1SqSVja-x28yh_cZyagS& z$ZM<8d~{eXZ_YH0w+nTxZmx*PbQjtKhIf86r!9E89qq3a!)P|rb~wYAVF_o5z4p{` zNdE2DP}r);|~4vvQ;;e*`AgrQ@UhOhh6Sjkl6V3Pxiik^jvpf|vh` zN|se5Fqp{EbMm4)2!&A1WIM<>;&nsFW#4^SRE~Ejt;J>Bqx1S4rl+YEMIjnK)@ApU zZtyGAi#h9kUF(Mfjdcumrf-cR99iAh>O$w2Mz`~KOvek2)%FaA8?W+s$xay=Mmo}_ z9cipT=QWAa4Kz`YRxSk-H_N-Ib1Y%{3fSzDBXH6%YUz|asj{UaQ{C3Rdlq|zA1!Ce zqh&B+?GYdj>>0_@E+?}r`JEb12CC_16aV9>ZL1Mn@CBsUrqfvW#YRxW6$5ws@gChz zL%Q`468xze3n;|O_#POwYMQEuJ{EdvT>H}xN!Q{ErsZX#D#!KC()^@*w3Q-0J1(5| zprz|?!wXTmG?~`-4DirYkm^NAchYrSi^5 zZMMWm&{xHYe3xSYp9!ZMV+~m|g;t&ok@>iDa=|yZ1$r$#c5l9wTQPLem9v2=^Bhgv zEH#YxL$-bM19VLinXkQAYX^Ude*;63wwmfBM2Q5gVLhUmORNfE7&9eeT8RPY7;97S$r>l;XG1^t~7DM65F7aEZ z8}2u#&l}EL81(83U z@9hxiMKs>5AX|?VV*|JFK{kw48+!Ph>GPf-RWol+o9d2va_HJ;g9lHa2H_ zZQ)z3{FxyymCPn|sThj|j@DJg_K==!6 zyhxz_$Zcu`bdw(C(j~9iVnd95&zvlmXbB5a->-3)1P~C|kx=8S2QIPU1~PJqgIsol zt@d7t+@h6##+@4hwmXSx<8j!_4mQ~;Y=0MD8AS)G;U+X*)AKV^W}}+OQyLctVzdg1 z2WYdwl~PE^EG3En!2RJ2gXMhP=3w43Ij*4veTf|;tFAi*!c!d09;-{LJL8lFOakT; zC0MhA8OB;a9X2+>-i;jJ>rOEd#93T3q4|qgVCgnU(57GM+A%RMcf6Lsz$B4V^RMdX zx6l^}Yv=i>2v)uj7HkPAgZ4-kZ4dNX+z0%3t#LBU_x!3Ky=B@00M_TqQ)uO8S{sei z#ry$~S6I!J>)f1k4||7lvTW*7vdsQV19H$Eg0iszL%nXni!|8;QVl zp;N-jZ6u=iT1NJGbz}P-Fn9R=*P|CAikPKYP~y>{!d{3!#+dEtFd3OmcWkuzof-!p z^Q2m9?b<2U2<{bSwfE4*SPLDON0o$}D7xI)lxkGlx+Td%ZNIvA3!Q&3N<^d|6r|_vD7K z<4;BX7~ChF6CNF^5{4J>lbxN@=PiNTb=nhSLimK}!rtL|t0UCrCM$*~^k|rg5XL;| MySINeh;MKI1?LJT8vpuG0001ZoYh%fZ`v>veebWZ@;(wLjJ8rhS|uj2b`=w7(=<(10JBDf zB#RwXBz}CI4}u9^VgqI2G4{R3$H(`>273`wG@Ah5S>mqt^9hA9d6@>WPjS>*I-v#< zgB6a58~9xfwx9<7#!f_IvK#%D4S>M_blM*tTnfHtQvg~mqXof3?0Pd`S|%_$Iy80D zfEF0xXyr~Z7&_AhfME0m{J;~?pShHIYP}l(>;+z3)B~-?p9(Wx3xs{iwj#tU~5K)ARm!2++v!Nfswu3~aj{1q?NZ`i}@oef2=2mLs z#_1b>2kv)L++icR-%D{fo4{Q$<>o=O#50MUgN95|*hmzGLujd*zB#7yLaCy@Ii_7e znW|n&##&67dcLKr)+wM&Q7`3REv8%{HdQ-B5t&ZX5VDvR1n9n5vGO7mUM;xvODw3v zvd{Hr__eDU++;eve;){=;B~F_7}byi(YGkO{LEGs6XCN>naVChj^bkLBB|b6zuN}s zXI-Iw?dIs$QKTXOhC<-G(}B&i1fKxd5(3|!7D?$GqRzy_IgS?uiFn7B7M0;0?O9u=x4*XjDsoTX8d)r?*Ud%@c>uc!{ zzjuMB%`sEND;q%>BU8r664Mt@we|hOEQPc{N_ZtwLK!LRjm{*cDU#Aum-3KsQ=(Ez zN)dfkDJ_wd*8h*ndI8QV}j4)C*vw~s(Kt;MyIN)esgrHy82#5r>b{|noi}B{KtpH ODf#!Lck>1ub7{Y literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.map.logdb b/YL_pushcounter/db/YL_pushcounter.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_pushcounter/db/YL_pushcounter.map.qmsg b/YL_pushcounter/db/YL_pushcounter.map.qmsg new file mode 100644 index 0000000..7f04553 --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.map.qmsg @@ -0,0 +1,17 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588563869580 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588563869580 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:44:29 2020 " "Processing started: Mon May 04 11:44:29 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588563869580 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588563869580 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588563869581 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588563870392 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pushcounter.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pushcounter.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 pulsar " "Found entity 1: pulsar" { } { { "YL_pushcounter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588563870491 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588563870491 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_pushcounter.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_pushcounter.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_pushcounter " "Found entity 1: YL_pushcounter" { } { { "YL_pushcounter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588563870502 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588563870502 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_counter.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_counter.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 dec_count " "Found entity 1: dec_count" { } { { "YL_counter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_counter.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588563870514 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588563870514 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_7segment.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_7segment.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 7segment " "Found entity 1: 7segment" { } { { "YL_7segment.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_7segment.tdf" 3 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588563870536 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588563870536 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_pushcounter " "Elaborating entity \"YL_pushcounter\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588563870634 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dec_count dec_count:inst1 " "Elaborating entity \"dec_count\" for hierarchy \"dec_count:inst1\"" { } { { "YL_pushcounter.bdf" "inst1" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.bdf" { { 80 520 680 192 "inst1" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588563870641 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "pulsar pulsar:inst " "Elaborating entity \"pulsar\" for hierarchy \"pulsar:inst\"" { } { { "YL_pushcounter.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.bdf" { { 80 272 400 192 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588563870649 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7segment 7segment:inst_ " "Elaborating entity \"7segment\" for hierarchy \"7segment:inst_\"" { } { { "YL_pushcounter.bdf" "inst_" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.bdf" { { 80 776 904 256 "inst_" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588563870655 ""} +{ "Warning" "WSMP_SMP_MACHINE_NON_UNIQUE_CODE_WARN" "\|YL_pushcounter\|pulsar:inst\|s0 \|YL_pushcounter\|pulsar:inst\|s1 " "State bit assignments are not unique for state \"\|YL_pushcounter\|pulsar:inst\|s0\" and state \"\|YL_pushcounter\|pulsar:inst\|s1\"" { } { { "YL_pushcounter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.tdf" 7 2 0 } } } 0 284004 "State bit assignments are not unique for state \"%1!s!\" and state \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588563870906 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1588563871351 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588563871585 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588563871585 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "35 " "Implemented 35 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588563871690 ""} { "Info" "ICUT_CUT_TM_OPINS" "12 " "Implemented 12 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588563871690 ""} { "Info" "ICUT_CUT_TM_LCELLS" "20 " "Implemented 20 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588563871690 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588563871690 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4605 " "Peak virtual memory: 4605 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588563871724 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:44:31 2020 " "Processing ended: Mon May 04 11:44:31 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588563871724 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588563871724 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588563871724 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588563871724 ""} diff --git a/YL_pushcounter/db/YL_pushcounter.map.rdb b/YL_pushcounter/db/YL_pushcounter.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e84f8d0fcf908e26a99f3a34e902d75955d30744 GIT binary patch literal 1328 zcmV-01<(2s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KVs00000000*R00000 z006K700000001xr00000004La>{eTE+(Z=4f&`L5Tm=sZp(Bt=EA6K1O(;sANJEN> zcB_SrKz*vl_INXBF4kO9%nSTwctc#mHy-b<>jAv_xfiv z+RHFita)sCW31mC49C$6Sp5O5-;?%_(0U(R$C}C32}d_*)oOpv<9rpAVzwRXZ|1LI z(%JeAcH;Z5Ss?D0x-5`+%;JnYFZ7zeU}4SOCcoi0`U$IFk>mAuDD?mQKaap?V9cw? za?aOG(nI4#$)A<<=od8EiD_CZ*5{sXp4_7R=qR7eruhLKOV2H%6Jyb$%nPHlMYv&_l&Y4m$&nv1-85Gw(NkUI(cu{E?Q{mq?mOCd~a-sN+m!2|j zx@Z8Ta$Vr1(UnMO^pW;3FH=l3M}Qg#XRA=S(uyVu)A8DJ9-WUjxGzvzl!nv&9^Rei zKp0UbyjjyoQL;jE!q|CWJDXdC#*{q~{v6OkOo^217&$Oc?WXv+Z;^PHm@_cg1TZ9# zA{#=RN|!Kqq?ishD}AuQvS>GX#G=DWx0Ur+L~OwW77&RQKSb<>^HvnW8|(IomXb3# zw7dpGdW^F{irdt>KDp9+Ith-aArK3LvdwnO9dQW?U49|N+ONWyR+A$ z)LYxL3t@eLnJY3s;|AXQ5HhE#;8lhA7eRV~3LxC0349TkT{(AlquK7On0zkh^J{0F zz(0HeQLE6a+<@#VlqJYm2T2oJfI{O;l5-GiKF)7doHd=JA{0sR&nJ$OKO z4kz~z;WykmP%nu@$^2k{x}Vd%AW*H@!HlMNZs)Vx-CeO-QckNc>04gVX(D)1jNh%V z(RI-jJ!GoCh9ZiO=8v1;&Px+C`XhP6Ui^Qq*LvMZ=XZ$WvJa}O33~X;1#S- z+ENrnJn`~s1zyDI29H=d!jmdd8dV70bvbmm_fRrARQsS;`%Kpf=a6d&Xo;2#tM5@BEhVrB*l zMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5&JZoEKm}|J0zkFBxe32PT7;ll z+#N&RU4x-&8QB>efZ}EwckKsK3=%*LG8U@F#WBP&-qpv|*VPYVDko5$l|cbW#_o9d zN(M;790GAba7d7+pF4^cHJ~C6u)#GaOUi*9xI-XXpvE(DG8h0|8OCPm3sNHp#2|+t z`-_or;{m6vlxh)3wq}%`$ywx82lS5jES?a@6RmCRTWl42g(@5_1s|TD8s6!Etl4 z(lE;#c|jM=3yGIfy9jNjl^~E(ni^Q5k|40Q{tf%BIWy01=FFVu!gTUH9vrt zl)O{{OGIH4$#@bGfP1*Qxx#^f3;46~fV+n~;N}H`yTjbw;2yvcY+^DVg9Sq4Fa!V& z961PtV^0Ezczn{*WIOVk9SCFu{SP35(K17gmq`JC8W1p#A~vjix8lz|d703Hk)Aw& z>wEQN%mf6LX&!hAdc`FD$~I1C_GkU#m3Oeq_e-kAix%t3!3ti5Y(gD* z9lLW!@GF&ME|;z_Zg1*N;{V$7wPy38XbhI1A0GQK|ClS8!}FM0CVE#eiK+8!+^WVD zDc6cdIYJG&K=WwWA!M&%mL&Uah=j5cDP=V0bG zUD{YRX7kjU>4>8qanNlMdDGDBnVVeZh3cv{3{sG3Reb`%w{dxf6MDa{ubpQiwp*g= z(ybPb*EtsNoQmje75RKO`@XaPFB%UQ2ZL2g?>T#Yv&-|h4hBR*yEkQFfS{NO^l?yy zi5aqaLp*bBpKTqQ%g zn?_BV?S3hzj~5j0q9rDcD|lO{G6prb2Q`zDz{puedbFFc|NP+_(u6@E!eWWqLqV%jq`3W${ zo(+2w+G&+<)f*f2cSh)*`+doQD3Z*1u8)`b9%t8I8O|YUW+MaY?^`7d#>d{X=9@-G zRXbT|%BvDyT_Yai9DF&@U&YIZU>tGHSGkSc1FVC+Qpa8K(C{Dytbv;;bkz#uz{XheYxJX5?ZsgutUt$~8h$`y8 z>$o+?-=gNDs%Q0#d3GQdd9i++LeMK2;-8eGt^p-!B{8;6EBgtz#wDU?zj98>dgsYi z=1 zw|rO=R}uQxs7``)b9*Xj8$vr-dcIj3TzlKgQ&8%#xInZZmOB)fpXuu`HuJqnAl=*O zGG=@%?tROC)t8u5h#Zdd4ZQeVfwbn4&1fxL-5MLJxuYe}$gpRP_-NVOY-cb^(b`ko zG%`;dfp9capGU}$Q^>4!@quI@k@`8>&II=1-Fzs}pu=4`wKwwI^h;mQVE7lOJiFrn literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.map_bb.hdb b/YL_pushcounter/db/YL_pushcounter.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..18d87143e82c45f23df19e6d528c087710cef501 GIT binary patch literal 9071 zcmZu$WlS7UkjACBv$z#^in~*+KykOleQ|fUMT;#Im*VaYTeP@C@#0<_u6LJQ?vl&P zyf2w#W?tsU`{v8wz`(#%qrv{WLH~}_Khy@gfoz?fsJM98IoY|Wq&#dLEUCD8xT!e# zIJmeuxH-9asJ;T-JZ&w2RBC1x_EcO{UlplzfaX-PmbUKyA~&f1GaU@fIoW>!OdHmJ zqciP)qc`kn9-2R$Y~CmX%QcdhBzE#BOlUy^-HK(Z>@Y-yzLVA`OPNr6zU?Rd`PjMD zPk18PqCa_K-}@dP){hQf{JWxj3u#b#BDAn!DZQWCZ(MytIW|L~q@MSIy~mZR)9yO2 zepa|CMjf7h9UaF8@9({DT1G}jb8{=r_DeN+%iJi)76xmAZ60>!gO|(m89%{S@W~DE z?VtG-1#u0?TddX2Uzf$tl;<) z7rD8vGbU*b=qZtj$cMjmP?q1(4u;v8S`2I|EDJT;nr{dsjUk%#<*+j~ps?|MMcB_kEv$1?W4#S+YouNR>8ParE`L|KJsTrp48@!@ZXrYF#W2PdBwmVEo>Xqpr+K zFq}QKHn6G&FzU}f`7Mg|kui#wR$3ZL#RiguPjY!(7La?9@Srw5buQq++6}{R1$~RX z)F~DnQYJs-;-?TNf;)LKU9s{veKAcuN^;3|_g6T8Rd~Z&jijsnDPndmzZ$^n;JVKw zgi(R4fmKYQAe!t;btiEgG7ta19eo2=eP&sMb(jSik&&uf0$g2YmN!Dpe7~~@LjCH6 z)W%y}j$WnyuC=>eGNb}gkE~9rsOy6E zml?nXcJYb6kM7foBLy_Pf`Sre&^W@SmD6_pM942b^&KEGWK0ye7uzG5aa`5Y`iCE{ zP&7hBpZ{0&=On*9rp_J89P`h(Vn01m$C(64qtg(RMjgyObD+Bh>MNzK4a*aHeMJqw zuf9?ICiFr0F=5WxFWt|0pk7P)qFbKnb79m_4$*!A0IdWDtsmNSt$g$Iu$}@q9h`O9 zMU8gOl2tR9*zOgJPoFgp+{DZdoR=T_Ia)ys^G|HKJc8T)1ROKg<;k1+H(mv*!h@m; zKMyQsvL<$YdF%#zOdCOHq5NN;AKU^}j$Ts;QPK^Tee%QhPeGCicUQ{YgMxpOof*Sk zPdjFh!~F8uqpW|YxrpVpxsDIfk!#Vf&)JOBiR3}~u-UIz5Y~S83p{9L^QEj94(3eJ z9^WI;vN31=@u4NcWkZ7q@@WV*-J;|BA;*Tbe|StMxOODCJ|nn({P39g;Zf_ecSoQ< z@pS!IjkOMdGK*OKb*w3F(@&3_>rCb$)FY>qvx>Vr(6uyil{@R>@;8T;EX)v@3(Q;d zgKvF=Iw@1tK^806pNDqkMYdqXa;0a7`L&@OXx^ZTXk+tqRev%wnz~?~?~iYJP|EFn z%59nz1V1UUYh{)Ac5ws{BPQV^svWL=#TjE&DeiuQbC`qbg8StNPKBoDSQVG}YhFaq znbIrgc;N49q)}8*id&%p+1i*iI_c)PiqH;+l)slKxmiaPQ?hmCAi%4qwZ6K(r>LU2 zqI$R(R2#*W*y}i0vlE-avRk_{ttYk zWtd>}2iPIKMRJAfIsnQz2Qy2UOI!+9s41rD>0lbLq%~v z0D$+=Nsn&M!>-*DNf7z`2`2a5$sxWm{g(SDdJ`<5)s*}1SBH2!jW`k+?;xWmmZK8s z6M66-uBg9;Ni^t;dEZTz?TM4t9)t}_LHc2fno5bRwxGBKC)~to`8(RVZ2VaVq6|XbVI@> z@s@8QS;%xDj(m6uE*3Grh-^3W7>zcQRG1pp3+)3OOf_0kU6PcR4jtSdulW`$Pk9NhIlCoZqC85yO(Nfr|MoT#XBo8fDMYPOGFH>~pH9fHyx z)7&gQm_^km2Bh*Q@;Ul)+43Z$@+5MLt?Z=LCR@uL{*N7I>wD=)V@o|4ox)Y+0t~#Y zCQF)I>mm*2}bU6iZj4E3Z8$85sJo2P`zDR-J2*Dn6zeRjYtzM%c61 zd*6I@_Lq<|O-j1#0uCy}@|Q{$5oTEFi|?lrThd>DW=wdGWW_5vb}~S3N6TJ4ArxP| zmX`O&K#wJ>H;W$|^$iTUjeKfAtLcX+dIpXi26;LUjUS+kfnXg7Qz zZa7S{ub$1n3e1WF4O4otEFCf*j)4-CYDC)4bw>V5W-9AIesFABvuD)=vYnj7oGI}I z5D!XC(~=WiVcb;Am9{qdH`RO|Q@XaFJk+%&y|BqoGpP!!5Lp{p5Yu=(^nC+u1Zlj^ z`QJY_mpzv)UP+Qr=AS$^KY4j^n4CN|b}j{dU*pKjN81SeDOU0R`L34Nq~Xh0=4t-L zb@M92O8!CTMXlXk(tKd2UtskccueV^O?$ohuW=mJ@&?ts=B)QLgPSuW>fX4P-m;t@ z4LKUCvu55k0;`vTDqj4bt$Xg2-YR%aDoq|A{b&P=UM?};y~Yl@@hCFbMQ;h%gDce} z;eza^O*sa1usIQA=%{JJ#51f>Vr9^Uw~(!(2gmVfWFMwPBPDEP*rtghgQIorqT{cY z?O$=n0w9Uc!qNzyRP9nUbruyFWOSD*e9XjbAtefTFRZV&(IlrRcJ`HDFxIW4+}Qlj zaKg&?M_x@Wzb#RDD;yAp7d%Nis(=gJ=tF8lO;KXW&M2qpWMU^iOQ{iAEbH+J!!aOx z{_dx5_ z&ETRm6Z&{Y_tinM{exS?so<0n#3u}RPQ#hFNj<>L%P}PSTkP|iPW`xmIkk`y8;vjg zRjY`llto{|!V99ouCYwkxDWJ{NMD@et4uR-+G&tE%DAM$8gWxZOmg3CiJm|Sh%&|X zv>sf}2pF-SGR8SCNHL-dT1IAf$K!JKA=v5$vY}VF@^JLMTP*PdP$$jNqRdZTuY zEY^`x8%8k~6x8VCVNoOpPF{Ai!jm!>a@GD-8qaj+LXe^z>U{_fi^h-nB4Jmszea+J zyAvmX5yyeM=(fiLH0I~%%?@bR(#!`hgFl-akfa z56jpaQuj0BuyMYF!xg|jifa6yba^l$uSh*JRN^fMAI5YdB_g{zs5vC7WC{LYhEp7} zWPMhPj6kjRSG`w1`zsM?nAuJ{kP+CmuAx``7^h7z|Iw|HWCk~jStg@_CTuq4+Nv#5 zY9PInoI`~kUzf!86uRQ_xm~TtBZE2_M^7V8Q}wkwxQ?8X`Qj&sIpA%9S|d$t(#qy9 zmL?n?&&!%+q*{g$>PtyuyaAGBi43%?aT}}M$Vys?x#-p*`4!xvR6>y&kd2ErS7sM` z(ZyG6JviXdZhaWPQ}uqImentH^phhOF~9P2?M;^!NQvR<^p>i5dA~_|aw%`fL6`Yi zx<#{Pcjd_9IMNE%TlsI4GL#~Ex++jGu`|(ZGJbC@4ulF<77atJ~ ziZwQr=EcJ%&4dV5smbNs@KY?0yK?o&V@lpJHCm?x?!DE@K>ebOl{(Eya=Rw!nzM6h z2W#u32kDihT$7#Ak4G6#RA=zElnT!htH`rr$$vu>pI|P~XaA4jIyI)y?XtNloG>=5 z7%)*X;lIjY^8hsOS=wzsf(<;~YBQ|s-Vq5gh;`yne5bV{e@+@)WzEc}efT;U(u+`- zotR$bwtegJ5@E_%SfM3aML5NMH5mLW5t?7e-^TC zOCV0E8a)?sEd@XpY;6I4wNoYzr^M;Z;VvwcH(`fIVLd2nofhZ448SKQK9`P`0p3;T zPjxwafdXE`q`Lstv%t`vMek=hzHw-HyfU1tj!h2h9*sJ5xFH!UR_fuQ@^@<)&=OTu z$}0hD#=2pbRfHg#1RF_6_&YaWE@ouhgd-8AGszlv3LCy6=j$@-90}_O>3~uIN-w|O z{}t=FA>YFYeex6lB}pWPRyF@POrqT!-LVqkj^(`B5aBm zbeN#pm5sTj^plB}JNG+6A<%GWz>ffwkKtm^`~V-a=(Wi&!39T)<8be}6{b)wlA}|> zob&{=Q8;i7+Gxl&;;NTME`BKx=$E*pFgWX*lZI!xCqwm+Uq2?GH|~;qeh9yfMf*W? zWz*2rBZzNl19HafPSki9Fi6)<%Xgri1cEFl4j1TJJS!oRuzc%n3RF2St&-u1Cn$nrf693U_94gA~RvW+0 zKzlz9h3CFT!S)j07mfT)sED2X8ggl*?y%$_|DD)r#N`FXMI`g2(Li824g)z9EW9SE zpJLw-`0IlBdtwHVoR)TU4C>0Ak`CSl?jZmK z)#Z$7Xc>M&e{x@I00d>_R1rhp6NM)+^`OiE0N$N)>`#7JA^FxJ7{l8&~m2La*q$tZX`#M0;c79xQI;i}uB-`<=ID8sH0CkcNfYEHJ0BK&veeqr)BkXpy4cr$SDdRpRvxe=3<44trJ z?djU+@!Y%y#M$4y$6$#j#zec70lt87^@5(rhVm%%A69cWNaeccQKR{M#O@h&ED+D1 zyt973u0j$51+0X60B^Jy`}q5h;JO1utDfA9+O`bR&)9S`wM-H;a@+2RT)n=X*vo(7 z%gsvm!w~2Xqrk5DaCh+ZjAnnm^5$>SAK0+ZT&NSOeNW^ltLJVYTe!x8lUJ+YE-!mq zsfey;1pXr>duz{9I9(Zij=*+X#7_0%h@<@}mN-3D^wqK6h$v>Gp@{-;lnM?6Fu`rJ zpu1??|Cdx>`P(x<0x7L}@WhJWkL}GD9V?d(VrHvrnT4yZZR%@JC-jE@ zaH$UR?)s$?MfCik%&d>)pn59MLWA6j>r^HEgjl(9@b;KrN8i+FUk*d7(t;R~x%bpT zf@%9Uuqr+md1zycKW4~EZ<0_QN}}uP=8rWFF=DKlg5*u-rJoJo9yNs+&*knvV@XGM z@@2*h?NcH28dKB0GtyF~g1?MIi@2|ev0uE_twq?;eBe;51=|$&o;%J`6BzohrW(GB ztu?Q9**16OaeoPs%BdYX!SL!>>4;JKv z1*yRu{6?5S!{v;_<5cRSJCcr~+rw0Wc5_mD5evL{-4zdlr=9FCKKrYuQSG4s1U2Lm zQ@}*<+Y**Wy+R}~RTjN}$dGsNv1e9nYJ`PfWptp5|) z)$*lMuwhcpa#Nto;Jg}Z*pLbAZd;?1o!i849%7{7U_;5>%G>5_8K%^i7MJbmG3sdq zc2Usr+AkNm-B_OElg5>r^;L&}IhKZmyM#qh%0jg3wjwQWDnJfjD>nY?+UubpN=+*j z1VdOgVL3|CYfrGDM zMWp-*X@S#3Za2bxS1s{q+Eqe++B++0Z~pbs!rOtxQ{l2aGfFu71m3_Jaq(1r5@FDV z?t5gx4Gt0W3+x^!p|UDi_LCSh&+?q(d*Ra!)uT~RP>mAXq6dci&Uk0D<6qee7Rga} zxZ>w#})S@cnZARVlsc8MxHdN=_#K_Kkaza?70GbG~^&DU~-9d3}{*AE-FvR z!LE&z^X~4H6SW5wV{!j^tL#&IL$pN#^>k`*cT)=SG%0}JjA}=#P&f(r*cGthL>Y~H zL2`OrAQ_>Zqtd9}y)p#i5Z{6H8`7ds8ETsZ3>cJV_!`niopcyB1+kP z4`tkMrg0@i`Tpz`E7f61y}><8OWVzTEd)4%r+I9ljPLwH2$Z$A+;dQ$7Nn`yrZeUs zb*qsRLjHlJ*bR%qpz%Ob8&H6uwD>5d%5O193UqZ&0ZRgW8smS!52)ibwi|lo1cU@I zQuF5~8{{XGeugH{?`^_i;!UADrJbd3B&#jUxg1;e!<*K#B@DIFn@%b9{pME~_}r>R zH?<^_`uI$NQ#8t3&wB0NA;}}6myD5aQ0I5wf!1lmBA;4p0yuS)SeZT6S9*4ERNlB{7Ffz%cw#;0H4#%n1Te>h!`Iq24#>oL~S`KJH4Cj>kS zVjKGj-Pm8}Ek1{s1cx?qD}CYMqISQO*INMVFI070S)A}l1C8uOH`^Fr8@Hf_qF+-^X3juYc2yCVi} zGohovj_Hja3ZuD7R(R2(qacjw4Z+sG$5DqC(Oi8~5Md|14rknB0(M8){{{;h5{9`;6lCRn^ILbl2tsc#Vvd&3UyihHdKYT2e8x|pT9Sq9`^r{7^b z1PZ(J+WGFn@+DtnEU~A{Z&;o~m(On@o1P*vkm8}tokgMeHWAwiVPqBzgej+`gxS>_Ghyx?_Q{6SGKz{-S<(w$ydtUHEhl0N}T@MrP7H$lw z42>q6{VfGV_11r&;31r~KFv$m{`*te?W7{weKb+R=%qCi<{-q?9eBY=K5DalMaogi zGc}$Va}$~9>i%x~_9@O4ePr(CGbH9_z+xxPgDi;1A{(B0E+|$YsBlQYsi!N`;yuuz zc6s|f3%%H{*{NyGK`uiuagDSzrJHVaPlzm-^t2D8^@&=Z1+7-pjC^C)H zY{~0|Iz8IvsnJUwbwK1Go%E4VFvPhvakQeu~)LsQS?= zMJ4YHKbWH^>Q?|8UN6%9!`=X3zsiz zrIBaL|7Od2N`uSjmPpFsDPV2s7T04wyD^KC<4kQ8k!7O!_>q3lO3VB}WCt({rqq^Qjt@PT^Z4~QD<>^E@VQ2FpCaRosv9+dK6>Mdz0Y2#N^f7mcx)f&$RA7RdiXK#^~@2mPAf?Vsd{Z%h-@@uVr)hXe2hki1&3E{_9m|Zqqej z;}QSy`!;^J9`n@9dZZC)Z-I_^7U>79NWBtn*xl|K!Ds$7t&-1l@SNr_&2oh9{gL1$ z&O-FObVhWuem;0dV&N6Njm2oaeap40ALerXA^H(E^ud{({&3F;Wk`8!w!q>g453`X z_cJmV6uBOiN^aNGZ}R0Scz#Q>Re#J!`9uIzD!W})mul*Y1!mSu6iB*24Q6_-{t)Bg z<=V~MCiqe{zC(Yxa~@2ic5ld25<6d$^tz(mFV+?xjm+&*&Rxqj8!=zGSmr0Lz_F$B9`JQsoy3YIkx^}AWQ##U=FzYZt5?KCG&xfyCM zcN{;1)0H8&_*h#!LGyVkPdVUS(w7aJACP!ugc%++bOr}m>tUtKEgL)0N7yt#q)k&u4goc@06^yI9nnmG;BD@o%TyPx0z%gIR7ppXvZxN|u0}u{1B~e!db9y;$ShkFU^QT*Nmb$)?#TMW<%7 z)l^8zsxa~~vN0*HjA7>CQ_)JJ_JVy{G;JO7CG^RVjBSKJZP$)OXUUqa-dDPR%`&?& z2-(lS9D+;_l#k5PqLgF^US|{EZ&Bsa$ga|9D>~JFQ@92{`Ci>j^xw+u>c+7%WcM#T z?@a|^M;FqFo-oLKBb&|)ogL&b9MQRY(AKHvEKo>>rEib7&U#vo8xf3W^plSr(N$^a zIV1SugedozKRA}zVf`se$+Dgm!%7YWoaDbO(1^IOUqMHC0MI1P`gs7CR14CuJf`Q? z9-Kz0KG=eHj~^aaF_yTBF~9z@^|7MV?{UQ|MN$u&5!sjmG4)ZU<9rM7QW1^qf@&C$ zsNoZT-%^aIM@37y);XEYLm2)o<^v#xG6hhim+H{cbJLU z--`w2ZJ2!vk3Pq)b_n4KIyH&G@xZ@rQfw61YB{gYfwQT610<6FDJ5rMC_UR`TRX#U z2aO8_G@cq=@PNk`3enCzEOAv`#;50k)*KZ0Me>{%X9Q7(eKz-t288SIn5G5u^d;{h z64$)=b30;ur&al9zMbJczu^nu+eQBLgtVkh`g$(*7wf{Ts-T}4vol$&zFU2fv?-Wy NN0n`UruR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}mE$jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&ZaKte)7htU=vwjiE6 z4l++3iO4^ZdLS>tB$SxIl+5eK#+EFZ_@m zGj*oBtGegZ7#0*16c`2iU+?;_N&G`?OBYugCr1DW7b`m}2SCEz#@+(J$;Am^=VjyI zWaDJ#-~wn^x_H=_TLRR~%{~HbpYLEIq09B9iU-V=A zFM2_r8KI<;NvCvu0)3J8W^no~3~$swZb8dshV{(ZnrxVnc8i2C@64HzAZKjBi5wCJ zzmAcD=Xc(6(h`u@Cb~!vg4ESh4hm|oYOkpsB#64fhTDIWd-$koZ>w_hTsZc1 zX3j^dT6AU!%DegOLG8{?)}MPHJ1pl@}jY3!dZ%Hyu{SOEMkQAJF^bZstHA!(m4b z7ccDf%c@u%YiO9M`aA98_Qss=%jA(|FhPAiqcE!9w$l@397I8^`$}g|BrMc<dT%`<)cM|QtafN( zUf|wN6CyLF=#G&s6z_tvhd<1cU;t9?T$F>;D_sfc;&4Pqh)=y;9vXzBHB_cr@u)}oMuKbdFm4Kl{m3;;RWrB zB*_DBUVSqN`qA;}7bmU|v(WThV$Q+4^ccpChV0U3PWPD8R#=vs*&co03a>y1p&{}> zw@+e=*2Pk82eV?-Zb>yR5{}M-V{K1!iX__t!wfQ+J-7_4!Jw*GQHDHWRe4w|SbKo74<#6ETY!5_F+Lk%UN>_m^y?w&_ z26>4!f6evu=+~&M464qs9^cwHzdL|!1L3}<&ZW+cWJ3T1|RImR#8#7@|-8JGu zP#Mj7o80IrY;|}%wLd$SbGYT55o&E*!|Pj*_Iet!&9J}{dHqTl>Q{Dy(``7)qe$5) z84IK2lG*O#(hs~XddbAxUdR3M3j584&TD-r5Ko$NlRpid&miFMi#WS?jXBO!=9zl>p|8NY zUJI)E$LJ(n$fHocR!v}y@rJIa_8iHqy|f(mDr$8`6`}X>aw_q!=xSa4zUupOWoSk- z7#&OaI7|4NNo3L~WW!`UuVxgvTJ!T`+|gaHZ*Ws`RW$yVcZIYBszzbcT7L?P2r9aY z6%p%n+-p*CW!P*-YQ)hD2`u*oebntdz8iQP?VPDq3zj_6&Y1?-xm$$CEzIgG*1H&` zfSdT``Vcu=wS(Mw!57nwz01$8hAX!8kPVhyoLyT|o^w!R*%VK@-xLvKux|sEcyyzQ z0VUx+Go61Za$?Q0$;~*2Hrpzel8Z>|+RdYnP}Ld|MM*b1t|^5^$enavyrCAO6xh)f zQ_xa@Pbr@$LgRMj*0*<;I&+__+q7VodjKcN&#z^I>HSj0UlILnAqMaxPfo4?bGyNa zklL>~+B~eq1fXZ>@M$MSd8&R_z+7(U{JD7d{9YO2nq;OQr~k4(GteLu=QQs2<)I)$ z$Qvybviy8K!@}!O(cDa~wam%O^Oo&L#P4OlBAm1m7!3zF>J1esAH95B3^0L-eOQ#` zzN6GohO6jAcNW`~BS0aaT2>L{>uZ0bjr4p`#=d+Yafl?=4^itS;$BkH!A3i%6mY^w zD3PMqeVfXBPwGA53OwAm2{vrwbkG zGmNJ#_N@sBDViDAgtxkiruB7H{WBmkMZUFcV#nyz7VDH3y`PQq0ATlGZlA~ADFxo` zp`$7p=}NvJAn-m^o%D=7O_xrL)1IYZtqVaNXJ~gD|5aO;G{0_#+x|UXx$U-!l5rL4 zqiI-$Y8Wmoiaf70o=!atp$zr*L&!i4=9bKv z!T8wX*39kRBA^mL?y&NU_ySZrsT~ywmw_)q~1@H@|beQss88>wB9=}_U8#9KV%E}wsGEP zmS1Qsr&^Df-Lm9|EMKlRVh8N!M3$_V3}Ib_4n1TZA4^v-91&DEvX1ZX1CaEBq6e*= z?PW8ovU@{4i~W8nF!vPiImYM;Z+CWl^cW=$tA#@nx3;&HxQHpkXVZJDutOm@BJDu~d{uE^0CAF|yz%JpXnb8LDza zerXim9}ce*zNZlviR57UVnT`*#Eq@m(gDrIGqUQxdXNO@YYv3%Pv?*H`BGjlw`svk zOb&k_&H<)B`o3`lZny2H(04&Wg=D77HbkrOXc#@(~LELybk}`gVc@leh_&x8FMzwrkwrIogZ`PWSub}3W2;7q?k&2(C zi~ZEY-GD6f9vD)k2DwIb@@?Jh32~2s?DqUaA=qEdoj+G3vH^63OAN_#C8OZTwGJf`>Bgy4J*V?d6zFw7dVG; zGF~g&Ac{f7J0|kgU1bG3#;#jhG>JS;7w{IQi>{ikD6gKcgm98yYa-{}gKYC*HlPI#nBGP$Ag4+73*~`DTcmL@g#TA>RAT8%@x} za`N5AWN^Zyt_NQ(F<5>;9a)wbgDgtUt=h)8(MsI_qC1!L@USTDps2st7z-}U{~Vp> z)_BU0%vz`~@SViGbo;jKJyNjxSa*GOL5HW_UR-}+AdSO#f{lmSg_Pu zo#VY%Nv0jOjMD>pB3jlUYh`69t=iUPc7t=V-on1x{Ce37lDSwnvzR)g)>Aq0=2del z^!^1iLZ_f=<(vJ2H_FuNulMr4IFY}M7NuJ=2i@8%fix&yub)D`P}^S229%sYq(2#u zJ*=6PO~kcr)|@2NM4NQ`k~z4E-}9HREV6m#6|Ie7?;pS_2VVQrR;U)axinsT%n+w! zmArlwYzV*FN%t0QhiugeuMRJ&=smTcom2|h@OBou%mZq;Y9W>jM*pnTV&(FR*)>j7 zB!i8J_t(FBpB&U%c5>@veav#kwXLY!e2Ek_x_A2nv4quW%^q50{Fju(`mK6JAomVI zz{^(&!zE@;qpt13zg-2|SsgvR3IT>zU+HuzEd^`f4fbNFVolqnF`1>4t@pM3?UJ-Dh!cRwKhk zABTgTV`w__ps|9d|APBqei(`~Rlhov+V9!Zr=FX=${!pZ;%EeGKQ_Pgy9N!)KyztqIRdiTvmInsVE{IV*XSm;QmixIF zW}v+p)aOa1ovqS~)SGMsZsn8ba3dMxe|Xods#XT7EZrvGz2Dons21%ebBl^D9mlSC z)}%Q#evIEgTQBA5G_2G*{rOuK@AUO!d@|7LF8)qYl%P;1=|leB=_mQIPM$x_8}<9~ zxzPGP$v)e0-dvfdzm#ovt4f~ZN8%aR`VGmx*xa+?4M_=~Ek%W2abC9*81^Opy0y}w zBmFc!`f3{pLFJL6uA!6!R|-a7jbWft&Pf5=ZuqgDtLP}>!%7bsiGV-Tw5(~ONY!HH z=B2fngVm~5GMzE2=nDj0MWm4fY{knTt#yrCNV~reVp@Htu2T9(N`y7bQX?6?$#`9j zRSS9v_VUkbPGrMG5ezUQW3@G#0c#A?3&g%*SsjUy5L(ehDJcSL6zLz_j5t6VDGgDd z)hN?Vk-U$n7&Q_@@`xm821bcA3fh-sqSR8`%oP$e24Ua$0F25s*<0JmBNFG zw-X6N{+5srPM`cfiGr0YWOV_4t6oMFi=^VDQXk0Wzyq_rK&oYz9Y$4|87iCV;%jBf z4;cixKw1_~zyUnHz(_jgLf_9s-cbfuI8@j&@A5oUGs}@w&#*R>bE&rEC(`&9KqRA8 z_TAwVDmqPTnt{c{f^)2>1!4Me6q?F%1gRZcMgyg2Ww}pW*vVB~u;&s|ArVb34^*;I zN-4NqERkWdcUKzkd=-5$VdRl9Zz0*gXbDPnD5`8cMic>5_hj>-;%T54Rshv^i3B2Q||K;NI65UX6p^aw4z2E4TFLg>s zn?E`KUmBS~7TeaFE1(Gv>Pj&6hnoJ&H_lvoX+Q= zy$Wpr1DPvqL6sRmORV6ZIX1t0(6fX`G05`tDZ>_aA|UJm&|bPi?g7{BQxjT-6Jz%) z;=x_3T7|p~mZwkBSB+YDZ*fUw3G}mKTGK?CWJa>)eC>-pU-o*Dx@ORO0i7oT0SdOT zG}=Fzud#wUkOHCl}hRE%G6{^#C?S?sozaH`o|KX^Vv4yj zR_>Cr^9q&xg3*5zR{fv_Ij2N(x?gNk$*_B(1LI4DVcNcoT?KIV(99{}^oG_5q0YM4 zjwspEci2Y>UZQzfQ*OkYp0A=8au7G@6GN`%k#Sk_{S#!q zjh@6*_sQl;(}LD~bTWPop%r6KG~q@30=5-HxW!LgRc-k~BXLpqhX4P5kIA+46#hjV zXFHp0QhCjInTc%Rn`BBK!}f95)qVf-5Mtc*Vq#dHv53MD(>n0mz5hT6vlYgKxG1P* zE;U6d+iIfYEts->5#6;cDw4GW7ZcwmexH~Q5~@J%Z)7Cn$lQvKdGkH)AGHaUQZ?h> zDPzU_2c;fh$pxtXVdGWKroG57pksB5E`GIHFeYS4EBi&~%X*RJFbC(JE|Ci<-~zdm zXaSynCd|G8kR8g8R(K3r8cRUqw2%PW!w(o0+*;5QL@BW*W^^c8^f^?7tmh_CzU1Sy z*>yNgCs+9vARc_-hsNXqpn7_q3gbD&J0fJV*rWQjqy9xCa*0H3#l`e2sZGsTW0ZX7 zGKwQu_}e{;g_VCrjdi^#{!8pwr~R#u{Y^E*x9$VlRBZ3z0qyQ*TXc@U$Xm+AtlFR` zttbdP#OX~LD2xDF9gm0ovH-Q*wH?1xSSK2Zm4Yb~~zCq?9G z{Ja<74B}?9uXh$u0Ft61y%SUm$Dw#>J{n3|MWE`zfZFj?lQLdK0IzU;SEjAH#LVbF z)6hKA5Tfu-_wOulw`6fcVBTQ;#}E`mL{c^^2j8Ra_1|rO?mRjpL@0DBD#9wpW)3Bl zSq$VLXKw|37Jq^&>*nUy)l+9hPeo)bd7}Rg5=Hy&IL+XWKC(5LsmlfqcWe&Tz*|Gf4 z2E!Z2!>pMhMC`e~@2S3pGFuf5?->H(#JRMdquxpi_Cuu&$)kU#Yx@9wqa@!H z-wfB|1Q)v+21iY(X)Qjtj3&rek%DIof)cE3oY2^FN`mWIb;$A%Q8!`t(fl#2`WA!# z`avBd#$~LmLOJu@z>ClGesr;8o~E~Uc3PDZj3~8?Bt%0e()Fed$84a1GLesY>D@iE zd_BH7Jml*4q`}5~JhVr(i{tq`u5<2@6S>r)wyFYO^?++^lH>>j=Vkvyz6a2~fDihC zKG~&pR*5>eGQ;mp`QvO%c`(*6LmrI{M#iJL6Go+Gzm>qcrvr|#z{Phg!QWyyfO8L1 zoL>U%r{a3b7YLGj`S>Y2k;`vX0SO?kE}4=ojS@1JfCJSV z2wC}&Hg1uDss}ynoRG}9#GI>6q;D)-WGL9V93S4HgZ5bVAaCIagY)W1->xO46a^X! z#%$a5m8R7JftV~gE|V!Q(2#_v;Y=BCHy^lAPnXkwZ4%&@ zd}S=^VUdit+AuLofH^(C4hGnZL()Gv)NdVF4oo1T7owbNN48t;H46QB%Jb@zED62p z5Ks5-^v1{-xdkiTDG$Q{inBsRxJ-=`pl=IN(>pm$jkBR|lm3mkOdp_WqcP62uv$!H z*4~Mn+2GMXjB@Wdr;nRGRCf?f;Ajy?6GAP9d;e2eOg(}l4EUghIuo0Cs2wjrQnYi) z#?FsR)4a)aPR*$(ZLo1gMRPS(9Z-ab=tc4#02q0IRb0X?rc))Aw?ZEQ_wH=*KiGsud8}zQ6kYKBOGRk8<^EJS5<^iheAT`_pva&~( z4ey2|?&wQ_3}?4A_VRF1ue@Rw^u>9J-@lsvls{u+%yO6sx?o6>>4hoh!F#t#${im8 zl*(%WR>j3q7Dw{XW9DrAYIV~EHER**^J*skRPe&O2HBp`I23O!TNBbeA+Hm8c`URI zlv>;>!Uxr@?;7BPD31`t*U-Jq1^X~vsdzE3;M*r}A&X8c{KnOqg;4-^1-FB31!m#0 zH^)YU5;VU^<3A$x-Y(zHmrF-t4InL2YY8`nTmC}qKhGk(5xuc$gs*&Hom|VwereS?4K@GkJ3)LC9iQBuFjA>NNYz7|YoNP+BrFx;OJr z58OBDsNNDlVFt-u=m7_R$5v(8qjv2s7V6)~k4J48dJ% zz5trRn@q?pv{|hOc;M2;Iy8y#%re*unhdL3Zmc9f*_Y#tq+hr`#`)@d{)5CKvi<1s zg#j;2cfBKz5WX%th$)rroZQB^-U4g3VtWBfAw?LTus}-{o~A^rQ;yc;UGso)_OcGd!lB2WXHkosjkelbk+)T;xNEQK$JtO)9go1`Ba5A>4-*5L<>)+zk z&vZOIc+nyyDTAep-Av8gO}f_kewJ&aWZY!5iCZp>AJYLA6K(gq*GtyIvCO#dVKfl% zZ?#?EDJ!PlG5Tq9nrfd5>1>PrN~62Q?NKWDw7F(=pcB@Qz9Qrh{*%-P0(b3YsDD3f zj^)8F4(|IS6k0_dOjMvpZFl>81#fB0miqu!hv7IGEb~u%(O3zF^Zpa~Z7y-7*jGKG zo6Deb58bt{-%S-@BUhcenbACg`@b-lYj_-L+IWK-SsOJM$i}ZNIyceuePkH@Z;ICs zPN~=?3OfDM&x}~k?f|Vo9<$uYj><`G^}f7tZN4>XRMP!WrJw_)yx%r!OWE`0ye$nwZ)m4W-oPFUkz7TML+is zzNsgpXf}0LmfCW+RM|EKk(7&+T8Sk$`zV;~F@n2>uJ-~3)qN%0GZI2|3>DqfiBv*N z-7pib9=j%3CRxF>G{(+>`j*F^J`u@Tm%2ff?x_euii+Fgf1=7|`6Zd!$M(>(@Y)nY zBduNaKU|!S8y$aLi2B1(G%`GTRJIuiCx`d2Y5b1Ry%{0I6{Sx7MS97*XxOBxbeSL6 zW=q`P9t7>syt~^!c_y+eljlmuk&}_F+fuWQ6Qdap@wixf_&T6u4t0;tJ4-s}CQ7Fv zIN?n?$1fZQRx*#Oi|sE}aSd8H?u_M*aLVa+N*LO-*^tTNqJUj))}iV`g*rDKcwCRik-IQ+0QWj1jqsi9zSsqc^wr^UWYHUe{l8eoGNV`V(#{`7GVEsd;e1Pqg~oF{TBs<_>~G_5{TY9I{UBVo^~C~T#zLrv=A$tJN?alk2}Kaj zMxWLbI+^zO>yymi4eLfeV4)DS0QoI%P!l$G^ z_2Wk7UXHJM=jh~rR&u%QXgzE{%zayHQCed|K%(S*YddH1iL@n-J=RQE0E@TWSS5^9 z{PCG^gPGEK>wrXS85r=@8q7UQDGs(RvY;&wO=ZKC{cT)K#?hXAc9ER3v+I4fdacBH zJ#ieKYPhW_MI@qLLM(N~QA)cT(ri$MG`o#m8m)PMnr!0RtMeD%w?Mp(`zX zZD>QuJd!mJ`(CuPaCz`1)jmK&%&)xP4+FtKjouljaw0BGrnY?&rqP~`H@($-C;h_Z zp;sx-&t#5h#VZWG_jgA<^O3K!gTlo8J5=;mevhjg6WAcLz!z|%(cht(6@BwWssiiP zKS%7Yq5Oj!fR1&a5*>(IKwyJCa$yNB#+`Nz)|I%u3}toEmS>nJJpJpqRfbHg9qAm_ z?RUTK;S*WMz0CT_Pyb#^?N7p+6#SbYXFGZ@EpVm>VKsOuWWNolbs+C?!8VX_kK_LQ znRXTmlW2YJWSM$$j*u>H?)4zI(sfx=A(W4YIL?K@z-(x0GZ(&snJQcW%#4dy{FbN( zrUetsA8i|#X!FAjzorFZi66zm(ubgR3k(x6=(uOW%JLuwfX(NrnOeGI$s~)&^d}cn z5i*T_?d9yi%rKu_+|QshwQQ`%_2AXb<>y-Nvb34hvwz>$bCY*y4Zb? zTFF@q!(4Y@eS2MvwZ%6~QBTlz7>g5IAo`hzDs?cmbsiN# zIkW8H;=LynORQQLIx*RT?C*#v@x>p?hY$)054~4pUqbkUhChArDgM)8C5haoW~}}7 zmcSe+yd%-BH)ieJOq3cq#vuRDHYHsh8)?w7CL*IbO;pG{X7G3-PGO3#`Mhsf((98p z9_2+O*6k1vi&UKJosK+DU5-kp!HOWk0<+sXgt>H{%4$#xI9H=O%?Ag7@e@Dkf=HzapmR&6m**e~U@kJVRAIAKo|)*<6HoV83>> zfK7D@sH2!uV^LYVWX|Cx!z)*jyP>B3gkk!tiOI7WjNoa(?5fI>xC^6PqPTFLJN+|( z!h2ov-EmU7qSc)xmA3KM9?_uw+JgX2YaB~OQvO-*D!T$CWX*u%mKF z?ASpsQkqs0*mNAk8pCLk)GvxOIH*93-h%wN#ab+U==Ke9-PbS2sR=MwQXF!SCc65D zzi|aU-PP5{CMvEsWF^A)xbRySN}mS!wfD27(pcUZL$R2eOnn%Y!aL&so=g7&MeH$G literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.pti_db_list.ddb b/YL_pushcounter/db/YL_pushcounter.pti_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..89aa9b430d177793e191e5a385085595d88cff2d GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.root_partition.map.reg_db.cdb b/YL_pushcounter/db/YL_pushcounter.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..48a2b670c8d0bfdebbf226777d62c5bc58eb660a GIT binary patch literal 330 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRHUSL*ft?VF;RclU0@(rt6^y_B|Nmd_ z#>UoG9}vZV;vaX)X2#5z27V2}m%{M@QFA8FiJuidDT>92k7{~Tq=MUv&-@%8zkKZ}Ds9Y8PDz=;7*Z6mH}@ zR=h=AbPo|-2oXKw6&&J=4g_gxsUK3;1R=tF13W=cEhy;FVGT{F2K11o7Rb&kINaC6 z3uJrV!ylvxvaPOt_w)@FapI;oiNuHmZvTad?O2sA8d}o-p_j#{`kMn4Uhj}_ z3x0%gcfvh4zIXKcp?aM9k&RskjLN?L##3!)you4?FXLjb2h6{^aszDLdPkBSDsj;| z-)63qxn=MNZ4DS~49-6BL_1(WGz9s)nQ;O3^=mWQIIHfdU}AKBGADU$h51+BC0VHRikSz&s@#Opd@08i{YVy}m&@Tt)0lWux=n z7P@xV5nj^I`lnf6>+D)&ghme2Sb;N3jkH?9YzK-GkUUe=I@PZSgI8K3mFma9X?t%L z>Y4kH^yLhdh+7X@w-_Vr-ZjnY0ldu5`$+*aNH(#p7Rn}@?LzLf*@r=|*&yUj-^;iW zlSfJ&3IV>o^RiO`tc}dtn!{XrH6yI*-6upw2m^8a7Pb;^cr-sdyNoBc>c-ult z)8Aou-vBA6S_t}Bvut+0YTpPU*1@?a+xFn5hmFa$_Fh&As>IXy+wx|JQ+PF4NsJ{D z>ZbrWj;!pg2C5*N3WC7W$llY#mId~ZNB@-}v;X2eBPu=%JX%DazF!x6Ghk70X|iy6a?(J->X z*kiDEz8{QchWI>_@Tx}IloT~00ZpN}dtb9Lc!EjVaZfFI?iyIT#Jti2;AQ1`R1uJ% znlgP3(F>GoHA4)?dmg!KTY0Q;A-S!3UvDBL?GIk}j_~GBT=3?1eu->EUj5;9m)p`J z7))d(iFbb!eV6Pot(OEL>LJM-10ZSr6P%TgaG+Y1)Y(sp4;X5wC{mK{Rfja*wY{Zc znz)zvX7cV%Cj^AFQ!h4VAKA%00Dv!WAK3|vFABW%7-Ii0KH0-ux$N2RF*JSv{?xyV z;TljA$*8s>*<)n$6AcD0KEXLfXWM!T)Bmd6Pk;!iFhQ{@>6lE-QqNtt>3Bu%WuSh! zdj-uEQK1?&K5Q}G7XMCg74WWPnV6(RG*LanV?ykyAL0zNW2B1DO;gfs|AeVZv_mti zv`-$(n|p;CI$EA0M;qWa-+Oz>1}J}K|1bvNW$mS_1dt>r&(+01^PrijF|qI7Tt*?} z?BwVchf{nSKInWwj3K2pU!fs+$WHX3h3$E&0Op8`xx}PBQ}g48kFGVhlp813I7~X|VfI zuE0svK&WB<~2 zSannh2ZklLU`fA_lY*8>wDw9D7C({6&!Dwe(CXUw8K?#LcwQ2d`+s%b= z!dxEV=)SGtb2=FUlVrk3kXZ{{-?_F2<8se1bh51QZrGv^J?EqukN>D8=Y|xFHj1_P zXXpu+DkQs;VwutT)*`Vwk)$Q}T1nxlTE`vEyx6iOf(uWy>@O(UXf76idwR#v!8r_MMiYa?mwiMI`f036 zCc|M1os+es&0|TmP&kB(QQecB{FcK)pM}@N3OBV-gF%;%tLSZw*5{bDI1#Qo#0{X@ za#cICSgz$)7JDa5Mh}ez5wy5YJ2vfpMjy-Lxy<$+NArnsS?Tr~I9Hh$J?!VL**M38 zXX5ehx!0rD9w@)Xb7>A(f(k5L;F%3v5EeaU!1Xb?9O~xf{(2c~gXscVmL{@eOYN+h zpuB5)=JOUk;jy4ldKvB!Cq0Y8K9Wos%~4LIJA?<(P1AFOhYC*&Ll#?9$P2 z-OfqDKGfCsZf|g)xCZ3p$X*q`>>HrX)-7t(5Z=vzX}41O?N(1mQH!cnY(=L2<13G| zxl=y5y5*;9EW-^3chf$jy)QYw#4QwWS4R!XYV}b=Z;eKzv`tw=i}cH2$dzf0OlW!L z{OtL}#xF|b{VcGq1!#?l_gj0eBVT`I>&b}8mv!QBo7sw?J&wgk88>S!OIpJ-gbz$w zN=q+nD=^C17-297-nQ=4S#JdqA-ZU(i-Fld?|i%U;#;?ACtg=w#mw0Lb=9F?^;$=c zuL7++IK*}Dl`q?u&qQ6}*9ZgN_56gQ@Qt6fOn%g;kz}!bqcpBf zmteXl--p9FyV7VC9Yb`Q0&reppxQK?h=~b>m&M(^-8{Fsxw(A@ zEw`39z4g73!pH|{#S!^IMd=N|^KKj5FGy@Lm5BYGm%H_)Q8swn4e2Y@`mxLU60hQP z^*Pmzydzhh>YJ3Ci|r^qq%79dv->O5O4Bi)ZNfGQIb{PAHj|0Bp`SZ78 zKb{LI`(D9Z^IzhcZ)CocDR4ABEV1!{)ytl5Fvfmgoa!`ke*Wgw195xzsbLw(?*|*J iIlSs>31gS0H}vP?X_=u5%3rOw34?j7)4uSxHr8NHxujNhM6JT>o*V2>+)z2#99#{{o08wEso{y8lK` z$TNMUbRvnAt}h@+iN7~t1O~xOM&`hzj1~kxHkTwlAoBqRLdmWvkSw8N#FQO@g5k&? zRIz2#t=@hAx}dHn?ge_~W zc3_iNlHF>1GY`xbm@hZItag347hk^UB4d%>sIx-WFZ%)<=1lVkUBvQHcH^@!_R`xbn+)j#IQ%A2vl1j z`qD)h!|-ay2I3+LRoVzo$AJ7JhQ+n4b7C6&a^yS8(iVh~QQJMTcEse{7=L7Y-BD5g z2+ljB*$9d>S&7E58#7D$&{XP_7$>Qqo~gCJWYda;x2Ts2HAh>Eqx(cV+*KN{++i(C zllgt8$^#w7YfD^tb|FO04b-A2I{j@k)2=vUjj(l8dGC8}JTAGNv z$EP*pbve#6bIq=D7PVLA9dCP@m$lh81h-{F$;Roh6ld!ykgfHzGPz!Sni9!<=e~AD zl_Kr_q#8dQQp-z}v*^Z)@_P_zJr*);XT{#v7c?pJ4a2PV)ex{lqSLWbpPX0ati8%k zT{ET+zd=ZKHu<9;-Hd3<-?`DiFl40Ue!WmyBxQA= zoS*Hqed!XlERGa!R}hcWE)O#aq=H*@sBL^VV^+nF${o@hBsL2@H#RghsI&LA8Y*k1 zOV_&)f9LA&O9d-WVk_zw% zw^BpD1$wL;oh%-vUD}9-dKBMu=w0-ZQhDxYCZOD_gSa6dAaXu zu>l-wA_lr%UBK(?!|{~KSS)o?k7|St)ZX`GwniqTMcb7?@vTJ`Qcmcgtz!N9O66#! zv!$f7RoBq7-O#gd6?E7VxO#eoHMVPsNbV`ALwQUel69iT?}j0oRuqnEuj2PT4b`}M zM~{&f+1^^ZS{Pf;qIjRTrIb~mfmXm(!J9@SC($R#ilw_zXHGP{%&M~0s+CE8NrzB* z3%XQ~zK1tU!^3j*hvi}`lO0F0$>qy-f)Ph-rn~s+{NXpbu0vFlx*D#KusV-Qd1%v4 zNy%6zV^t4r#+>?j(0m1kDO~|w?vw)F_VJk(#-4Oy#!U%ih-6*P0zstNJV|vi>mBDJ zfP%IzJQOuoAw64=rNtVnyopzCKKlm(eDTxUTBOnau_sqd6Z|}T zXNKK#Op5XfMv;!X;}v)QYP=oKcEM3kAHSsQju93{smk{Y%bgXX@TEVQ@qU&k3I>B) ztPR$lj-B$lWtEju*kH66MImZKI8O@2rO@2ekZWmm7Ajhnc_1)cZG#4qus zOfaRLsLDdS(zsuUrTg5`#k`jR9lu@bw#Tl7b{5DzqKbP>o5-5buXhkaJrlcV!PPza0zIR9^n_*}UIC^0;c-4? zi#Af*-2}@8b6~p?%u@^)v@{geA_cy&)C|4F>W&H2wP94kq^H^Rv95Lquh-+;sE^6h zBI~ui*HkAXVows|tAljS(X}E?3^SfeI1xLIQU*TotuDihcl_v!O@>|~ae1`$_{!M~ zVveUW5lrJ|q0Zm0ADmGF3PHC1jnd;)Mem3-<5AT5sj@eT#9#fQB&L(d#y6Sr|9DA7 zhcJ9k8)S@*1)l-01>)Gd{@!4AkNXSQI2K4s#XPC1KBNnnk zi>h6D{toAj)9&~*zItLizyz&Sq>zyl8IL(?;$8NAxq1?V2^l64h$xRNRoqSZ-MHgt zOk)P8;AsoVHqTH}YAoT7ocn^}KbJjY`a?w{IxYp;;Yt$)KxgxS3V!o78VP(t2)PP< z@F7+Lbi6;0_dLt!K1gJfU}e{64H&ivdSFLRLj?ZfElM~P`m+TPx_QQgDH?ZWnrb`J zi*}oBeaalyN6Qczo(%~(27aECcf<0oqqMRYgtOyv1;0#Z?w5lI_w_x-Xju-7=LwR-rjHz9jyuPw0x zezF0lxz>`pND$$Kj(m0ue%=nN^u(%fx-MJAS{W%TeI|F7xi_S&F{cm;Ta#eO0P<-q z9Zj}8+rB^tV~jtG8+gua{5W!Ck(p^?wZ_&93ca<%1(M}yh{I{*3Uv@oy#?i?zXsh3 zHR3ybx3V`qY7=sJ5e4$?)4B3cHpEuf2|h+5-PS^X?^dbdr&O`qgkB@6&#}h+qJmC% z11+Y_`}KSLr0RDEJBy=3p!moUf-Y2OGB*MDfA62ysS-gE;Ps1;IB)$EurBIyl$Lj za$tlA>$$sTt0Q)~vb^kxx$iwkuiXjn>~5%YJX6_fDk=9L1rIsl}Z=`}Ab!;KxjV{q^n= zncJNTA7c&VhBLWTLv1_Z#w(8`snVb}pmp#=z`F2%Z<#mz0 zFRPnLa2j?vVV}7%H`9@vl?Vu8j3dcF}fN*!Ih|8Do9g z5~Gb}2AhSWwBfz@6TBwzs+s4p5w4PuMNMs0sg>u&+(GLkiWrJZc$m%sGDO83>hlGjT$qC~gr)5n&A7}N?vc=gxk{anXnADaroVe^-$OExDz z>%ydhnC2*r@^$w8OR-L`Cl`sSg6-g~TK=^Wu!7Z7``JkupA~24*nWwKn#G*$3s}^p zG4Il4k9cWNBIz`3V!oOL7kcN$)_YE+stFIRZI7O*ZGn@CZ*?`x&3QEWC{cm4wK2KA z(U6hf&R@eOOO;x;ePOH}e6uiVHeNfYXZInL>a?)vo`@!M7g1I`F33dCGG@l!q%pOt zh(6>yNqZls^Va8JC<8jllq?$O(qrG`TCh&SU6ve;8;d^OJJJ2~Y_iEPIKHP_#EM;6 zEM7htw^)T*t%93T+!hs;QXkV@5fXKfNZqVjH)+dXV28&{KdWtA!Mk!Gc;h;2>sDI0 z?l82iJNcXD01o`|yO%5u@UoVhsb>ovOn#wk~t-7deG(T{1E{%u}^RHb_l=o6Ma$;37v5^ao+9keM4!zaoSr=J^_JA{;5yx z{0^PS*yQ50SNE=%X(Wb*8fJ*f;1fga^Q74y-E{&U=2kI`?Jcmhdw0vWDOG0aQ?`ukMDoEU8_p5_hL_Ztyc5fNL* z&F!lm`^Q13wX97yt@lqcm6*!#d55myxz{!nO%GabPWRYL-oa6v8v1(sdN)*$#QC0zc!OP}Xzg-<6FUC6WfKn~^Ir;omqYCy-CMgQ=Mlq$K;uCwL36r_a zW-dJC-?*5@xM!P$#kC3bsbT?fzM+$?$MAm4Q_{y2A&Q$jI}OLD!<1O(QMX4g1-r~k zOeDB|S7~y`RpQe5HJmJmGG?A5Gp2dwoaCyud@4z9QwL-4ormTq@MUL6~ z&Gp0dQR7|EqqTL*-rFd))^#{Qc1%k*m3<=_z}m(hq!n!#s+|>ZNu+ zYofeA*G?A2OV*pE23_C}w#CytgMcaX#c7A*XX-cyl~1|pfZ-!UF!P5V=-c-X>cwsi zZ|&~d6{)%I387X$>NelXH_CUmmw~D{>2t2dW6X1*L_aDW|H?OyhL6NIo#Vz;DLO;& z^k%a=@PTCaRwauk<1{YnYCCKgnM0hs3LqAsI$#iL=>G!&qZXOtmK)=xoSG~yz37k@ zKP4aWRQ^e~cAc5##CS3App=Hoa*eyJWZf;3lF87Zp z8rmeqh@k(j<9Q`p#_P%3%e|nc&jX9a3{uAexZ3q2>$kFq1q=WtW&);|CP3I3@yPmV zN@oClR*-5+eI%t4S^5tIuOk4RYC>=xQ79-Pg>6X8O*@h(Uw}vaD6S$De|6*`x*lcT zN79=C1v?2y3|ID3$`;`u%^ORse76iAK3+?I4|!0l7R}#S!c@EhBw)g?WB3sFJ+5Xg zIZsPP&BlbpFhXfs)zuumeB>{3ExvX@1U18NA4+_$NZl(;fU)F<1SJ5xTnl)Cwj!Gk zlOaBlz%dO&&|hOQF*i%Vq+>HS9*)mH$B10yrEE*j86N+CORbCHu zE-D@!-st=QV3R14LEKCg?;~&f#Qw}uGm?~x(;D&@QPBFMMEh_z7OkjhPN<%Nkp=*K zXp0Y|Q(0uk@A1r%a~g+NCIj+g9unnMyu^T$g5f}FMM`D4)|`Z9^1?$zaMr_ zSolivsl?B;HolI9a&GJ|Mmos_fEmT*KqvUB-tMjVralAqkoy2W9)P<0 z2a(M*s!%*#C3i)K^^%^LYKMNAT(({#AHF+q8XYHL$$1afqcPN!?qT%Aq4RDt5E)yw zk%J?~Y{1^a1hoA2Rrf5?>qc;lKP;nM&IsM@fq&GI_Np#yQHzh9&siqR9q8 ze4&HT4u1!WxaP+{ zmnnr~@qZt^Msd;w-g}N)^;^LaLM}qw&QqCIJTBUz)pmsALTkD6;~w1u;*$*q(nb@M zLn!4-0)ZpgPjh&%6M4jxUkk8mROwStmJGh;ndkhK}bBuz))gx9fGY1mqoOr0Yp z_6h))_OjS#50S_#@HsR3yM7_LdMii*ENFdS0!twCyF2v{OFd=$b0Pbxj?R)O?eo6sNXlrj6X*&_5!g@z;slk|L(W(?)Ti3J7?_P0V|;Yo&y$KWUed3$BNvU!BAxI+p60|FGE*98A@C-#-*8b7Of_~cK++Jc`lx13!Wi~Px zID*@oHWJMQFRqVx%t_PGoaN&VuzRSr?$LyZ{(NYUloH1Fek=g)vEtvfAbW|1y=jN> z(~9N74xAUSnNsbMYT2U+d!kHrrQ{i&L^TvR-B4{Ur=8x|v;dRv{vt=8+4{g`>y5iO zd=FYd!pnE|8ISif9q|Y5DG76n-eV!17a9yBxTQ~=I^sKrBJ-$(?U{|p;XD5`rvLGs z(fH=k_+7J+FM(U#CU%}(6Q)7P+Q=hL@kx%A8A1gM%`5?uwKX)GF+V#nU)wQXDS%7C zUq1MfH%I}J5(C)62piYCfFyc}0rk3c#gTZ$vH0ncc;$`C zXFK5R3t9?l9|4rn!~MaADV0M5aF&aVEim35)m<@Yg&g)^l9t7%sHcMx*1Q@ky;Jqa z10+=p+IiO3W<#0v4_YU9i11G)MQ{2eSKW5%>kwwBq!81QUf>=&zB3IeA*cfBE*!Gw zWZ7bsJcyeZ^kl4;&$hX_vZim#ILVB?cGFo18ym#NOwY$QGiblsJSwLUPv($DMuMnj zRrl?p4}x_qvPD|lS50FN9=NB0@0^9)svl;XKqdq;u)%i`pYbLgwoWVN&meXIHBfo3 zXiD`6(YN-;aS-cxz_EJb)B?E26?mmPMP8nYQh}$RN%JM68#bE!eCsHzk!gLtZXkrU z;2cil9;H4rwsDk?0_8|4aQ#6L83A0C^mu+Qk=4)-8M0I5^rEE zYnsmaQVezE$pj)gihPq!9tGee5d91&W$K(Vv4;uyXX@kbsXp*Z?D5u#BwR|dQeZIF z*upU1ujk=xlV0=Hr4BBySvXq$OS2Yf*e_j3C%!$qQ3SDx@5{nHxMw=kBsz`mla5_# zbeb@ws$&+!=EmDR=S2G(bn;uWcZm-{ZUyA@Vc^)R6_$>!2^89D-=Ux${UkEdMBo0B zF(A@_m_ND(>47CzhttODJpo**1UzZTl1yOY0IV-wWv_?&r5U&LpL-xhex_;A0SVdMaiH8lu=uFT5PJYrmBSqkiNyZtK~zREE(yBYhl zhO+V@|M*n6onS`?;~E9yS{}oR2t9bRX(XP{Y{UV=N%N^0nDlBk0{zctg-c{Q?=#Pw zFs{W(i}I(&_MRbjJlSu8k-ff$bufq-Ko8jY*|*9|9<^(9F_O0+J|4AY$Uz6oot(R8 zp(2F!JWYZ$OmV-|*pO26-ee9FKpIuMR}Ng-TZAOiommBWLK0zgOOF@+O5$TZBk1R^ zi*~xQ{PiFXHnttJy3}ET=&rNp;KR{E1x4XDHKHv{=kO664_q-p6w2g6MbDHKLnY3X z8E8`(ekckjL$(G>L>mQr1uCr-@wPiOz}bE~^U$*!ZNpo|Nx}%DyG_E7^uvK5%V?r- z=8+d?fD*OLbx-&nKJiC2%yl&09^bhQ`502X&W@SIKJGLLma$0~*^q}^J9eAif{Gm3 zP#XZ4s-rPx;o%Exc+|~P?IJ*rC0h<&25&}A@(dE620#0lx?iS{{o6M`peZ`~-_RZF zikTJbO_>IEN_!egdu^F@N164T zvg8)3(5xVyjZ6CN-q0IlIhJ$}Y3y#B)e;s90-^j)o`smT?eu>Q1U-?Wcc|3TwXyzC z7LqDAz|SM8v86GaC;V>1}3>|{-)VoHZSn2NU z@SW?CpA{gS)@k1m(3_Y3GLE-uhP|nUy=4*ocHr^154YTXheH2L-++sYyH-#TIV;l* z7rB`0mdI3WT#(>T9rYqWsv`8V39;J-=oW%K2offeQWeA#rgK<{wibet7PTQ*^k3*3 zV3{`wq|jJHzx(quhU}P@fIw`)F!1}#k}yAXXh-w*3RYci{n*^!$3*OW>{U4NInUx_ zkVn7mVbvTmDGb#HaL*OrIRv>?Icyyn)GoT2km0Kt=BpU?27xX}#$B)gZg%YPR(Fn? zIMxvU>bGt=x~B5O{$Jn(l;8Ga*cDlN6iKP-4OFv3Ck`$a*%%3_FG3-|s}NLQseHVL}lg zc!>cqVPTUc=$CM_5%S;JQW66ufSrFH9141>2YIT9^HTyo0DxQ^jA;<)6B8o9X#$t60Z-} zTX-k9P)|=cS6Ci%+}xghrHsCJRb4yWptzxc>6N;G_WP)rs0GrFJgWhgLN&hoW!p!m zY`KyL&S>PLPV{sOE!*BO;u>v(ZRh*rHH6`J_clxRcv@U7{{3Sp^h?f{5F|2XTV5hi zv)vGr&z;TX-NlU~zP`bIpTxh&?&*cEr!U-^adj4lk|8@{xdYRpT1^6fCiZD6)8zYU zb&~3#gwBi@CXsz2f~>=NCSAUrW@d7DU=vinxhdz_1Z`D;a(|c6Jp6T?a$w#b4Dg}s z4g#!S&unglH3!TmKbhBk3j;4jfC!*UU&_BgZ~V;dN<4if-4y-OyTAq6Hx%KHDOT+; z&TkJ#kw1w-wTXeTiH)&|iLr@`A%u?690igu!R4}>w6`s@v9>I=smf#X(C|~0m7ULC zVVrckXGED@d>86C@L=o`U(wLhvt~q{V0KMjP0I#V9WP_Sa;GsLS?F*06~@kK(=0Et z{UXk;VaQ_SB&|W{HV<5~_DMxcYrd7~ zU5(m9&DuY}^){J4X!sbM>g#Z?u{UH7{P$JKr>nlfm^&)El}5)e$?*IK88PpxtX7x@ zflb*e^>2?EA$^XRE&ZNA&Xwy~Ba53{r-Es(f|hK2BJI|?1I%c(R@{Hd7QPV1MXZ6| z;doZDR=jz*s=Sk@+(k~Fgt{3+ltbpIS-g{w8pV&CbA(-PA6=4}oECy`HItXZzWA}^ zhHFjuUVlA_D+&bJ4gGSlY~(m<2c+gHbEy=H-E8UUXYKZFpZ6^0{hjyblcQRCwV5C6 z^HW`-hiZ?@R73VK{f!l#ENhrgfOvKhb1bab2S4{mcXWt}fMAhf693gWk?9r3vrARq z04{#g&~Fd3jQfTqn5#+8*Z|${oQ0puEJti)F{hNA*%>5ym!9SZne5Cnb6X(R`<)QO z(sc|-$vDV0wl2cD=Hd=H4d018I?m^Se@Qf-pPrC^G<9vUCGc|h&1G9tUK@#(5=3iZ zQ&O9-4$d;_Eyh=(+I`~tL&{ZJGq4a6lVK!8<1OW2eu7xP$u+QxpeQSUrA+@NEQ;*u zHFMPy0>@l3FaWPg@$&h3aptaw#AuY1A;5P#DfD@t#lx939mX=m`Pp_(?^(-h*($fX z6nczQ|Kn+ee<@SF|3N>_2R04Prm4w6ySwQ+P2008$>9WGL-35vBVm`$qaG+)Nlj#%V-Q&@`S$TlAMV! z5SPjn{79QruARrH14;Q}FpI-=d;AWK4q|owd2*b((!EFv{>9R?9b6BcY=S9@LIS?U zPvOJg+@e|v4RNtWZ>X(+HdyM?eZs6Tp3mR5&*r?fn_iM1VZ;1gO5jdx9&!lT^ws}K z*L?0!>&Pi(6s0Fadz`D`2Yj}Fya3|+2UzoAcS+n5G`JTyQ2#Rnqo@oCm-<*+yiSoo z7V(J(?%R%==H!)?@E;G0Q327RxLRLNF09Q}kQ(Z98ACoEw{c6${^0QUwdL;m z9545I*#ft!^?a%^4Q#)%-^fDcZ4(b`JMWRE1{+Epa2frsot<$EGBa6t!xkM>PW<9e zJh7aCG7s}+JpYRfoxZJk$q9uI%?`+i`mXC^J+=MY*|LGAcufY|%V=tPGk35w(vhyj zsxYXq=Y{-s6$LMD@(A0&jI|yz{=NF@E7Yv}Is{PbG1A{ak#C*$g>z}Qh4br4g8JHK zFLps6w)J0?wAV6hpBq$n$OF!czjr(xzdawe5D2M~#<_D!LR;8(mhKH1hk3+P;0JeI(Sj zz8rRHJm&+tgy>_jA5TN7Q|WQc%?@6MtVf8Qqi?y zZ{JH+sv?db+D~rwB@6n!9iGW!H=dpCI1&did6SC!y(3x=O6-tA=9dMO(@dkHhi}kF zIwH#X0%19Ni5xO6OEfU|xBlxy2Jaq)6#*|fsx*SW%&fT&R}%5ASZx9^Sy^_lGRNyH zwGVGOGj&Md7XHu>L{nY;4eWwuqUZ5fGp2pT=UjWaA5@QV(`-uI$Pcs#y}-HMIWS5; zI%AGf@PiBIBg;mJ1&wyg!`v{*?~BC69ga}ZZH~R|VLCy}!F;Egnf`(58wO+=;!-nC zeVbG|MP}jBx-bEv*tkFzBP^vJC>H>QN^k=@W(JU2nBGIGxw%2U64= zJHE@_dOLTl69cX>F+Eycx?dT4td+Wt9a{25EIdRIW+Dp<}K&UdVSCKm#4?!P2cN{Z7b+pV!o#1kqr^uqy9 zFa`<4+l+u63-%v~dse&?H_&OrYWP^Twg|t_D8wuiy_yRkh=4?+V8p%CWJFZs@75X zsSOrQa&r)VhOZGpFj1m4w5=GCB`bJFaIPEp|3NW-|?Xty4*>e7}l>+ z$jJ-FY%*Zgk%ZYuwxt1;}l55LHgdbT;+NG)?f)9X2wuMsl(c|?lA qFc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Cfc00000000yg00000 z004sn00000000db00000004La?44PRX2(^>+s$Uyn0+Tbi3JD~V#fjFh(JMr1O&u@ z^ME4cjN2V+x3A3qs*dt)im~i8 zua9ML9{xxC@LCvFRD@v00pY)c>dap%z3^gXK0@)@HK)M#ktP0@*kh#bKa0p`OKN=M zf=oF!NccgixUYX8iYWEhztH>?&{MXDpK4cgoABQumfM0ln)e^FiPT&4G0NYiXimCY z84Kw)Fl_)6!$=-8-O)arzj zY<$bC`Xrv(0Rv_rdHP1pYnd}ko^a$b)0ruk?g$NoI$477h|jgFzuv;L!iPn~?2!ct zD_HMHJ=}h0b|O>WyVvVTvF~*B+*0I3xmrxT*w8axl?~nDEqx?sgx^;FNKU4&YuDUw zS?@Z0)nu8?___Sd&GM=7cT9y3C)lrkPI6{n3C7{XmN6sBZ|RAd#zl-D);RBu znOFa^xZSok)zAfHtkgba?PmR>tMZ-&>XQ}e`)7}*5g@v)nr%nISwp)sQ>o#*c?6t4 zquY!}eAUo6v{iyS+6$e*8&?77A|3y$qRyAeYUeu(mYm4Wsz!KN~2lgJ4hlv-FyuRcA=eA3T>2OnBnp^~qGA<_;L z2YU+mCNjCL@`nX{@y_hCR7fN<3nKx%DwAl$VQ>Lwg)e=`)V*HDTMEY19n@)V5BM_1 zBi>;(6~@Bf$v0&jq(UNbae-TBQ>ESA&Kij`*zTadpp26yrV{369x2t2wmnGJ0 z+91(HzhhWcK&1lMh|kpV?^)rI`~K3#s6FW0(*;8EI@W3BZ69K}>tkNQf2-8fl#iU=brDXKO|*a7Ns@R_i~h z%>Zsc(T@Uo*7L}OK-L20^Wo*k^qZf(!Nf4)h0X=d)uU@iPgDk^;b%WJ`!$j@9kVig zqD+@1=6z`FtU7U-6F*6L1R)tY7AUY3k#1@ZI2a#0`T^rDKem+P@JRH$NA&Uq;fWSD$oez!5uLYf^H)g-(ZWDh_7dBQM`yrWPFtEfFP;?-k0jTL|C|R^ zVu&+SndaoF;|MR~m_s#E$G}{Yx=wniwbScpd|OA^>*>8R@T(2c9?xUXx~R6StzXBx zZ5^)*V)V8!x_-X+6hAwiQAR&_`GPVm0JIGpbs9;>x)Zj(d9(7Lt-O}6V=i0BgTYVa z=||aYdHRs;m~E1Jwq^R){pvO`n%jQzI!1R}7t4-g#7Eh#W0Y>| z_gf8*Uw%n`CB1_m1^J?GLFzB7!@mcLaSP%=3O0rjFZ9(dNF!?&M%>`q-GUcnk$J?c z5oJ5g)kD89dXB^4ER1+LfUwfN`ZW(e@R|o7`r68bJ7O3KU^pP)doNyo^60hqes|@8 zA%>9v=#zk#oL0a+rxkGb_5!%i3r_sH+U#w5KL~imX$5@!X$5@4X$5@aX$8Ep3iw-% zFoNHLGflL>*&U##3}Ys88xg|h_Eyy~`5!qteCY7XlaD{~vP+L&d*Yrvx$(SKb9Uyn zQLq|N__cJZoNqLKnFYmKmq@)MQj`8la3 z*D8a?P{2sqd;k4*CR{SLVFkyE2J5|6%Ic<7sn-=KYr7$qRc&4J%ks?gl)vxV(W7rT zDn0TLwR?NoCs!QNIwqElsr*DIVSVhMk&|8}l(+Py*3u<27ir*}^UHVU{ED49@7tO4 zD|hD1qlnfM7h{!^EOu1ISK5i;O8D=R=YZ+mfv}F@}3mh09q!kY{p(RjWtF>qDPE6ak(G1?& zIn(OT%y+MjQXCjpe|OxMicT|Gt*^ZCq58d2rsz3~XSG>W(RR}4<8^HYFX1_~-Lj-# zIoTRF%&mZRni=~ww2VtMyOT_7D`1^wUyDt9%eX`{57d>p6|hb-yM7HV;}XsFVF%_` z0Oj5+%KN)2d$VYrxz$uMRoh7>@4%S7wxpd|Mft6oL%G+^%G7J;$T@47wr^t*w=?4{ zV&=~6JAcMoVYr>-VYALTD+_g8Kgo~wmPluG`Lc;J^6=WjUc8nN%|ko;cGZQPNmn>+ zxgw=!qbnQ@&sS)+*cQDF?9k3dK8-mF{UVaX`5A+M*m0 zttWdLeVNS6!AsjShwj{-d542DN*KTw6?0>^Q48n8#_8O(pbV1Q&T&`4d04^Q>U?Bp z&ZC_Z2DWmrB9>*Z(+rHL3ZWH4Mv`ZeDzTT1N^o`#xK6PMo^*nT<1Dy}w1f7RBLFXek zLFds;(E0kCp!3mz&LwLBm>SxFPr2Q=p!sKE-RHj%Q`K_A7LYS*A8+=|+;cURQ&SB~ zyQ2QPgBxb*PW`u}E9$KQja=HkdOvZ)+PB2iwbv>m2h~fQb2WAC-P6ca-~GB1c!`5} z?y6yFU)Gzw8|I)<`>JU@O-tJMaaDpcOWVg%X?#7cXJ#rd?P^$RD(^~+>0C|a?Q0E7 zO)a09^)DNj^rgNEn!-}8d?IFC=jx#PX&|bXn#$K-LsOSHh}Xe2EY(_X7J3d^qSZeN zGR-g?UV6M*;TaxPE>gg(+d#eJx%|bgo=5D={F80k_X@KJe z7viK9>S34qNS9jgHmco7sP`5#YQ2-FR0hDMa&?Jes4(Z|irkN&n~L0ror>IlvkF)V zpP!SxYwASp$#p;rOvuwZ=k2%9%{AD@@D9~0S=voNxq2cgOPp>vD1_h#UpLmPG(lEu zp$?Z2T70Ii{`v`EDPA}WA1ru3Y%R4X`hV3MtCU+E|I=lh;GciYV>8i8@5j{^S4vXb z)2Ej4xdhL@-*9}DGS1k)>p3et?d2n8sZW?NnlIl(r2=@Zy-8W(Fldfj0M7@}Dx^&5 z|NcVoe`)Jle2|hfm%%Q^W7CH^{@c_*0&UfIkQ@EKpW%jI;-6a`&qrqNpXbX*FD~Op zZSMxB_Vl`RDCnzQpysjL&fcBzMVv5sW+&{MQr(w3mOBqhbm=SKJiO zd-r8*#0S=#jpO-uSLLtZ{T>T@UbaAfJiq<+0{MQWhy0f>kni_~;D2L*e81fUFURP= znLk(B%kvrm{I4#MpV1l5{H@P3UNK0KubbuCzq`%ffpC$LzdC={WRbP;}+~MhVeXNi0g@XkH5LdFVDFvV?uH*xcpaduqWbwR`S1n3;fRr z|G);kU&>)mG0l>)&bazo^>i8M;91{(z3`R0xwY-RPxd&z3T!g25cy|~kE;2IIhH*i z?Qz<=^uzd>cibJ7=me$d6=8h(K2?Dwqi(T&0sm9Ni=3#^-0$`mbp-H4Wr-WZS>gAK zX5{PcE@_@5NGf-O?<3&yUvH8<2U*9S+R_d2Ja#GA zC&;9`c_WYRaNyYxrdgb2^fdA@X&uD)6rvl&)CEw56 zqxHwX5&-|5LB6l^BgcX#s-8Fu+9NE0eM7Kk)L#D-0Q{?h{84-T%|H0>3jI5hAD=J2 zb%Ffy9AW$8gF*htpS%a{IivV$rK&?0DeuSpahR3?Jof@Uy5_v$->(l0ydJ#k@RYh; z=-j`!Tu-AMr;(lqU42>G8FZ(RkJ+TZp=R%>BT;$tPxKA-ly$~6`I-w+kKr9dT7sV^ zylg3Q%6r5W`lk>4sXfg8Chd%2V5cx$`$u%_(OR4u+1B3H2VkI`5&gQ$Z*93jbeWy) zFYEZ;mxOlHChy<<0p06ej+eC-)$tJJfHRHxH&L2`HP`%E?!~4m<&fj!>_x#IRaO6k zaVGNY_uK*dGhC@le-i9-ywWNs(8wmvNsQ5Q{x^=&zo9aFM-83GT>1>92G$R> z_lV}@kaqRDd~iI6M!b(t_cwLm4;24UI`TU@QF2J0$!Yep@}?JGZ-eKZ-Zpsd_%ps* zk!mhvu;2UTuf!9o8vo>Wfe#pEr*>&?3w4|Q;O}&zJmk~2>=`M&A_~b#HJy^pT(E z{hK+!7g_ujrQ~vyCOzZX9|4T}KUh7>+4*EXzxR@!sglm=$1R>dB(`|YY4lBt*RzfN z;lW@F*~I+s;n|OR1GjG4I|FkRayA>@ue}kPdl-K)h_1^q; zeU|k{Xi?7EzHlqI>vIO};v7J`ax-t0{;Jldob%u5y@*p{%D9bX&u>9D2Z4WOM;7#+ ztn;T!qr$2!d456PcHdl;Wh#d4Wv>7+dftih$ou{`qQ~_fOz%7?bzOVb(Rqeo{eMcl z!dXB*=e=Xm)reHc=1=VWp*8d$Z18?x@fPt|k7Qw0W-jJ_QNF$l+YfS}`~I5!qu(5o zXL35p@%_H>lix45W24fW`;6pvWW7~eW6wnQbMjI<{oMWnYwzZEIo|Cmnya1hT#pUE zDZl@m;(^JZ>~uM8yXdb!75?r9kN@ACv%g zqL2Jptw=RznaLi#W3am1zTM>D+uxDxy>{V$?9BQ{@+W(E9>Es}ikZiLZQLS9#2Fp` z(C=+O(jNM;N1yDe8WK8b$AMy{D!FGv6Nwa_~Rv|1_^h?X8-l4V9=&L!bQlnX3B>=@1}d zY<#X;XATTMvS*UNZv1bSzvrR)GwXG7UQ;*wXKoL^9?8k{+%Em5s%f5S=#zZzZ@HhD z!}EN9rbB03U0Bs){_p5FKTLGxa!8)Z>0}T7r@iy~Y|kX8);97-^ksTGXLPeAo^gr> zqqp`@e($Q!V6i=|S~q3v4DyrWd6O^`c`tpzylhp-XYBuo;!~j>I_Kmc?$Eh!IqA?Z z1pcTOS)uIN^t5E?yM~qb4(QeUYWts9I2aj>6UL9;O;>qtCwT6|BK`%T>~y7ee@+`B z9{LLh_@4dH-X|H{Yqj5qiPpYa|Z zz4(oB80A36PW;BX)#X5s&wEZ+YVx`JjPjw=U+8W9c~_9@a*&Um_$|tT&hsJ9oGqPu zW9JX4?SbfJKa6zjK~9g(-DN8u|A6=BEo5Pj5^I~EqoFIL7v;KKntq4#vB;W`%0c@* z>BOFRPY)gY>8}yJXMZ~mr6S$4rJcVeoo)YO&%R=B{P;X=#}D{+9AZ!TE@$Qk=u_WYW#y?IA_(HrHsf0##coIodX*BtT4 zM-J_ccbXvo`j({f-XCbL>ZG zjE5GFeDvbyD97nN|0MCKeio4bwvHTcFXM2=Q1nv24V^ZC|33f#0RR7Z0d!M6XcR#d zev@CL`MF$92uZ*zL`731q8N#Zx4So&UAWu1%uX<=7CVVnS_+aPMLI(Y6R@#KWo080 zj{JaH*cbw)u(3`%2}%>jwX52SXq z=l@Q@>^54#NbYafjpVb9k-e&VPD zK*Cg2G-{L<89NR4_>`m3&|jpus`Q^@?*ii-y@Gvl@5$t4tUW*yI8dUKR^fWM8b9U% z_BI_COC`}m85A_X{^bLnT1KIo$000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EQr00000002q?00000 z003zL00000001Tf00000004La%#_^Uvwu*=@5d%T|LRSP}h_eJ5Wx^L1TTD^Id{mzZbK zPtk3$$wkC0gc??CO>5(;`adMIimz4TDDLJp+3>Qt#M2+noX^cC&T<3C&shZ4f`3PB zV%QVo=Kqy#xxY$J6mk15vbiHysKb#UHpElcMm0vh)h(@sr6X2IDdt}wZX`^_=UMA9G)dH@@ z5ql3l_2Nl10Y_vwrUzGgAI39vaeS#g@+k_I&TgCYq|JF+ceb5rq#mGAP{82#Suig= z%q&r2=#uZFY(OBLTZjD|D(G+xRq)p`G$&(?t%tnZx}Pvg9r z=IF&+?#UZ3y}kHv)v4D11^@v6|9Ao7U|?jhU|?XVHglW~q!{FZ*xAJ?KG@wc#5F$1 z)!E-KI3y_4IV3*7KPV*L*D(O3ih&7?6@cXKf|OrCih&=95gLQTp$b`n!t4wJK(dEh z+a5?UhyXD{A=p&7YLGlLg8(A~L&Wr}N0~8HJNx?v`1`r~g@6|<1i`}w=LGO{vc02S<*ec=T!kOny( kVW@wIYmhHc1ma^B1_vOk-@$S}H;_hE>4~Be00030|0`Z)8~^|S literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.sgdiff.cdb b/YL_pushcounter/db/YL_pushcounter.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b012e5b10271f83e410f162a28b93416c80e28f3 GIT binary patch literal 3364 zcmeH~`#;l*AIEjhSBgX@x0FlDk;rYwWs!ApNu5*XnoAZXW>{{!U{*^@Va7KLvCV{SX6*Cr`#pcf_whK7*W>Yi{`7kN@P531c)#|@$;lCww*8oK zKXm#BeL`d7;K*owOOTm`nWg^e1bE~%eJhZazJ-msrIoprg(XPeGc*jHjPTw~)MBn)uJpP9>HTJ)8a&mVS{|7mF`M-Hs`EO#j@d{Wk4PDjWi4Ulk&lp16 zZq1x|c*;vFG~VmZJIzC7?UIVOw(^g5I4XjHn@(#(u23g~Bfq@0#i^dsb27x7GTbA0 zjj`2!5=kew)U=|=>?9EwWE%FKe7dM6h!){J!lb3JX+|tQDk->r+#!g?7>+g@Td7Vo ziU^P(h1HDgv6%XO#T5_|e;vNq+Q?yzpo=nZBO;BT4DFE}@_fm^bI6)i7qy@32Q;&b zBig@=Z6JxIWEJi@Okt6%KJADTV)_CiRZ#QKi4wDH{1I5TP%@fmei2G50sLbGdMhzf zG&Q2fyQv&}pYH~3eoa*N+NJO;lk5T;-EOuu;Y@f(QeLBtfKRkz7vq0Jjc)hPEP56A zX5wUK3uy2G&ToIy8rSTt-R8S}nDcZ!v2;!NeZnIz<>H^W$hrEo5SOgaixDFRjSclT zRDMV2_6Jsn4!7L!GV=rr4iv``O$XSuac$1~u}!^0Mu@In#212OQL!bUlcHfA}=z`2q)9Gi#R<2o=Cfhk|M`37+yzD`&(Wf{INOr*Z?Mc zwyio}@5QWPMViTAQr_FdYsIg4uNJ;FGFRla!9<3UE_b``#E3(AqL_C;CzrF(#~gwT zBg#2vK>Y!^<#`h@lvsritzyJ3ok*#5uI86kUbr{0Kmze%ZkHN;nC1`@kVJ5UE19Hb z56@)d;?|Fk2CvF~rN+;-N!<0^JbCS${Z;r{9jb}Ka_a}-r9wJyLtco(dlX?~o`~+n zr`Lo;(yNepu)iXPQjM0So1)nzzJ_$7Osk7A3w&9mbFKBLruFoz=V_f`Xh;3);t$b4 zzbS>MS&F-8x+Sf{(S!ee2`_mXTfQ!R5er70_|%$XbPxEMy(NLN34&_z5U6H%?+bdn z_yfQnp^vLb@Xj)1z+_eWCjBVzI;fjYQ63GJS7N;7HEg(hv8}aaEH6#S4Vth2O5|1YdUNa$5uC8u; ze*$$aIf;}k>;{cB$J#lZo%p%uJ2xm3Ps3lEg7JXnr4*KcI^H0*meSFIy_7jb5?%J> z&}M)sgQ=^a(;7rQ&?*ZeTpgXB=VVb*`1Ltgg~&JKfRbzAv}o=cU|%l#lEP)KZfVhr zqI-`(5YmqC(>LC&V(>n(@^LbGP4YguwE@qJ_IkjhH8 z0AV8AYI5wa6BVdL8CUW-YepnCX~$8^evHx>3Efj_$CvHXt(5}KaE4v%_&oQKl2i*a z!T0ZRXH67Z%YIAT6E>9>UD@4ib$zgY)62o0Ml6JI+W-J}IdGhr9o>V=Vh)MFn4m<~ z+|AS$vh7p_lk4X%o~NeUYjVZKkYiG5CT{o?UMbJfP&fJ2gsm>RMf_HVqDHTGr~v~-z{2v_SZne<2uh# zPXSpr{EVlIFN@H?$HmYklh3{AL*r4ORZObLP$|2gB%dSZosW=qkHP4w#fz}+i+veO zlgl4zTBgv&fmo5+{nF@2oR70)4Gwl}X2 zd?(P8CNHS5lS`2Aim)_umQFnZJ)M+>OEJgKFU1DIkhrj%OAqEl@C@`zu9ew}q(#D3p6OivPyqD;=>X4KJ!=H+H4bp5?_bXKE#SjY)t}0-qxlwiUC* zg)u==-;@zyzbxee{(M5rw7O0*IZH$p4#d1r7oZlC`mm|S3Q;|sp7Bt zxIWxf`TJ=m2bYD`;Bf%Oy5CqM^u@rIfTB?fU_x zOF3k4ZE6C=Y);4YUO|WArEMlFt3PSXB6f4H=+tIn6*9|yrTpa6ac{Hjrn97=>-Z%F zZphR>vHvXL|K<|31TB5bkRLxG0ARM=@%kftFI2TS$|HaZkMNI*a#qq%vPmD-tBuNu zwlN>~6&tZ}@W-FeghQ(}g(aGusi&cXx2w~F95A1r=sH;(nSoZ!bf#i*b)BjQGfV7N zSL)w6C0ih;`jb~7JXPMEf5`>@f+&A~1@b9!w4m@~lkTds2W`~>x9;wMDL!0r++P2F za`d&%(gC~2k1n`%eFbL}g5Q|9`KW!2i$8xo{&|2QnBjLS);{df&W3~CIe9$Eex<{3 zewsb7`bjxM_2i0=olDThqk=g24wi!RztU1@(wc*Mwc1}5i`6DWK82mWVPw+$BnIjW zJ~5b)ZR>m3vLx490q$*4>P>{scHmmX_HO?6;oc^tPk&Z^v7pY*Kj@~GVO5QK4kA=2 zYTedNzDxj6>4&K)`Gwe)u>8sbw~U6K66Nj7v-BdpLpu}d{<85n5=ovYAY?l_R7{P$ zTF#Hpr!<+XJtQ5oxaH}$&t`{$!o%xnhMri4g`fZN=mJCIdxQ~rZO(k>I>rDxPC+>d zZtrZ%*2zfFN4%vR(S{r-8eO`S?!HCKuh_kjEci?z&sT3f?II*=qVyK!aIoS`*j_x0}Wl zQOk0InYnLDHMBipvuUHHYhp#ss%l_QMTZKSdf}4G(9Em0!N}tNYYoJ6kk|y=eNlzY zn{&i`{JH(^SVu|tlK*_9 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.sgdiff.hdb b/YL_pushcounter/db/YL_pushcounter.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..33334c9c788180b289e1839b7c7d2e4b1590a995 GIT binary patch literal 10649 zcmZvCV{|1j6KJuujje6m8n?Ew_14&0Tid<6wQbwpTDRTWwr%6>_kBO!`|(arW^yuT zCdp)ynKKCn1O!AC668Pl^IsABhc(Tee_A=%ld*HKu(7a{iMd+YnvnrG0Ay_3tn2_* z02@08nYy{No0X|KnToNg4H-L`x*VC7xe1x1nU%{wPDJkih=YK@p!_d_h(P-vPNV-H z_JBOoM@l7@OzJ`aK}vXziU%UW2UQze020z#5q;CvsI(6D1%n`6Q_~b=s8|6UP&e>U zFM0L9Yu+{A7cOV@2PLsZ2k>G_K>-~V9o03G`YN$0WOVRBRIi`&`s?#89Vd64?(t(H zS~D#qhc!QyviLRD_4U^q>{grY^qjEK4St(SE?y0l{hBxZTD+hf?cjwVmkr&N9GrexvQFYq;ai*(&R zrOkn5;VY*V4uESbBpNF#ZIliq zJcZc!9k&p>U-=Fgxb{rJZgxi-$SZEY8kBijIl)wiFJAjbj-Y8PnVF=I*BsV|->@%w z1rCPOlOn`1j;!yyv2Z3m;cF{-OeE1 zA4>IvB8AS8LRH82eRWVUG_-Jj&}|1a>rBjB~etRP7nhX!~HXhxHC z`w*zus5j4@EyW19gzv zaqFJ}#)Q1a39&9s^a?`Xr>Q@69u$o3&>;UF10w-QtSd;$S8BgO2+Y?A{TQ7hx6(M7 zJTD-`7$Dh=@Tt(|7J=bblQE1Rp*`R;)5H-xU=7IUbTYYBk8ioq(TorbYb)PkS4NuJ z_=WQAj3M-j3zlllAi|ntpCYr`?;7ilv|)q#b!=UX`9hqS6faj6CaV#N#1}Q$j6uoN zp2dB={Dvwj(aTbAo8Ri*GA{zn0uCVQ+n2ivf;wUMZGXZnjyYVwLc}9RFYQeE{fOqw zaPw5r^k|wDF{?A`7d<80&VbN1cwBk9qkPKUCp^P17g!|Ctc{r@MUU;xTO2w8OzH|R zygih87#8Kv$^iPx@n_M7c%AikeO}<&`(qmVbHb)ne zOOY{DJ0vztFU7m8B>%`+F)Hxv7;mGC8j90dYRB;_QwyazD9Bye{y{lT;moU*S1QC` z^ulfSo5LKx+-&%Xam9Sn(O$MCbd#ogLWo>GsdVQnEd|f`UEzk$c5iu$8trm1xMRk5 zT)2Bdb8_&f^ek@nciv7EJAce(KDFh5HZ~81K|Q>d3W;uP2>&-Mf>3wv904s*q=A#soLd* z#~@(Vg7&ya>Q*T$&X{+w6de1cjokir6lF#&eYUF)DN10r(mM;fLN=w>%#_4Hwo*oq zs&ge`Sx;SMPm)gHu1;V@J=CNlq$?#Kku=MInXb8azX**>qDsdvg(Gn2sE&&&y0?a> ztD>;8vAkw=L6f6?Y|<$>v}!pI|5As!mQA1$D9}jB=u(-yp_`a=YT3N8!vsMJ-eZMa zIA%NG9h`?I=D`)rnpH;v63+f!uj7)Q_<^MaGzBZ@GGr^&L|?mjStIksEhrA=o)eDf zXmp}UNZ*%iJ%{pjjd--1)CnPy0Qf!Jp%pU^2L9>{9yh``RJ5NN6UPW+#}2eW=ce=T$FQaVP0}O{I}+e|8YrDH0>SyW07y7eAqY*Pps!%JWdb4sIuZd`!vwX z-9#Sx{TUY7S|5mB8YVn zWT-~zSB6w`KETew9(R61-cuaSI<7nn$(<>5->&8iR9fVP|7CRN;Z51uU^xE4JaqQl zy4!_qAPHB6DhNcjUjm!-8GN1YgpS)-K3QZWE%!cR>H-od>DUt1XFQtqp^?COQ~|cI zN$}{ou)4+#FKmu&t#oHrrdNKQme75<0Vda?nmO&yeb1$mUG_5^SaC%aY1iy@!~N(C z1eV*qD>Wva!w0n>{K_gaWzl-BNLeLsfetf1g3C;(b&N};os?Ym^V)+loD}p-GdD>6 zaw(3yk$GGGK2yB7T>5v`8hy^R@udC!VAEmR9m}l#U6%&W|0GG(2ADxyoSRU0SCKt1 zKdRSfXkRxh1wLrO3EG;U@y9Ct8$qj|B7YlCg83ed3tSXW{)CW|y+zG{)rn`}i2A@- z3PT&Km>mC+7rDqRdbRb6^4PCn<6W)nR~@Y9-9~ywB-{$sJUkQTj#kayIxrn>N}_~o z*i#2%wecM;#yR$;mw_D(homQR5cyK)U7Gi|L>Pl$)E6`~zH!S`Y`K35_D#Ol2J5lF z#t6Iq0H^1m?Etwhx43Q056JsXk>HE_EK5s@RO^RHi3PKaY)4cmQbUxdnb`!OC*F1c zmOu*7fh`k^aU@_|$z5Rz$DspqX^KUEFMLrY2_Rr6o^FFZ%Kte&DS1d}n0JK(eq)dJ zBT|rlYuh_57Y5!4knOsG-;q$!tXQzWoaYE{YGF{1!Z=6=(P&4RBB4Ii>-tF$i6jU9 zHLv}Y1-<-<50b;q<1~wvQB1lu=_OQAmio|R562e$s z_}SkWC_qnEwh&h=j)4HZNRTMsI!NHOAK6Mx;H1sMgza&N`FXSWHl0*qX`FbX?|w_G zRV@dZpNQ820ZVE_g$hGbx97)!Jl5khqUkKbp5=BWuFiC5@bxarOQg3Q8SKT2FL;0Y zdDIxKQ=YuTvRp1iFWH~KZDUd;Y{0NCm~pq@7B+#L{Svh^2S7Etm_n(wo+O_gs!DGk zJ1`iqM!sz)I>eMbq<*o7yrM6NE=~y9iPeI@DeyY^#<4G02wlvJpjwWs_P70z`Kl<2xeH!MPP@cH2q588|ii7c1BC(--9~U11z+9009h7Inkm-rdu0egayszvmNxX}ZVgm83|BV) zp+E#(hdgpfRy$@P6k0!TW`mC(o?{fErsUL)86NccOX{~1y-f7WY4X{~c;YX&+q?i_ zT^DkMP-Xw4^cIc--hF`2{0Q`q07}H4ZW$F!KXhy0ZM|Q$S1o@^t0R4IHL>cpS~nJS zHd*;>2Q|YGGoKf{AR@^K*TSipZGCYKfr=dx52wH+u1LqL)SX&jUuZ1t>~s}f%}>^_ zGcCYwwA{{*epsS}TPTRkb%<<8oy>WmU8yZMHJAQdUaV1dzH7J3%)C3k%P4?bX|20F zQ|Gu1#%GN*349A~D0Cypra6a7$BS;{Zfc5RCA2e~+W;)oTiRBdZZCNZmoL=CEhNXO z^c)R!P3HbcO!&FUwGmo+5>Yq(^B||eo>}6&7nqtBB<<^8;AK#DM9_pxs9NX5Sz+0& zdAA--v)^dnrn#2Zs%*nC&U^u^Q`W!6D*X7of7fK3 zw;CRvqP-!%a<}gv0fo_qV_EsO7Zd)Y_?p%uwawNu%TtHu5o+~(dH&@+Znen;|ER0R zXPp9Hr7YX`8M;fUQ+1vc=F_6Kmp6ar+tP|lD$>NG)ru^Z*H+uD@|4*nJ(w6_(bCs+ zbwbjpr_jyrMD#_j2!#}fR?9Aj(j+X_+NgNal(e}Ku4b9r!>;GwDI+}j>^Kf35;kKo z^WxSux_D_N?Gf^G4ddOm!4U`Xw2$iTetPS+<8lH!jn*c2O+yr@}vbU>8z}s*_+bGoLaztWc}}g`kdXQGpk$qZ5|dy zcK2HSf~|4i%PtlPYR?7v3c?Kb|FC(rTe4)c=ynR8 z+U3Zn5GWKluz3){2#2HH^yC|mUx`a9%p@HC@pvy$TXd%Qu-;8CNuzxhCZE%ipRRkk zbKacbxz))D#f^r^)hK=CXN^y}og0#P6KpvAr8nJS;PpB;ONUhDmQ$Ku(>ox4ISJK~2@hQVf4ON^TfuzT}5 z$aC~<=d|x|VWjPc^eO${jMFI&&!~ZoWY2{QtPfvxum36G{`-vu=JW+uA*Ic!Ujpvf(x0uC3{xR$tZ+pH(0+fp!W59241HhL@Af zVhBKeq05%bYAd^(Ke#&HpBh!r$JHwDDy-uze~ruR9<7cSv4oiGx~Hyw1*=^SpWG|^ zGuOLiw4FRRzFH24bU*EGPt9?IP4{`q1bs7-h(4alr91DMUj={gj6eP|FLS%L^Hnd` zfAcbp_B!rjeB8_+x{048w(`yS@d6C0hC$* zrj|wDQ}P+@H1#XDT+CTY3@LA^G%ZR{%Ami&(L=&Y&g}iY?8}o^*J{c1vurx4;nAJc zxxnfv55J#<_iMxHWP{H6VawUu>>-bpkHum4&%2mAc_F-fsrXOXbB9EdW33!ts&~qd zg>o-7&^BeXKQXAs~rB{##xQYv7Uu6(=x)0$q%r_3>6!G#|0M zb_`upwoy`(DNv3L|6V1ZOT%2`IyDyKDy&xIs}2r>+7%Xs z89hLZryGO*jja$hTZs*oOG8TuauCS`Clr2trB{S6kTApe5uN>bZL25 zlXC7BTOWUbq5e^RC$h48084YaAU;xXkogG#10mz1kTCA(OakZKZX?!8o(t`s0trz( z#NJNZQNlH8w;T!67Hd&APH&eJDRzQs{IB%{lJJbN;hysxPTYA z244n2U~;o`BF4`CPDLe^w@f!mr<3`%EDK{jwq4ECcnoCi*oF>umv)G#w?Tx#Vt1U3 zd+fkKGwgh5upfZCWX?I18q>RO&|3plT(rMOQ|+uv>0Nw(n0+nvU24rT+aq9XHfWIu z7R$J}X({(q@Lyn-7+X5%uEmyLgX*eHHVewgG*C^5PgO|5fMVm~IiLx*8 z=4qk)wrj_jLMR+q&uN3=b!BsoY>eZ{EN$SZB`;HKLR$8|Apa@bp~60|t3E3sy5q(j zD3fW38KIA$eDIh{AO@_a#A?&nf+c&(gM>}{X}Qqs|@~@;dnsV2)E2N|4>W$fR^qc z4StyF+JHv`1`uxN0ZTDRoNbzBxhTx}Ip=@OO5Mfk33#LHA^&)^#Ky_>=dBkAPJltk z&W9By7rRK&EHL1YSvIX(E+|`LZ75-$q*c82Q=Jv%^%u-};dYS!uv0b z{%H{LpF@xx+R(3Xy?5Ly5O>KGi8S0damLpfU6C0k%pXF+N$jqBQ<`{AZo?qI%q~=% zqHiguysB=Vo5v8#m4s10Xhs4MYfklvjxPe+#n~~umaQe5OF5K9&pbPFg6;V97e&tq zj&%>rmPzVKW&>lHxrx=BNk$c|uj>9y)86#UoB-k8LN$8PQI*4&g^F+=&6LGsGvG$X z3a&Y{0+KLN_V2T?)cRY>7SRjnHncsV*jJGYXjUfDDLlHf$72~A63@*4H+NI0XVkj} zeTeI}uS@$e_6{B(I6cH3Ge_ubcH;cB^%iB_e8;A>F1{B-G-Y8|s$MO1_ol!Li|G57 z*OM|tXEY)!FE8b^ZL+)8EzD-NxMF;C=Wv?glP?C+$m5&pQ!p9lpK7R?T9BN)Zzxz z;?c?E!w~T^WUPR{0jnS|B+e!RFIYk=!=mU@Q3W>Q|Vqg*9x2dpiPbW z{2K7CvNF56sei)Y_TcuY(JmL9RL(bj%Xst1J|}a_TtNF_8z`ZGs0_KoeLE|{TjHQL zi_Km*7DpZq8(Y1h9{Ia&&p1Fv{cXGFis^KfU2`3bZSETlPd0v=@gG_oV2@7Zs@rJa zNuj5}YmYF9-waG4vex>L=BX0lSY>!CEEyfW-((`T48s8V<8Cu@bH_Cy=>>iglAs~nOH7rm=1gN zRyGCZOo`RS0jK)`ttIj50i{;i9`aQnsU9cYB=YX(=(Wz=5uPPiVYc1hOv?x6ZT8KuG0YA#z&% z=rmy`7W^^8`5DBl&I#+O*ZehK&lON*W6FJ3Zi$~g2Z`*x$EoOnMPx0@hXkFVx*LUh z5^aFLdX1$t?Kl)LI}X^R5ZQ~^iZGYDgp<%mJQkvJbxrejL;i`4NLmWx!`JiVV2IsL z`pwuym`t1`ksNI#HryOcY6hkR>>-G(`L!!rh@l4UKR|>mZV~AXQYZCl3lpXR19!FU z8LAIQ6|6lF*yJUj)AYI+!8>?H7pAjGZ)@ zoHUM{G=)4TnLHpr9M#-|5!!kh8eqBoj2>qG?;( zG&KZ1Gdj+-x0Nk*D)_sy7qfFrLWFXpz2AK@K|EbfJv)#gRX=8e%^1-IdznQ=5(%3j z`;)QyV-Zcr8%KgN5-@o1ctI?Udej&3akJGu+x|NUXi2Dj5m3e&r{n379z1Cy5mgFz zieN#q!i?Z`4xv({t4(!+l0oyA?!eIErIB1oX(*85D6*{2bKZUlF z$fdn%J>jVrFK|Q@uxCWz3_|vVAIG%-Do^q~ytB~?iuR7%Bni|cksK@bM)PZc?sLnGKjQTP29U9<8#P~o zs!q3ky|tEU&Q*GHTWzw1bQ4`8$M)s{drbiQz z@NPPEfHpCUn{9|0Gk>o)1d*q_SL)W7{(_Q;4`=tbAGLE_ncK^swB{&6=7IRD(DscY zf52TlkUMVRj9HAZ)ZMzk0M;efY=LIC{+DZ$BFt;)lMuSYZ3QO55-x7)ra;zd#`Z8C zCB;gTRkkKSXCL(e6nfTCCkn?FGh`6wCR=*_4Aiv>Nyt2iZ#62X!KuG^WIO2^0ld`& zo6G40Nh)>f6uWp*w~eBn@U^7%o}Mc+R4s7PGvEpmmvn*k`~--Qp#VD?9PDAi8#{^_ znzAc$iL1nbl4zG&A+pu}EK;U-PIyFe(kHg`Tj_P~PBM3$*r^Hh6c2i;2erb&_gehh zoGQQ`-aoJKCZ9I44%j0hxFI9^RYro$o$^tiot{KQ_a%; z^{9d$vd(N9d2GXqG%zbzD-May0@IlXH`~QNUH~?7!B+9kv*hF6ce!UF4l~qoMZc|aXfb=1yLlG4G}q2K?@bXQK8FV_~A||hsz#@pad1N(#*B&Tfkv7 zYtp@|n^_%E;>wIYdJKYB(WanChKjS+Ef7s|VF{ygkdwww&qS8ceZ)6upGo@%m=%!u zfVd#?l0_)?*1M6|e>UqUqGo3!LkKZ+?i5^~yN?SpZdae#$G7m5=kJ?x4F&Pe=Yx9p z8X;!5%zTxeHAQ&CjgWSB@|0{R=pXg)#x`jhygQHylF3W{#$t$gVEwMBQ&TSSWt_H@ zTGN(Vlf#>cuob@OBgn2D4UJgBnSh9PAJxWc;Bj-6vaktI4~CgEL?Ni#695=Zj0N>N@M1VK{N4|52-B z@KWl@_sq-lW%9J|$>-9vHrv3dt*vp(rlpv`!+3-KMn7AINAGORPXUF^f`{=*Mj@0M z&GvREE>f6HgsfjvYm6*Js+3~p_)LdxRbo&uLMs2mgiMHq<5?PMK;@y_g)gk$Ffr}B zX(0ahE|Wm~^6w7+X;}o~TeS5;v48Oe2Jl{Bcm1;z8;6wrHLF1Ul@FD$w+!-X z*cS+MWfyVuUER7EEBvbgG8vc1q3-?5EJd>E&^w+Yh3xEPzJR@dj!l=qISXb- za&!$sMlLv##(%w!YDe`c4Eebj`I(!{N6b;q{Ccb2_*7#g3Q&>(5$E%EfSjraFlq*$ z_|QUUTtX$xpoPVC(^A;uU~IyPIZmvafziys=YW6FmU9X6GdY=k2l1EsuTHffUI_^W z2y*QQleiITK*C=$u(Ub&hdDR}qONjP1rs9$vbV%y9p_Kw$YVsfxA&R%OAY+fa!#9{TH+HuhLc@D zt#EQHi^vjBf)5tF0>Xp9jGmLpmae=q0c%t8`6c)rm)D)=O-W*nk>Uaxim-!Z>eC=> zjdj#hL_&~`f&>!-EdnGA0!d2@6j1EMQ0%Z{T(^Rr5bFcW6TEBOg$g4-(BPP~F>a_(I_hVyzkA5IsL95Yia1;D^i7bf=?YoTI`-6jL z{XJ|GjpFON@%qCJYb{a9o#Iz+1yl#3WzIGW=W*Bz;w^Dm96?qYvKOsfPe0Nl;*(3J z2BMtYt8O?;nRgk z$ov;$Kf7Q7|YqD0x{O(G^-<>=_`E~}6>&*=?YaXJzAo4x)&}z4i zwGW>@iukFG#6VNvxA*yw?Jl)XBkF5k^x#7+RdKfa`+(Y?JN*M&*Ia4CC;^3cZM}P^ z6gyujb}}e-^eA>#DRyu%oXA%O74?vGSJPK?`O{Z2@L19}*A}Lyn;k`^z14q8%lo)~ z@=QL9N3g20u&~y+B!{OYwlnH88ieN^FJZ&*rm!CU==2Rvx)?1hU0M~cB+0C0>0o9j zuSM*(2w1d!)k1b>Vbt&f?OR^5+#^%-Y}P?(oHC)fB;)p{HE5{DIKpbVB#jVrGcwVm zP-Tx>z5fLkCA9anpY9p^Ock%qmaW)dD`-M>D{PCJjL@2@JVk2vIsaMJZkQKh?+m^^ zwzfjPgo15Mi;hti;NTgK68fRI?QCIfGM*mBky?hR{O=#bGsJ*`DbzhG`%LDn3mC&!{&-e7kH;U74!dby6!!Y6rKolZfbRE&X`NA5RzY?yj-JhgpEtw}jGQ)@={|g_q*N9JW0xewNKd zCLb~S1_gZ{8y_n&yhj9f0*|ADnSs(oskZqtu{xc z-d&t$qOqdX{?1K`2>|YCZ>%ud#OLJJ*7um0V;(BBH$*rBAH@$rt?dE4jD(Zvj`j(f z6&r!8aZe?GLs{;k&m}f|4)Ul|YVJ%OlJA!u7COnCtW)({Aoly6V8gNvV@RnIsHrEgcwu zQ>S|Q{Jc1GQ$}JoPDld^+>Q%>-e>S}>-B`O4RL?ApEG*Y@msbjtSp8cBQ+R3EekHD zYxFRlJBOYeCogx;(=X(*HE#zsKqs1FiJ_3r+!7=S5NvMIEQSRC zv_)^MtAsXK?9#i#sx+C++qTc-zO|cNR0MNi<(GYRBe4iR^lL`+d(yL*In+LKN*Y1w zNz?hu(`W>j=@$S*eE(R`de~i*umlb61q?KVrePG9BjM2=>nPMKf0aji;)5mJan%|} z2&Sb%GY&U;n2+#}48haJJh`y8P(x~L$Yu`zc-+P-D=)+q>}${7^*&zed$t8^)qMA^ z!7{MLRZ@e=1(N5DYwK0bAiTrzY(LZ??g z@`ieDo&&ORVW>J3u_EB}g2)R#*eSu80wrAsIu|x)sRh-*i9x&g1RhPktfZZi;6mtS zt-T*UdHLdiX&8xtHDL%rZYV9}{U+*IVA>qO{q3iAPDsB!uQ%>X3=2`AFSttCCm9YN2y(6eE$K2D~u*%P;H-hvp1DN11YLRoLwV zk?zFt(2sTKlny7E^fVVqq&N%DzY$ahQY?XaKQXDNI0AW(R!RiA0%lCr^YXINO>v-- zer-dAsSc?(+T`%5FCz0=edVV_m4Vlnnzr!fNg&x3uZtrgFV758)@*hs{JKuUtm(tHUO!a zqj>WZkwO~x(d7of97xF&ez78_0hlWVXkPqQxrCM*EjU#Jr4p0lLW^18#CF+RZ)cAJ zF<_hGQzJEG`c-j8+XVWIoMrrv9H+tqbTGE)Wa7#TnM-rgRTV*u!#3^G0q{ET-0lGh zg4=YNh`F7wr!1+_+HBtif0-&TzZB8C zz#xra6h#_I=NYQcHzBD4!q%KT;;L;xo#Vdk?_*dz7c7z=vEoo!l3D5>Oohp2VG&X@3f1(m*>m%Qd+x~^oA2CP1NHUnRqDLoh|xt`wPN8WKHQABqQM2ZH5zi+Csn3~>r5du zPs&XSF{c*VnY?y)m&@_udka7t)Uk$^&PkX23iFh+Q|(?EU|2=(=6oa?kEl}1<`$7e z|MtUBs-$%2Pe(kK+;pYN0Ph&uqxRpkwy=B;`;%_nQNv_I5YyS(`$_h>OM44Hkqjfi gPRO(OY|M;Q*117YeN&o-= literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.sim.hdb b/YL_pushcounter/db/YL_pushcounter.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..333a6e791337ec06f415a7b30febfe793ff7a951 GIT binary patch literal 4680 zcmV-O61VLU000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IkZ00000000{f00000 z005B*00000002l500000004La?45gXR979xH-J3)puP(_Iy=5brw+*`0SeR7yX-Du z%VsxhHbGQeAPEqKB;*0bYSXDRPKRl2omT(QzkF6pfkJr{Yb~~@we=5Ybf!Avqt&*& z3iQFF&_ewF&OK*ybI-l!ce5@DggukH=iKxAoZtDK-+A2UZUzPh1}siy%+1JtA);$F|=ON`bD*Xv;ymXJkVDfVWpBY4 z48(q?;tANP2)zl3GMc3NKqe^-Vv;(7CDRt^_H}58tQf3CO&6sH1HOMEcF1)I*0uN; zsX48phmJHRFsz=5YiF2o`U7YO|#~Gr? zbAONydAT94nQa@03u=SB@R;4xwt8J#NAK_zcr;?eM%f6u6sL^|G^|6fiz_5b6{dkm zQ_cw?n`V%Cmpd-X9il5Qiwesi>a%60a${F!^7WQ+X64l=H6;KiJJ2GJnR?Jv~ba5R18G zkG&@;+oBH{gv=g$Q)$##N`TlQD~u;4F3ZIFSN4k<5Nf>G>3!=g8S<+ahkiTjU%W#JRp{yqMZotgP5RGTCYJ z^~ESxtWJ}iWtsP}*yI;eKU^*{Q~QdQnc7~g&WllQr0q1-SFG&f`Gp>=`(MMB^7`Fg z%S(GaD)#tbDrft8nddzLyZ^k~VCq|2=dA``ijGxS->DGK88=8%dT+Lkbz1VOMwt+$q9v z9YhYMjS6pdcf&>TsWu$6LH9w?5{F@$9onGN2yL8dL=hglnl+49Dy}4 zVs>HuD8i?Fky1FBKWJ%(B<;Y*TdEQ2n>qyt@rOoDhh~SSR4Y=1$F%c8O{tcl8Kx0h zhGv*HqqHJgEt;j8PqkVWvIni@ETP$K!LFS`lrk zYiEv{j&D;fP*j-6&F*ToTC@!H)tQ10?Lt#4@?o|XG5DQ^Rx){bC?s0xk1S5*eeTiFNYF;E@@qcH~LLR*f? z9w>XD?18ce${r|tpzMLN2g)8Odtd}S5UvPUgeK5U6#Ssvik{US6(MzZNYrkL&(LSY zEfsa+31?HB>6LtStANlQ$y_#?ZfwY8bI$V4^y;AC zdcM*=Ak-z%rd-BZhcmq~022X2vpAQmPp0dfr9<-u_h@$FFVwtb=*-%0-!dhz74j6~ z*xbsdp0@6uO8i7=duL^)qb=UuzM-wMr?; zdWDt9^cW;+m4UPc8`*1E30iq%4G`+sw%fWoyL!}Et>#;GqZU;io<(e83;ks02el!(sOyqLtzeePGhI^1p!)1Q7(RZjn3_1P!USqUA z5so&7>zh)!WNc|HS8!iQ%#f?P9k6a@LLy2@9{X^9wS(it8(%OmG_PohvZWgIv-(% z3Z0&KSEH0vfFLCif4_|bLJN}SSfyh;2TYKacz9mvm;{772u$%;1M@_CTQe$ALj=kkKbW(ete4L^heq)a5a*B14J6y-$Os1+jTyJe7~knNu?;8k)KpAlJxw zV3=OL1&DXAs_N+Znwg05)yxM%*3PW*9~&{Lrh29}I+QJO)|LcIEMSQ`5S(k1td?6@ zVi5?Yds3{DdR|GIM>0Ipz$1%!BnyP|Z6g@-h|BR6HS@?4ww^Dr#8Q^{B5%l-B$8_K zJxTsD5L!bTvYB{OEEmotvh`|KxZ`EKy07p^o=2AR$O<6zyPh~3i>70VxZ75>zcL`# z5|C>R$h8IJRt4l%gPVv(H8v#t8@nc;vUYG{vSbrc;C25YKD_@p~$0eI;+(97b z-af=DIShnr_Ay?~@c?R0fHB+q1_`+`C3o}413dC$9@z?nziYQG5VbuJ^=Ke!M<8lvAnLI|)UH6( z?m*OMF-DkSatGwlWq8co&k}dC#N8n13m5gtx@U?^~^$+ zEAa!i#vii84oUbwme?tY8sDSCJ`h+E{I5t4;J`rlTGEMVHk?SuUF|6B{s)}78~)1@ zFSEoeAYifSVdJYja*(a(5KA0pi6bm=lqFtciDN8roFz`M#Oo~a21~rj5^sTEdiNx& zOevb=k(wD*;l_rc50da7h}0~n zOAh+SiIK?M>N#_(s>0#==+bai?dQYQRn@+0485x0t6ix^+=q>BN81nW^Q+p|whejz zC}cq>!>fpC-5Oe{;~j~E5K^OW^j(5~0?*Ns-rd>Ro9|lD-P_*V-bwEc&_hWPEE!Yu zQ_ysLZ_G4hV+qQ^Q_g~8XrIE*K+$*E8dA|%qCSz%<)i6%KAUNxHwFaZ@$qvM^5`FG zo(vCxU^@91Jn~B*q910>o>f~lbGFhhVn;7;VeNSY1iclJO^Al(W0~}VR5E62}+ zHy)ZA;?Z0pNQrIUW;m?g&JsIht&KVKLo^%DH$=0!BptsUYK9~qLkY&!yMR#V44ozp zExUOgdw_WKxkJfbmUx^c_OZmTSmFtmc#0()&GJnir0GPS4;Ta=kR@!a@boTSY*Wix zyc`ftCNIVwEr0ZH=ID_Vr7_kmS}0YT)@YT!#*v;X(~w!TR1$+_Mf^KHm8K;?rRo24 zsq_s<)BoiAKL7v#|NnRaq*YC96;%{I!=L>D+Q)yP)CVfI zQY^YD7KGk6@4k6c-rN~xZi%??swrYMd1{cPB$`N!65Sa{U}K^SfrPkGQI;k~HpT=K z7n&|uXiN+c`d@#pa$af97R&3esvQW>cx z1Jh^;Zx3;ja58qKY4|NUHbz{Q4`qKW|1Vmr-B9P++5N*dPkkYZ5R=Ilcdq;Ly^3j`vVJ5$T5|!_Cs}Vue)Jp z5x}jVpZwiH>-tj*1)nyNHoi)ahdJFAmR_tPH%RQvk8fSi65BTqfTe|&_htg zLl?KV1LEZ7+?FQiVYd6ZXW#mafGd$myW0xg{l*Oo{~my=D0}U_*T2OrkMg`$BsO#+ zbwLNNJwLpBo;X>QlV%ueoAG*$1RR7O#(w{C|B0o@$!_OdQ(Z8QmQHvF*RTE||_B&!@dAsa2W z!6>1fD{`&Pt8*kx^2|d@C%3vqJCz(o@yPV?j8743as)~9 z64J4+y!1|IZH}R|X1@A36H_Ita=@qauBnAsBPn7VBd%W_oG%kHR4G z+jBo%AbB?uMJYYp$N9OSj#KoC`*AvHzu`+e&nSG0p^B?>`PXl7%iT@vz|DJ%99RKo zaUF%L6jkUZz{O|1fzvNXvRk#HrC#E$dt|3&|b;gvl^Mq`$xCLxfv zAy$3q1NSO%vUpoZQ>^nC`#V*6afUEiZh62ZQp9f4?3YF3ZD$LHPRzVSXsXZy8slD@ zKxd3Aa5nQ(p1%OY5zRE|*+)&{+aa{vLrfb2&(uUB_g0ICeV9Xea%hx_2&j(v2G=Al zY-iS96h1f0{ok>po$V+lTP} Ko&N^_0RR7?(F#@o literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.sim.qmsg b/YL_pushcounter/db/YL_pushcounter.sim.qmsg new file mode 100644 index 0000000..c06071f --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.sim.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588564569726 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Simulator Quartus II 64-Bit " "Running Quartus II 64-Bit Simulator" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588564569727 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:56:09 2020 " "Processing started: Mon May 04 11:56:09 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588564569727 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588564569727 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sim --simulation_results_format=VWF YL_pushcounter -c YL_pushcounter " "Command: quartus_sim --simulation_results_format=VWF YL_pushcounter -c YL_pushcounter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588564569727 ""} +{ "Info" "ISDB_SOURCE_VECTOR_FILE_USED" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.vwf " "Using vector source file \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.vwf\"" { } { } 0 324025 "Using vector source file \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588564570064 ""} +{ "Warning" "WSIM_MACHINE_BIT_NOT_FOUND" "\|YL_pushcounter\|pulsar:inst\|s3 " "Can't display state machine states -- register holding state machine bit \"\|YL_pushcounter\|pulsar:inst\|s3\" was synthesized away" { } { } 0 328028 "Can't display state machine states -- register holding state machine bit \"%1!s!\" was synthesized away" 0 0 "Quartus II" 0 -1 1588564570150 ""} +{ "Info" "IEDS_MAX_TRANSITION_COUNT" "" "Option to preserve fewer signal transitions to reduce memory requirements is enabled" { { "Info" "IEDS_MAX_TRANSITION_COUNT_EXP" "" "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." { } { } 0 310004 "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." 0 0 "Quartus II" 0 -1 1588564570158 ""} } { } 0 310003 "Option to preserve fewer signal transitions to reduce memory requirements is enabled" 0 0 "Quartus II" 0 -1 1588564570158 ""} +{ "Info" "IEDS_SUB_SIMULATION_COUNT" "1 " "Simulation partitioned into 1 sub-simulations" { } { } 0 310002 "Simulation partitioned into %1!d! sub-simulations" 0 0 "Quartus II" 0 -1 1588564570186 ""} +{ "Info" "ISIM_SIM_SIMULATION_COVERAGE" " 77.27 % " "Simulation coverage is 77.27 %" { } { } 0 328053 "Simulation coverage is %1!s!" 0 0 "Quartus II" 0 -1 1588564570188 ""} +{ "Info" "ISIM_SIM_NUMBER_OF_TRANSITION" "364 " "Number of transitions in simulation is 364" { } { } 0 328052 "Number of transitions in simulation is %1!s!" 0 0 "Quartus II" 0 -1 1588564570188 ""} +{ "Info" "ISDB_SDB_PROMOTE_WRITE_BINARY_VECTOR" "YL_pushcounter.sim.vwf " "Vector file YL_pushcounter.sim.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." { } { } 0 324045 "Vector file %1!s! is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." 0 0 "Quartus II" 0 -1 1588564570190 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Simulator 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Simulator was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4484 " "Peak virtual memory: 4484 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588564570266 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:56:10 2020 " "Processing ended: Mon May 04 11:56:10 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588564570266 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588564570266 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588564570266 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588564570266 ""} diff --git a/YL_pushcounter/db/YL_pushcounter.sim.rdb b/YL_pushcounter/db/YL_pushcounter.sim.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b911f6d0abe820e65942e682888341601cc4c95f GIT binary patch literal 2984 zcmV;Z3s>|J000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Jf(00000005K<00000 z005=|00000005{900000004La>{|J6+sGA`dsn^NMek#ZZFdvYwj|q0y7_6nvK<)p zg|(7&5d;B6j%3yphsznt)gtiz&n-~&PQN!Z6e)_5C^;#x3lpHo;k^0Y%$qmwvR}P= z_3FR&uHjl+t9=iTbAONoj`BswKID;z74;RbwJ+hze=zvpxc`M4@1NrFDL}rCE(;>! z;y`MzeFZ->i0*&lrdiPYKXCuefP51qN4)O@>_jNv7ivfD@wa37K^+e43!Vmkbe#Z~pAo1wk<3mV-MX~6|&R{^F1m=?Mmj=6=@u)je z3fFfO_n0T#WWYmZb{6CO5L^bPNlW2>j$$rlFk&+4{)xMa)s@h*fglG`Puvxu=NoC* znOZTQnK`i|OfZCm>*q;Vy0IT=J=*QZoD=i)RPM*vT5Jtx2Ufh<$Q&_dY2@uhQQ*5y zH{c9U&B4hq#}Zm+^($XpK(rtm_-3u^Sc9Y3DBXM?;s!8@SqcC~2{gngMLjQCvvo%1 zk`0_N={c@SV#u;PO4mV~4ix>VZe>BTg&sHwW)l0KknDY_V!xXx5z9@+&I0a$Va$8b z5G&()Zi(Zi>52A|SnHg}mE#9;v#yW9`#5NHMh*gT#mBVmM=n34$&%nxnQOV#z#jlqC{S}A_-v#H(_o!hgUs1Du2M;e%N>{c`O?s@kQe_ z0m{S~LL1k#)*r(`0Hf3zkW@=#_3=RVzl^T08ock~HC@rX1OGM9O-$(xHoyuOt@dYl zw#6U{xZ((7%!+!~Qv8*1J5>vADRy-3%8jMrmdO47kriK2JVzoepj zf`vjMq|y40$fmVG$-nX0R`POTmRXJ0AxQ{Ow9)!JSrrG%tJQD-eJm5r$UiR^IoI5)1c{(7h}dz zuz&3M$VnY}X+F_5XW@bQw(4@pF?U9h6$+*0+Tj^cl10Xdo$Ki%OtRE8w#g#9KZST} zA9+zmO5$m4Hj#T9WHLQD(BA%2t_4?WY9&bg_N}uJE1fgtRbQRYWx0ai0LF)pnl*h_aZeK6B{wnu#(l0JGfB{HfI-A!y$Q(1626*_&ETLJiwFoo*tyDKWE~K$7h%s({F4S z*rQLlt*cJVVxn=E5Mu$Ub`#0m3nvVDAaM-yT4;~*qS?I=Lm0UwBXgx1H2AM3iG-NL*+=HIu#po1cAAIL*vFG$^;*q1QFRMIo$x`?}e z&qqNmGj?Rm4o}V=cV6u8J=#YDSJ}5`_>QBbH+;UfK@mtN$pJuG#%`e+3kQWXpL|Hy z-|(<5O@fUk1Ebl_**oTIs#RzstMp3e-w7^W;*r_fJmw{&HjdH~^9#c(& zJ<>`~I)f>aD$mwovh2GshGxQ(E%Fn2M?~R3u4F*OGh>BcQK$B`W25B2?6Qc%-lOQ8 zmM_XZHTelMT6=t`D-106@D&#+%8qr=WK0dAwb~}jo=H!`O6avlFZ0Dq=eo6RCb$$ar3X;I?s$1`FJ|Rlw+yFcXjft6U0a2%z-Uan3M0M*qcQC& zjCxBj8q==AsJ{fGG3^v1vZY16i;_S(vDUhmlDrA7s&qxYrr4*5Uw(eKpQj62Wz5vV zmIATbzRH*zFm67-w$iQ6I2&^V#t^l2HPsnsW2P9tTVany^rDsS@3Qn$46Dz&_57N0 zGhC~Txfx@daxcZ$j+tV-@c3B`u1Z%troV=SipO-)45XV^opSj_SYNPk5teT0MT|9Y z;UdPAQ;S$Qv{_kFW6CKe^w+@RcAP%$Q0B2q%3P~0rl5#Aay;LL-(>@I6!|O-NfuL7 zhD>9z*^ntk1xUN_wqEzVg@W9rDpaNJ~+G zq$+JuFDX~If%7kBbzi&q_Z#0N5DGQ9>#hFy`Yi2i?1!?u@BiP8}?_0`g_t)+RUBZ@q$ zWmYz7TZ(u}>nmhD-D{ihEkirSsCodh^*m*`%9xumzGsfmQjG1GDaK_6C3dYNoZDHj zcV%YWIL%aP7gJP*Om%WLWJ*y1(mIiSRCQaT=AT1(LE#Zz~ zu5SK8zEIVS>|le14A+<;t8(>qa&>WWb%CkkU{C<+kKOU`l{`=x%zB8m!68AOe(v$E zKCZs5eh}+HZslYEIiludNjZ>W5CUS5iy>N|Y8hD>41g-b*ercHfbxPUY9PL0WM+^6 zD&ccT=20GyDX?gOx&iR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.sld_design_entry_dsc.sci b/YL_pushcounter/db/YL_pushcounter.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.smart_action.txt b/YL_pushcounter/db/YL_pushcounter.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/YL_pushcounter/db/YL_pushcounter.smp_dump.txt b/YL_pushcounter/db/YL_pushcounter.smp_dump.txt new file mode 100644 index 0000000..133f42c --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.smp_dump.txt @@ -0,0 +1,7 @@ + +State Machine - |YL_pushcounter|pulsar:inst|ss +Name s3 s1 s0 s2 +s0 0 0 0 0 +s1 0 1 1 0 +s2 0 0 1 1 +s3 1 0 1 0 diff --git a/YL_pushcounter/db/YL_pushcounter.sta.qmsg b/YL_pushcounter/db/YL_pushcounter.sta.qmsg new file mode 100644 index 0000000..29f2a73 --- /dev/null +++ b/YL_pushcounter/db/YL_pushcounter.sta.qmsg @@ -0,0 +1,30 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588563884910 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588563884911 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:44:44 2020 " "Processing started: Mon May 04 11:44:44 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588563884911 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588563884911 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_pushcounter -c YL_pushcounter " "Command: quartus_sta YL_pushcounter -c YL_pushcounter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588563884912 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588563885135 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588563885403 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588563885451 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588563885451 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_pushcounter.sdc " "Synopsys Design Constraints File file not found: 'YL_pushcounter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588563885571 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588563885572 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885573 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885573 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588563885576 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588563885594 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588563885600 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.228 " "Worst-case setup slack is -1.228" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885603 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885603 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.228 -4.456 clk " " -1.228 -4.456 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885603 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885603 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.445 " "Worst-case hold slack is 0.445" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885607 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885607 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.445 0.000 clk " " 0.445 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885607 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885607 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588563885611 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588563885614 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885626 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885626 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -10.185 clk " " -1.631 -10.185 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885626 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885626 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588563885658 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588563885661 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 0.159 " "Worst-case setup slack is 0.159" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885683 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885683 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.159 0.000 clk " " 0.159 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885683 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885683 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.215 " "Worst-case hold slack is 0.215" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885687 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885687 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.215 0.000 clk " " 0.215 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885687 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885687 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588563885691 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588563885697 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588563885698 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885701 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885701 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -8.380 clk " " -1.380 -8.380 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588563885701 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588563885701 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588563885731 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588563885757 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588563885758 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4546 " "Peak virtual memory: 4546 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588563885842 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:44:45 2020 " "Processing ended: Mon May 04 11:44:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588563885842 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588563885842 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588563885842 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588563885842 ""} diff --git a/YL_pushcounter/db/YL_pushcounter.sta.rdb b/YL_pushcounter/db/YL_pushcounter.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8e6e9729f24c4a2714e9dc44fc1e912a1a45caf1 GIT binary patch literal 8521 zcmX9^1yCJLvxVU9?(S|Ecemi~PH>lC!MVuA-TmV3?(PzTTY^h)0zAI|?Nn{g>~v3e z@9sI%Rl67v5D+y;ke_Gw&nEFHwXNLT?VO#+Ik;HaSvklhJnbAT$vL?=$=P|?I5^oj z**Un#HLTpc>@2LvznWQmBj+I3P$1W_GAEa|wDb71j5_#S<@4Ah`+uqS<^QET#s5nm z$aA9;_rp<7Iuib|<0P!#^(1r`Eqtp2QwxSU6sf}ttY~%@!nST!Kh-p`0Z>WTv&b?~ zvGn#msm{;4|4^Pm3hxz~lk%mE&mgd7FCZO@EPLl{E$(s@nSFQK#hV6FOR^|rwCkm3 zt&XgdNHtH$_g3SdwzjtMh_jWa=MmS_Bl(}#9sE}{W*1y!mEoC?HNlU5GPT^hhL@@K zTP%oYjzH1DtB-4{g1h2Ikq?ucrG(25^u7ZJj`bgq<7J?!0!qQSmX=q4L{P zG?9kJMjX^R*?|c=X5)=cR{QMimKML3Rdhc82GQGLZ2(~e6&vc{K&o@(K_b3vFMLAz z=DQnpXCQVCvJ`Pd&!31t;dJ7%#0hXDegc>}-Qqf7H<3pkodg*4r~{Y7{4nYRCN`K* z73a;;zqKEv)D#iL*OrI(R#;&LG=CEOlf+@Ywszb1rPbCEy4SB_oYn~*-^8bRZVcHW z(nRp0aX#Mm#uJLRJEBHqcWwk5+A$-O2;m#a9zEFAcsP@ZTytjA82w9;@Am4id~80i(=6Ig?FF^6N8XZ)Hr+18#3x? z5rjz-N@hLZ){*?wj$*jOb<9#s`t{1xVnSW}uHt5`9PfX(-SlzY7NSLR#6BBw9uJK# zzw&RIge%wlLqkpsoMM4!rw+6I;GTWxPFy>C7RI*=!mmfw2=A-E@|+fSJsyw=jk+uF zmlVre2JbKX&*9aF0Pxk_;|Ecf@sn`3{n7EYYNK*PG)XgPESd|8VCE|vou_pGdiFTpQzYX>k zk_G3XHyfb^sPJ+xZV1VJ41#*2?7ig`1@@v0o3^5*T@W?SVN}NtlApR1STbqeZCsP( zLx=OfBb4*n{4ze5F7G7+22@)3R@bO2ss}lIyhqbKRJGH1stMuyhQe=8s_oM{{Zjaj zf2JSLE2TBv*xcwWwXuIPhZ26@u6Cz}GUw zRMQ*s$T(`d3CJ4OIT)Jzc99EZjo1U-$+v(Ylk19}FuN!E4_+9jQ+8`-FrUg+?6-;z zy%@+iHYY>+=6zrdLDfwcJE17@jS?FRzU=861XtXCK;Hq})r{ihX&ux#5!Bt@pSW&Q zh_r|9r)9e(PfayPflFj@9&gmzu=0M8$JAwLcZnlymFm$K5I7WhpK-QHaJ1_WX*br+ zo`L9_0pR%|cW4hFa1nL@sacFUVtlj}=pms3A?kLjIn@qm`1QE0`fHul@G(@Q9p_|i zVF1*WFnqa{y_3|_nP4r1xA>fFuEsq$=?Uej+O39XW>zvJUa@1#Lim!q3lOWi(U1(n z4l|0OqyAce2nWAifku>^rUBwAdBCxO*9*Y8j+OoMo8BI>6@c&Z1Q#3i)pYwuUSgQK z)H;dyPgv}WantBd+UP6^d<1+BQ$9e133+H@8#*uDLI&Vw&!%+b#2*?5Hmtu+(Sr_~ z^!X+eO*3y_d2De2!aN3DVp}dl=}D3q-KALwkrFZCq|n0S%Hz^|q5sFcGzr?mk83{) zRt*n&iY+nFFMb%4DCE-fF%Bv3ZE=W@U;~$7qz55L4yxVQLiRNn%gx9=a@5cpO%G(i ztvFWEFQ?&adfFR5n{8ieIHFDc=oK|fc!?E2(Qi*u+DUO1nRhD|Ay{id!$K4AKZF$J z%o1o%A7sxZwd`*h1Og0k8WEU>=Z*&@?mwYQ*d{CBQcHX5)HiJhM6M5R*lVseAJ3jL zpD-^>$CptBlja0a1(6yl{L7FajrUb$sQ}@bBJ!ChXV~ScCr8e6WiKhDGn5++zulRe(MVd4S*e3|ptD2pu)WiGSV{U6jFGV*Mwix z*0-+b>52?!vma&f(#jh$J9KNNccrN4J9`9E1f$jA2$WD;5q|8w$XS&aT+ zicK;(t-V@6MP>hd>0RU69QV`^EPm~ece5Iu-~GcE8cf>9vI;A7S))~D9%s@?p_kIF z_{uGp%ErFK`gVn?c3P75-BRn}~njwL?D{`-C= z|5rqdprur|ExeW6$&0sih#|nqs}0IO$8oc2&G2Bg7=UCYEz`FHU5C1!6 zWpW8Nm^90D3yy5u5&0ScA&#dks7CO^!`|IXWhG{HaY8Rsqi4E={?9R#;drKz5X1*M zml}Odr%!PTf*wyGYaYR3Py2h2ysCX%T3Ux&VTnT}E?AANBbv4H$bEpZMGU0eF}i$a zE=XW?XJd7=ug87{qi2mf4Yr_Sg_}GQBY4oErE`~t_?yG?H6lO*cbb!niNq@VF}%qX zcbsz7v5+OMYx#V!ouPL8uXA{;f%O2h;`M%*^HW>h=Dm=&`4= zPbdou0kdiE3O&Y>noLXe>zPVi9`v{`{{d3<=P46N&WQ$)el={Eu!zMmr6T_HIL{?9 zcdgBek`A@g%Pwaob#PwDk~#02-x_^IYhyLOUjz@!Ce%7^^||ZzJUrcynYpb!&*R-n zTx{s59fv1(j zv6-1YZO|-^drniS45iGrysNJMdVpr;a_g}HEbaIg!q2WMJF$D%Uc9Fg>=w+>OQJvB zC9Ux|5q}<|uP1k9(=zI|;Q@hY{Y}O?n^B%$nJL`60k*6rJSR=h$zLBOQ@Y+xRbQ*r zLB4ld$yHtHoGW;qmJmtq&BOfWlv}|De`Un#9YPbYl|u1&X@Uo{d{o;@kcQ-oe-TK( z5>ESDAPmi~)p8*Vf_HDjqCK@70;PnU6RAlg;lS+g>6vba?X?VlkeNysSZ5Fn5H$&F zE)f)qOT)JndG$BqPayV|YYi1873=og1C^gq{%jW-TDr>t;cc@hw0NeR>dhm(J9l?m zi53GfF>2B&bWTKARu5ZURH{Qp`~@VW%Gqp8phTSCsl4mH-pv!)pr}REaw?=q8Rv*6PkYm4RzAv@2H%Jv2iwd>Ny%o^X)HPGp$-%CR3TEPX~I7*pA zCLHWs{*uoS&6*b>Fi~l%>(+gB%ItKn>FVpM6;ih8gi(zlB$%1d5rUjcyZI`WxTV@t zsO6mRMB&eN^yVAVulzXk4l5kbV@U@EBH17WYF39-=!MmpO4`X25aAT&t;u(tS1;d| z0neOo%AM9n@U@HtE2M+ajmCq?6vr&Gp@W}6UQ&N6E@YwMcqLV^k-y#wo! zv0mq%+~(GY^!f9^xNXhFC^yU#1+~r^E)MLVTN`E zjfBC+h6Y#qZq|p^gDq!%jOqoLcxXiXD7Ys%+^Bj!qee433A`+MH+|bK}G$*-)E!f_KuP^*Mcz%-Ev2kxn ziK42!Xxd5Tc_@(O9`Z?TbSshtM2ozv2zejjyR^pOy7!LQ_dxljtx{RF+n(NYzS2bg z_7&o;vBcSeD`z-TaOUQRL_#f6LOZ zGs}RY&E_RS`sfEfIA)0;Q#O<9vF|@K#|b}hIDSpe#Ho-}9$T>ER2CD)`>PF~8zJ-z zmYz1xMSAccHK_(q<*ZTq#p*T7!!@qKZ1&4Uo@$OghE;vc zqCb6h6As}}AO957^SEB~+>`}T+p+?BA%+TUJD)^ELgjYCFdo?-v)Pua^&gvr%U*bc zcwE=c;Dqmag!BcP!jo}7s6caMtD(V>A^2A`w(z(7t9&bz`y8YS`ewL?XU1FZz!U(W%nO8F}hl|px z6{FeDR2*L84G4?ekX|82|K-Lh(kni^B1h}ix`duDu!tvr?azIZdjk>Mj$sii+hLtvHrxZD$*sE zJQNQ>4g&7@@MJH%wlz|6dQTjh*P@PZsW!c=bf5%>Rqi4utdjE*FyM|dV$()M@Mih~ zGJwWAp;tb_9{?|Dl=rhdW#1(+6YipJQ%!IgyXJwvL+R1?J`NhGsk-j#uiuncKe=yZ zkXl^PAp%rp6n8+yXXo*cH?FN}L7=O-LIcaTXbM-+AlhA zS(b75SYbuG5(^V!4S8>6V62=hfBrrY)L1<1h*|LG zlm2MueBy?RHSCmU2~O2+VF*#MX!~!v)v{u#`(re; zhmVw4pF?(kBx=mds>$)j49ESces|lPK*?66Yq9mn0tYy-H5uF$vOZa~#)uo*m5b2U zYQ_&nk>UpHB44V|Zd$htJj!hnrIvgSolp&@HW6xZ_z8m!xMNqG>a6;uC3&hv#u$(1 z73E}d*|98}?4w&z)Pd2sWcI|b&H+akO6@IaG#g$t496e^T6%mJ9we8lM!hymQyd^< z?GZ$)pa;b2h5%NJW5QIgCCU}X<6vr>?7d@nP${A|2b>cIpN+UV z0kuyI>g+JDZX1m}n8gpnv459S z-vay{ls&!ZYR|7!mR)NBBpn)0Lc6Z}7x@hrh=^Elp)(JqsskYJQkl?AjfE}e{E z`Y{ptX)%p!TGLp0z&N7iZ$tw~+tO}P@L!rG)>=ql(v%@UR*%Fe{~A`+np{GuIXR0A zKFVNkU$ifPsrWVfXG;~+$OGRMF}!_ot4m^K@!4f)r4f34u_0TcWn0~>@dg*RawtlJ zeo(6lyew7>u58qHnI&VB{JFNKRh#r@(11|5`ps-nY|0EVu)-Q;q$*WLi-2AJGgnM^ z#HJCrmDRfOjB@B?HPO&H$V+V2*pp|VREBbz#BEXkwbLx|Xzmx$91k50AcHSmM(mLh zFYQ4GD}qLq$ifzO3s7^DSIy(RNW1ccZ-ClfB$hb0%oS0CXwNxKlc@$78(6_1 z(-F>9>)Mpv=}&HPsm5guT1w;|{V_&yrZ-d~CY%*%-lBY zG{!c|Je7d_{kaktN)x;Jj0TBtG%QZHj~6>7S1FATH!`_b@u3*jwYqB)JK1{9(36uv z8A_49_eziqbC~Xp#1qtzUlJz`mYF7u6z1o@IX5I!;sVC@*i{ZQ@H9RUbCW%vYM6}+ zR;!T>aXL=`hgrR^3_^E)E^ds~&Rv{2#FqJcgiqPA)QA-R7^<5HbF5)Z;-2D$?i|+0 zZI()iy$&2xqj_M)tfwQYvY4`0dIE#-(do_h6#smBTB!Jr9Ll5DlNE@x7P9bzjGEvs zqjH@I8NoU{J>)aP>35FxVm^fdh`)Z@i|&MZh;2wkxj-X(B~HoaDy{^(A{GsnT-)Vt zk|L_%QXFMGE3O;n3sbTp4GR;Lw88PfK zWQL*B3Z#bnW5`x>n+9h6J%sn=4C!fwJ__DAkr*J`Y5gnfnM;kz>#*0o)6D{Q~;NzR`P znTpU>N;k*8`APJjMEXrf|G~lXlT<(VfF2^0Z?N)2NRnC&_?uhA?cl%G{0@Iks77{s z(-6Fk>KHXH%7FYX3}WL3bQ(2+U=X&uSkmcihL}^0359x%IjUg{_oOE$`e4kz%Nk7S zW9ZwC)rqg)blD!|4(A4-ZOk*f@RabEfZj7x8%1>hjVlB?YiWmO7I!#W;e#d1Ukru) z!+?+$4RVax;pN6ve z-9O)YU-lFEw+Ol~pZxV7P)fv`?g*1LtkO%5E;@N?;5CHr9oT0gd(41C5gPQv$$3>Z zK8sBQN@w^1-k;W#8T#4rN4$53{0A4CcA^tqk^Jm>d+;UYL$C)_$lm{fF^bOLjE~(e zQZe{RH|x%WZ{Q-O`ih6MnCLse0T3=Bw36#(&y%ua@E&$NT6XZL--*SsxJ1lyYDUy~ zW^qE35!=>3HA^bX)mNGj9I&k3YvdqM{jQgB$1J}zhkeh8 z6{4?@!O&%Eyc%YGxNX#B+o~mGDrB`_YmckS(kA=uG)^=2PA>7uWqF1{Nvk#GPQUg; zfi{)Zgi8N`mtaM~UD8uf1`Syy66L?ph1Gvgo(aTqWyD(jM*tK`PNGe<*)z6b11AvP zh~exV0p(n1x%d5dj{l_!pKOd#SyEnXeDIb|E6jIlw3ZQ@hIRA5M9qcxG4?xW@iT4h z?K;~kj}@3sPD;x*)nV(N&I;673#65GB zgXQ?sp)@;xaRAe;4=+|$GD}a?o#sn{3eooljIR>bAqX>-1ac}15-$v=e+Vqw5cVnd}E8tHiY^6RQ4hE4&UhB?; zBn~^qzpd*_S@Zv{u4918EP3feBlWXKs*?ya_OcV*POF3qv%i`xU)|@5@~W)*(0KAN z`HeaA|61e#gW)m7L8*}E7RYBeJy9=ALQ?v}bnL839)V$Bc?9a{ReX|q-NeWywr+&sJ#$i@POLc+73~!?{}BEB-2U<5 z18JBjtOzBJ9$`~FIy@{DO13bmU~cnum>k}}Lq6)f4HE|G~D+7X5*DEy&lU7rD3 z5J^5!1xZ}ck(rsLI(O?8-iCv3?3|11YTA+l6$SSC2U z)D&ts$N-hzhuGWe0|v;lwZQ({Au6pk&}2*;udmWjuf%4r`YBQrE_Z zHy*NBP;i`uiGeU?+1*~bh;PFp^qoOjX1j|i+=0fy);Lh-?1q|bP zmKMrFtdq~GAb+X-Tk7e>tHe)q=Edn>H%TS-?4)*QMo}nYc_8H9c!v^Frl~+vo*}}M z_dr)IX%bm7H1C|?DDjZSbSbNZR!sZK1TgFWjP;hlac>^bNKl9Kf{|Hds@vLrhhTV=^M7;BhG2vH(sPuYbGYLHndQIU~dwnRy`WDi3|WEWYo z%^1sMonb74G4u2Jeeb>J{hV{p>;0Vj$8-OqhT zNKIW$L+0ue|3EJpO^~LHx(-l76R4@K0g}1x9SZaJ^p?5xz%xKbL+18%83%6<88a{c z$0wau0Vgge!&~rw`j_p03KaRDMli3tax{wk6h4;KS=V^yC%}Eb``Kq(6~kLsY*lPM zjRmVcIE01FmI^;i+Ij%rvzxtXO_=1b&h%4xFaNHyQ{a!|t%ZUx80lbt6O)a?2_D>{ zEFWb=5&N6MH`DU+@=(%a59_`|Kid8MNZNcJ2f*O&vBm>5BMPu0r$z#%)pB4fGf{cF z6MrAKnkD<5d%hOnc#Bchqn}rh8j={Cxz}A;aJOuiFd0Zs3*T2zCH4ecdH-#!{o`(R z@bj>5-K7^uaZm}aWH8LVV9dDw@MR8X;*~W36}HTTV@v(xSPC5-6A|w@e^`*Iqs(d3SJ7Xz;pCy>YMc5)vkF1Y{sXs8Pus`{RNyV z_-h^1$g?rnK@@KzF<lXWFr}-1GAB1a+AavQVS;vkAP@%|dTxDG(sHJ&5&x8Ntc zQMAeAw!OP|3R$%^5vRrgti6h>neB*TZR>{C+fsdo^()V&mn6DZwdOnz&)kxINIzm~ z8->ngZnv?K4S0x$vbkaFFs$su2zPvg_~wBNbDMT_FfUEyWD8l(j0}rzQzhr{Fzjg8 zqAjN9S?pWSYQ7Srq#}^5TSLOqlSz%0+PSWE0Sf;n#5ReP!FC0)Gqwp~qId@mGP1o& zb7mh~+1@QdZ=uvkkRhk2ZEVPo^JnXxqBv-;nJ@I7=*glls4&=s1 zF}G>qeckb*;)5D?s58;X!g&m2pA~7`&Y-ss@!>g`AVXvcnI*;*Jiy_l*ZK?TXEgn| zFqK%oSY-V?IN!M4oP!47qLnit#mFFDqTVmYeEX34%s%5jzJ!Cu!;Exhg0N6anIYyZ z5V>|~F1S4tgp1n44C!Wp{2))iSEet#mk!pO2%g8rpw$5%;&fTMgT{*)=1kI3?dB}f zK8>i#yi+tktn>@}Rs~jw65dycT*yol!ke>`LDABWB3K_EKm-pT#6eD9qN(8H*=dRF zV8!SHUif_`h$uBCj`CN5K{ST6L)*^e^1&I=1>Dgj(e|csUQT=_3$72!fH(0_^W(yB zg%MpWxC?k+0GZBA3&l5ckhj{ywOykGuB4YicR{o#7GqJ`WH%P1JQGeo+MN|%!h|#; zZ}7UG{!YU&Aq=p%I3eT(vO5QD<`jII2?-(xaYamTM!=YHQ_v01HcXpyt1$I$Tq^eI zG_1RZ|6A33?S-54jpUh3lZ$|@rg&Tjbm|pKfeemIy+C&x*45305pcY45VnV(A@#47 zwWG|A*ZRI{$mltx*Gqnd@b?wi!T{WJDYK%H)$L(x?(qzsC>pG zAH9uElo++^KlZ|~uI34A!;UHZk5$~^|@E$(hRzCuH9v;;$YHa^ynpuHC?i6VXkD)Z82(Q&Ar`mH#AtmO($&* zWzfCVxL=C+1pie+gcDXb7T~>c+iFn7^s7imdAMLn)J*q*XZJiZ%}`!?dXaNepY*)w z>2cUqj3S~HQg``v*Twu%q@NlI{cKpyBA#M*FILkT77LTklLz?9ow!e&asrK%=FitdGL)yq$kN(Q=Er zFNj9D(@;K$x#U`Br!Ntk^Y-GGY5delblEcu@DVX!i=WR7UhXkXpnTlW|(lqXIvLXjFk%(!y9e(su-eqcPYD!3jfN?z_nC6|dVKUp9; zjfz2qO7e|cg0o^FzJNIn>kj?k&!VIY0|jQ5*96?@>6w3MDu?r7X@E_+R_WG>0jsl? zNNP(_l1+2UMc1JlLPXkQ<^(_L>U4U-FS2CD)*#CUCSnH>AJdS-{toTd4=8EfEE)d1kwPjPH0mV5MhAymJeoPf9*L ztUu#>cMtED5m<`7zu?uH%xK{_A+xoZ;Be0_Lcm0lxN2vwfmeq5k;W5=3O2-%!-xvKGad%*BdhVHJ^PFgz4D1!Mj6rC>*Aa8mKH?v3ps%;M05bwcrn`t_Bt zHw9vY6P#bpzFMr$wTxW-zN9V1&2KtVblXMXuiwh>IJ$~yMqYEvFCmoOi$lrcbxhVh z>3<8J3!$Y1*h?4Vm#=Adz8@sf4``47)L)jxOG^!uN_N!#d=^P?&|A2};HMxVrrGsf z1X2Bt*4gzAt$SbArZeC{4^R}n4KY{1+5uOn%3*9Sb7SKM(bo25_-UvV>yGl|sC39) z)UTu}g{kE*BorL+cW}E_POYhUNj9ZclrEW+U=?_oaj>u~(KzCI0Xo=Io>-&Z`rNS# zGuW#qQKKmp?(OYr4JybzauW9q@ac}sPXDc@+&6ur@4!{ox7$FmW+BTP>=}e-O$$HG z$1@V7N<#nPCV}TRN=26=hJ3B(4xGe~3~Ef?Di;MgcQwC(0a|Op_2{aVm02T6?-j+- zPpp;Y>%3_n!!HsWy1>n#+XflGbv#4aEZ0U6Z#7<(D2w-rxxFjmND3MU4{p@*Zl~JzfB4dh=@)KRb(p>#Cs zCcKmKuoh|>*J(qzMva~m6L*+@S|qUQHdT$eYw*TLX9QR4{_T!!YtEd0-tQqpy_HyH z$+}c^_pr ztGBn2;02Qm^@e*C!)Bv>ji{XD0%pq%y@yPRf1_PRxLwkGeN+kX2iIGNld7QpNif>h ziw%njukrkAvwxD5Q9j+zU-u^=?mp_Si$>lCrar(Pn@+*%(Y7Vt>!-ngM*w^hJv1Rv zl-BZQ)=(lE8Y5=y`XYjjn_GsYHY?l~Q(2$d_120>VA4_4NiD& zMNsU{TcRQP^nfp^D)9=i<)yLLowtp`YWx>pX^eTCw`EQ+wM<-zH(yi_ITnitkD?_5 zH7I8fM8c-F>&C))tL06T+Uwao9@*Qg`o7p7_sUS$0f!h}La;PX{@d`kZz|_6H5qtT zB6_?dW77{>>Uu1oqI>F}0`p1Z=H^N%tJ+GMwLUsZUHhW*>M~QJc-YSbfQZ9Zg_2y8_b;{LJS#*7$=muX7%M?@= z9aPXQm_WVQX#n{078nt1yH+ovUxmf#0-6F>1a8S+z~?8si5re+`$-n)w^SrVT!k`f z3r%4B=r@k~yenCHRn7!Tip@2cX4FfE3bH|w-;GkiTWzj2?*xd(eeXVqfA|PC*`Csr zqca>wLNe3R_Ej%QzlPqsDslH`8fVX*+}0Le9`^xW8DM$vK4tsW%K)A^E52(0+3czc z|3@%1u6%Fks@w)p0w*}UsGyEq`%rK-bR}hL;fL|)y}smcTM~{Q5dKOentJ9cTsC`5 zXxwL?Dre=~xO(c?!SX0BJuPzP)_Yq<+UyLm{420I|E>HO`xTkgc}^;|I)op|xjqzwu+A8hPH>@eQl-x@G)nb6 z>?ktwXzce$!||akz^m;Cis+s}MU=>rMx=1>+Q)ClYvr2^jv~fQbH>lbk}O?{C%w~4 zw3DGVC7Q~sh&sEZ@%PN`gq8OZabypBAod5PPT=e*{EQNsl1|0m*A>{)5YKVwIKndY zsOTPkG6X>P+&(oa&3%+rJ`t`miV#SBjz?+Hx{IgyQ$hTw*5c7Pn}D$KH}kjqjkV)I#LP z2DAF5U9=^aQZ{Thg3WWBKUp{(Ib1usYkG9=&98~G?Ob{v5_&jn=c2c>;JiqOXhxQb z0qW@&FC@v{4qP%Vz2-3QO+mp5f}eCyWA`@djxTmKT<8jaY6@m*G3fQQ+eqwLf-?SC zF;v8HP6$keohGED5YbnJ(1?`o)isW^?wV4C-$XMi{xK3lP@B(@oIR7@fpE@s8|usLHO{v;~j4t>)mhaMi^zD^+7 z+A+$fGT-28Qx4ZE5Eb4PM#EFA|6d?vDZkDJo|Y8!oAL@nQ9qE4gn^WW+4dG2zF6hB zoUmq}oa?%Wh-(PA`lBd!=JQ&oZLj4W%`##4X4_l%mS}+|v+@qo$j}fNG=Cg#qB$Y| zu+9Y}=rfQ|Rqu0On|j8-Iz}6W`+BIo809Y#c5v@YPQsocX416NTIjv);?Za?Mv`CL zf9+L-#CC|YN|4qX0XBfX>}Uc~>YZMSZ@U*gF8K3k=$!y58ICyE8!O{8>8q||s+jWO zjiAG}5pGo&r^L}mQ3;0zZ)^Cmv(Oo7ULTrT-JPJsnR8_Z`E+WwM{eZuk!0^aj3lckif)L;H8$~AeeordZ(lMWz^ zh_3X5o^1aCJ-(dD}0lMexJYnVw>Jr0L@VHTLh?q>IGhd7YTfu$Ox zWEn8hkVU$H#)YRc)ZwQsXoD^rYzL5&FE0iof;lnmhM%CZP`CTkC-?d~+})ga&iwX< zern^+og15vYhX2kx~b#2*VfI*@b@mARg)+!D4w^X#x)r36ffa zf3Ug@zz&bE_xZW<()!zaG~3to zJKr?7zrWSWnnu@G-jwnN<)+$ZWcUMzV;;wzj!QQsIi9m38={0CRB-kmHCzQK!0#I9@8^zaHz{YW>-Qs+G|3CG@iprZyCnO|9a%sW z`QA%uoxsgq9mBE!V{UttaS_^2BCB5Gyf1rh;`d9^4WALC^a#1oTF*;;)GjSA zYFoiQ!?H#0Jp1@yLbvq}4NFj>!ZPf(-oM}C{Qc-5!F@2VlU?iQCWtLROL2awW%1Ia z3b4ZAr+yHdW|{RytwgU%{(U4*4(K(FNqIgi5@|0#_0aH|<+Z_0_rRC~3+9H@zxU%n zn#zH4Sj&j$jZ5l2iGdS5rn0zkqR*)~-`JdO3V>?a@$w#|6{p SU05S7BcAkwa5~3-N&Y|HH+%U2 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.syn_hier_info b/YL_pushcounter/db/YL_pushcounter.syn_hier_info new file mode 100644 index 0000000..e69de29 diff --git a/YL_pushcounter/db/YL_pushcounter.tis_db_list.ddb b/YL_pushcounter/db/YL_pushcounter.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/YL_pushcounter.vpr.ammdb b/YL_pushcounter/db/YL_pushcounter.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..4b92427c79d19944710f0f2640aaccc3440bede2 GIT binary patch literal 282 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRt^t__1aBY|gASCIf{Ir#uJJ$3)nLHW zt@OfG=F!RjAO0VS|N7?W?rX~?`<5s)wN6d(j8{^!ZE%ziV6x;|)YJL#f!_1-EAL~p zO=N_n)_&Lcb$jBeMa8aRfwfBgRlDMSh0A{N#{TiNi>?p+f7|x|i@CXp%fnm#?bAKO z|7+*}@VU19KqvhFU%{A^l90lYkdP4Y;mt*+ARZ=%H!ogGzn6IQUi$s(_d@R3K=o>vULFK{SV{{z4!fEL38cqHR!*a3^r5aAUX$+!SsGcLsM3cL6ttyM()fTfkkz-N4<#-ND_%J-|J}J;6Q0E#X#h cFL1ALZ*cE$A8>29Pq;6*Z@3@0U${TGe>>p^UH||9 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/db/prev_cmp_YL_pushcounter.qmsg b/YL_pushcounter/db/prev_cmp_YL_pushcounter.qmsg new file mode 100644 index 0000000..47e47d0 --- /dev/null +++ b/YL_pushcounter/db/prev_cmp_YL_pushcounter.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588563862094 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Create Symbol File Quartus II 64-Bit " "Running Quartus II 64-Bit Create Symbol File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588563862094 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 11:44:21 2020 " "Processing started: Mon May 04 11:44:21 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588563862094 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588563862094 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter --generate_symbol=C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_7segment.tdf " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter --generate_symbol=C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_7segment.tdf" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588563862095 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Create Symbol File 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Create Symbol File was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4546 " "Peak virtual memory: 4546 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588563862935 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 11:44:22 2020 " "Processing ended: Mon May 04 11:44:22 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588563862935 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588563862935 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588563862935 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588563862935 ""} diff --git a/YL_pushcounter/dec_count.bsf b/YL_pushcounter/dec_count.bsf new file mode 100644 index 0000000..ec6f971 --- /dev/null +++ b/YL_pushcounter/dec_count.bsf @@ -0,0 +1,71 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 176 128) + (text "dec_count" (rect 5 0 46 12)(font "Arial" )) + (text "inst" (rect 8 96 20 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "enc" (rect 0 0 14 12)(font "Arial" )) + (text "enc" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "ent" (rect 0 0 11 12)(font "Arial" )) + (text "ent" (rect 21 43 32 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "clk" (rect 0 0 10 12)(font "Arial" )) + (text "clk" (rect 21 59 31 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 0 80) + (input) + (text "clear" (rect 0 0 18 12)(font "Arial" )) + (text "clear" (rect 21 75 39 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 1)) + ) + (port + (pt 160 32) + (output) + (text "value[3..0]" (rect 0 0 41 12)(font "Arial" )) + (text "value[3..0]" (rect 98 27 139 39)(font "Arial" )) + (line (pt 160 32)(pt 144 32)(line_width 3)) + ) + (port + (pt 160 48) + (output) + (text "rco" (rect 0 0 12 12)(font "Arial" )) + (text "rco" (rect 127 43 139 55)(font "Arial" )) + (line (pt 160 48)(pt 144 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 144 96)(line_width 1)) + ) +) diff --git a/YL_pushcounter/incremental_db/README b/YL_pushcounter/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/YL_pushcounter/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.db_info b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.db_info new file mode 100644 index 0000000..f6dbd82 --- /dev/null +++ b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Mon May 04 11:40:08 2020 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.ammdb b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..871ae2927325b1f5199f8d6a109fce8238ce0eb9 GIT binary patch literal 294 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR?g5zw1V11YgASBdgNj!$?g`k<)!@L> zy?jA)^SxK)J1l-?KGOfi{I5abUC``b4k8y%Hd{|p)7{zCA;RFb*MY~v#f4FRp7eQ{ z(~G}#O1LbtNf+F#5c4&7|IA%y<5ze8i~WB}`z>S8iyG%@`#Jd$5;^}=3L?GhUhr2| zeZIW&*~d1M|8Mu_|Nh~=|K03DaiDYl|F2+7N=ZoJNJvNs`0(Z;QxFf6!@0 zNCbb(c|gw5%Gp1_ z-PZ>!Eh~9fQW^{ia`$oq%gD-r@5)O_%Sg%Gm6ipYJNv(IhdP5zoQoenKy)HMF`sn9$Z<>hvY^fR71JBzL z7PAkxW0J+PA*O(vN8ks5@r&>)fCtN(s|cx5%lq0wrIh!WaTXTK2Y@FZOW`J7brT0w zjq;`Qd<#QeNzFOE=rWA2pF-NyyG;7-v6TWucuBxTx6H=<@5(4&lRc4E% zBsFbZ@Z}~pQ!kB_4Ceg4!R+ev@rg8*H=iS|C8dU!i`I`a!r|_g4mmZS5uwPFE&XaA z*CX(?u`0RiYks#fprtSUd;B_;7VkQ|`1PxkQL1C*S7&9_Jf-49PNqiOnR09KiqPTx zh!JHs;Kkm*58DcddlaROc2)?FZttpdN_4Z-mBQ^_Yj46OCliAl3)58U`e+Z3S0uWV z_F;n88mZ{VqUVJAR`=gzt~$s$F^i3RJdP(i7M>G_&0L5!<8uuN(hUT3Gz}|8oNGKL z5{&*Q{=c#Of87@Mi6Ft;c?sC=YM`>c@2SFU&LO9r)IgT~i-oPcf^1}DFyrAsED4MYMA%Lh_H2F`7UOm7>gk$oeU7zFM{kVJ zKbRL30CH?>tnjxyv(Cp|*6n`e>8?Qh?USn$M9-4XClQh$i%}FseheKVy~L;$7JXh} zo}ksn+|bp#@8j!QKK9p#=w<%_grg!`zx`Y0p|3DDJ`qpo@@z_i@C{oE4~I(qPQTdT zG!xwDSE6E+Ac3~@f^01E^B^H2jxmGRd-*8&j6=@*%Z~0=9$zGhd31lzNmVN}cE?Ws zb#_#>=%7{+vHgk9+qPKvf%zO?RR7LCoVx?OzazpIsgmC5 z^XyO1TgWF1S&h?$(9=v>M!MW-OvlGH;y^GYFqTLYlcCxwL;3&o!%5w^1HP9!b7e%- zulb(9@m8yLAXhS?jTpEKC%)SVLuC@aI_vYz8A-m%C`L9F4x$p3@%5MMqt&BFQ(4E- zL^+MNzmKBRTrR`DU?%$Q;oVGQVgvl$G8Gg4D#a=ar%NZz2#f1O0kTEJO5dddJ0qpy za2+0we%=(AfP#09^Y5sV$^K12O8V;I#WSNZT1t5`uTN#Bi)6LF{-~cz^;&wbmP|bR zyUU?PR1fqGq-N>PvCFqCj}_g}qWUK$KxY5s zfeZ*gXl<>ygLKcLq)WHO5Ce{GKg`*i?)07fu|z)VeM&2H3#-|2X~_1f#~onbd&Lsn z-z+y?ATiER{v10ez%)yrJAa9~*JZPN>Q6v#>GE`>i;{0PFeLVZ%9bB~c8Rbn-bwz% z*-PDo?NyKQ=9(4bhP-a2X!L8xbzkF~V_le|?K49kR1iMHnp zMm{y^`LARJ|1J`mqKCQO9G|xwzvb@Fs3E#n_Q^^McW3EBo!}y;uL4WbpY8IJ;NPm+ z48*dl3u*^OrX5=2Q+m9`zyrWxLQ%;xL?(1WoU{ndO0jWMBLkq6n)%B--iGk|J3{`9 z3o^xtZ7vF4!y1o%A$=x2f&)WC_Zy?)WniB|wt$KY0f${}Pa_1HWAv6;1G3ng6CZeP zG_<_nyGhM_5;lGsN$QY-q?F-5A!eYOl8>lPO=DpydX3noGa|BL-rkPCmI{y-d)Hr zyhB+;K{6FWRQAm72>Zq@@wEvtc6FPW5m86Nuu8+MV+o<>7SG^TT`(&0ioVusw4s{v zj=i*I?0Jzv2vRo{B1dZ!7h;Pp4t|RW&OhlKRlTHVcfpFgh`5l6c+g)A%s`-$Diz7L z85omEf-0Y5Zw;Phbl@(~1(=wA2l zhe3Z#AF;(5S>$^Dbr~`m%v~56W8@X-Wx77N&Z?GG+mJLgHgfpG$@3-5qbr)DRQvbq z=2m>ZFwmFVddFr5lnr>+W=5~1Bhr}TO&b!wsYK2@`}nl&o_%8lS4h~B-Nak8^Ud$D z%>{F0mF}l`mNV_X+A!Uu*zHzGy!Roz@Q_XFjl-)Bi0OiZliXj2yr>v;ry7pAVWCDt ztRQ^&l_Xt&B|K8#GudV&NO%`+6Va!x4o|J)&}dEa4|ZqG(umtBx)s%(>-NE>?fD*J zcboe}!@w;%CqU^aL%~z&w5}$L?Am_#{<7J9ANeh(s8}k0|2p zr?C;}rpK0Z*c!$P1Y!9}Q-mk2c34^)lu6S%!WS$zE$y(+9`3}m`+ZaZ%$QA-Tq9d!w>Z~dzmVar+BWivz(a()Gx)36^-L$mD-ru z$Pwv1P;uIhR;8GA4Y18rR-3l1i|V9U&$+^EHfW(uY07JS8>l9-TT>cAGui0tsHT<& z-05x*4BuQGzm!@l?PLhTlrUS3A8n`doKPKcSnRiLwbc(e=xQ8CNK<5Z>}42eM}Ul# z;6DmBMh~RdXvw1!Mpjm-kO8MiWURy61)In^j>S2Ca6REr@G6&_h}_@^CyxVV#6_Y?R?G}oh*Gg1dSg% zVS~vD12H7}8xK>V68s)$YI%!d>Ql|)s+d`fu`n}?ZCUYGdFss1Nm7TzsMO>%_zV-J zQ!89zPvYC_-%B+xv=VL!3u`Y{&`NHKs_0$Or&!j)v`xnM`y>ja0QC+U&wgIn&u|up3 z%ASWzoTlG``H*!*P>)TiG(3N9)7HPOHg*{w?QD}@exFcq?D~y0 z{n5e|juIgXaYs;Fjl*<8ye&RnF zxaamazw33+pHu=e?Tg5m^{!HajFaUl`<&<`Tw!1owC^<{+P6?)2CA3-V$41)SobtF z8&2)79aFCbRg6U(;e;8Pp0yK=V4Z9MJu%CYBCeut-mz=f!;PYuN z)p+XG0scnNtQ~{BB`Yu;5nuAi{R(8@wLIh*0v!89h{-4VYMJGONC+RJLi$gosg)Fv zmc1ew7dAvb-8u|yANh-s&QG_h5W*~Kq7&fg)|V-Wu;?WrRKpg-TUy#759_akUxkvE zEHtrlN%=ty`9$WFcg(kLyUV|S%rgxMYZ}(pOM}T*qn}<0WL`I6=gGnS!2xVM>bHMI z-VVBJ!to}gU=^I>lXX%@3f%`Af-53CDG6~cL~`7>;0kV_p{}90z>*w?Y9eMcJ4ytH z%(^FDlCc^2!RlMAJbIFk;jo1)YU9B*{ylpn{%bT~t28aswGV>cLPYUjm}4QsP=7?! z^qi}m+$7dKQA;emcZV7!bP7rnBBDIS?wEY_4-fT&e!gt12l%}ZkYKP;yI9oL5Q5^A z#(ENB2bkpX_3s4JkbA~v_4cnxG~jGD26`{=GtTb#i)Q=#hhj-@)}q8aZ^p%MPB^bC zwfn&lL$0Qm-a=o!2xb?pUG+4cMjIByc3C=+);c@a!Juf4;GjE{@VEGX*wXrE(|f?4 z1TfI40;zM597UO23}PW&(jRP-J-_BY2!_-tzC$Os1c}NOiT@LP(XNNQORkYLeNoz- z6*2@-3EUIj5Np%Mo}BKo{WI_MYEY(f{!Q}2hLpKkc61(-(5aI8yv&KW?AJqdo;8Zf z5W-fVZGBbXH)?tQ$lO92Iw|ptue7wHsbub0cleua+NJ4e$PV;gL*i}b^3jG2yPT!! ztXDXZyBlxa8@(RZY*F%qkpgbISZYe%(&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.hdb b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f9569a62f026f5fa9b33bfbe1c1d25c987e50b40 GIT binary patch literal 10684 zcmZu%RZtzklEp6$7YObIcL?rqaSamO-Q7Ld#oax)ySuvucXtQ`cQ$YLWA|fgs?O=3 z>6topx~95ofDjN6RY;Kk(D~mI{l`B{ot!Q0?MPYKnOK-uNkv^PZA?hn*x5)~IGI`5 znAuoZ*-2GQo!l&qO-Ypujjc&pNmXP>)lH2^#Z4?-{_V7)|4%vyh!^tzCI|ua|KU#B z|6vcv3tfaXBJu1i7!YdP_cqS}opX$%NjZ&>oJqL^R%cd8bYQMCRiMtK94QSI!});IY9ll8?>VdfbGEE|fAwevN42Z=yQZST z@3UdLy86#*x9{r%j)MpM>kfBCL#yHbL3#W=!Lod`d?zC9dYtyOh(g#-TG+nltX}A? zb0AEQ*N>d)mwYXfmA&$NQgZ1 zjaK?uiSO0ZtC;apD%q_#p!aZSn zrTv<>8nfsuif|>b-TOrT+(EJOtB4QB|It;N_&v1J;3Y7wx zh5Lba8k@{r# zwd9ZJDk5cT=x#kS4+!^h<{=U0l=01Ye(lAkv%qpdIdQ31-v1X6jX{rijTnRkWXei} zDQa>xy4mPi6`(v$=(PIn@mFHmNZSX`wQW!(u813(4R%;gst!*P3GgyEkx7~xID_k0 zB}?K35#&;t50}k%ZS_dwLVeQRG=ANRW~S1r(xC<$|)m z7Jhx|EH2RWD7#d)+^Xc`9bD8Z!8Olyq-h9|bb9=eLC-SBsT=ovRh_LbChZU6oudrQ ztm8#+7UH|C`BFppMg@rdJy2DuCa)xd;!?^lSSBzoguLroD;w$x_p76<+1?WKQ(5fiYGylx{qB6hQk)u*Y? z3i#;ueof{LX-L7XVtSj|!Fm$Wtsv1G08j307bVe5W#Z5RkDl5yKgGc2fi-$uJmRo2 zX|EZX!}Ug(+OoAvf-stWLk!mhqI6T~TG5r?1?*Uq!=CR{apg`tjd7B>qez87Uijl> zx-&b%S3AOWMRIR@Y=2MmWC{0{N~+}eiRV*>{H4>Miaf6wio$^x)2V8PYU#L{gevzk zsj?mqNQR(P%sw3Cs#UPJtnT^a?GY@8tN&M49_}YP2qu^7t6>gj7lUwm1@q-B6*gbW z!DB_vZfp!T9ODyPdu9oxO9Ny>*?ve>;1VJp(Q} zsI8qS)P%%q@cNb=FQtbXn7C%f7);1a%025vD^er(@V}^?6vU4l?2MMrRz785(l_q8 zaMGh!NRmHwif)F}DMhuY&)Rf$L7MEZ_8Q%aR+0PmTXW=U^yH^ieC5WHSFoE_>n3X^ z>~0%R^9kczP6?`Nc@7kuJsf2t+R7r}t>;NKJ>bolF$|7542wAqtDXcLwkK4NeNj5N zkz^=1%9?5$XDKe_qt^dBh;@H#W9N`8fczuSn$HIMPzxm*U-!**GlHXB!2Gtqo;Kq~ zsr2DT>I_uKlx0=_lF6+v8gI^Q$XRfvkG;kI!pq)xovSgXn2K@1kiikJzAHQ2LeEO8 zfr~w%xl1E9zOP>`@tLEPfaHrkH;e36l(C7GQM8`*Jl)O(f#a7$-tvsFA<$|z=Ult!oVLZ{5R$rL zRCH8TU|xX4wnGt)x%8BSgTr1;4~d^BNsq}D&nK#y1yPE+5WFvPhp%n;4|hfuzz#W~ z!Mpklgg!A z=W4dmTJkj50J;ho{dsPH$)qZEG2%@c-JR3Frt1yH^j@(XGbK zDFUsKx3Dit4h4=KB^ur9<0d;}C7UKY$c+~lcOU8F9;tZxYY;_WYH>Z#8mLWZMq8Cu zA_fVBUdAt$ZEenKeaLF1hM9yjcZOD68mU` zegwUK)eEyX!i`HUB@jgs{3Q&NK)~=iT}m2R(4VfG)cT|;J5s>p;8qf#FX<7(ECtO` z5Hi3`4cVX{pocPO2r#Wxm_?TuxO=*wAcoDYins7zoyr-v5&-7qvgN#@nRzOv*#^s|`XwQhdi&t|hI=U=1{}@s{>BGZ$AZge zXo;iY&xz>;gs4Yhb&Oisvym3=2=pV(F%5WY!q-X6VVX`p@IgK&n%fc7{MdKMHV;E=Ui{z7EX*H@IM7LB9zzvrkP|uuOh570HE1Q2aHsQnYiB)3& z3BL>y3+6R-qEwi9rFam`Z%Vc%jb}TPp&gf41f`^K zr7qp>fFvK+!7r5yje{3i4rNev{3|DkK@c|UqTpy{*?pcDI676ghn&g$`+dAt&Y>8`KRjZHnYzZr-IDqQ(cme+Qw(p zj&(d640GjYPE*u~y~?UvZ;I+2pXYuQd!AjnxYUm&+c?gxj@$F{+uk!MrpsI||6rOw z6wvj0nK+z~xz#a!l$8}aqw7d7El6Hdrp=xJB;Qp2u1oO{^zYxvs*t%f=Dpwk(d}un zW}$1_TXgPXy7nSCUir<1hrLf~jpyhJ(4I>Vn44@gDQvsV)uiTm-?a!aEJoP<) zmu+9e$2Y=ai>k2aGAo=(^0#qwOjJ(!n9;gx`CC`CoxC@og}*SC+4}g+&^b%pPuFen zR_6An;4O?@w)E-gnPO1$ZEdRfv6XXmr;M$`-0IT15(s`{Ik}l{=h4Bet^LmZu+63M z@Ozso9+9u6l3=MQJH1(>fjz0HV{eBW2) z{M%1-JgaY<|LNa8u6X1rQLL}yve6%g4bNc)!`zJAT@)`?)3txx6&V$h}-+w-KaXf6h^Qk+fTO2Af=V10`aC;t7 zL*XU-En8v)%Lx~WXto@;Gyp>6EWkxC)X{!C3*=ZXwD5BE&=z^gs> z70*(0Zz}R+7JWw+k3w{xj+{QG!#4t`{%it2-r{aK;n-Y@Y zphO9ff`n5#SZW@Y?3gByWKLN`He3g+Dm04d&tZh`1zNJYr45uMypjDr6CrXECYb>c zLh9WK1MJg*jwF|g2hU*q_0?+Ck)~F zDOJWqJsIp+pTvfKD^?=$)oKC)qae5xSElRFX>bDvT0+R*okAcmRv9ECGq@mgvqwrk zq^w)}K`0*#{r?k;_*tCgipo)vh}kK`3qe zUE>{>_~X7^8|c9D#V&veeWxU>@tb5EZlK-zYO+ri#WaGYzPOomr(pQbDpD^b-|SG^ z;F1@|H)R~0{F6$7P98!z1S|=Qutt)DP4E}OT4;ylBLXZHyI?`*&47`a@C#Epv7#l| zOWa6>ztPbR6meh?Uq-%55C(n%p$X#nV9?1(vC2~t;ueFxG8P%l(8%|4O{jsTVrb>1 zWPv~u93XA+DuPrrRtHBg2x0Xr`a7Gr>KeWr_0^4!bkY2Fomcvc%k)y+f{*XC6|Xv$ zY;jI3t+fA6;#&}Is z2XSP+LzE)B-xP`5`csi*?%(<6AeLJSHgT)E*xkrNOIplOBX>GRWQ;bNlAr)%ADKbT z5UF`QQ(k)IM#YkXvZMSzfz@oCezImFed2C_zYX=sKv6yPc=f79UgV~Q48Pj9SDg1$ zXbR%`5#`5V+JuGHTrF0adzP~=u=66ziQ$$T_Bo7}#Oh)9^~j4V8Pgg+%TDEs*k6`^ zn^Ns{6@gU^OV&0H4f@bECz#jSKnP`Ot*RnrJ(VVBj^584ZBVgA2zlNcMw z4~Gof*;jA&JZz{63)e0uI&q&EJ>a~l1u*q;5vV5yq^)YDP^7=CBxnKS098r<+)7(a zn}EollHpgBR*az>QS_gqjLNW+$)%;GEi-N`Wyb6CGI-BU*Tq3Hu}Q^FqB5-M2Ztdi z7?EU$u?;fP%8J{{U(1SfGUkgs-iV55BuW87Bj#k~l8b;vUZpDN|AoUP$Z2Y+2KHP_ zZI1sly{T>RFnE4TJofHzT1D`ebh21D6rCMpMXXt4xfbWC=$-rivgFHv^x5vHCy#uuQe6eAVVPeHZ>vBa6;2 z3T|gKI(42D2E8?-uimM_h%A?+2BXFKnnLre@pKFJgK4p5#tr1?FQI*{WW8 zDDa*ORBUfgXPKi#m|pGs-v*oEs*SX`>Kh85CXxH$ge@Ep0PRMJ_G`G z{L7f&_PW>-jq|Ki+Tl`-O-Ij7MjhD>JcKvkvXPn~WWJD1Fd!fAX2H%qbJxU^S(}a) zA{){>5Hw1gIn|9GD5sCTf~b6inV&9s@al!|^Vj#F`%^9~LZ~5s@do!zD}bYdNF)^@ zL+SIYaH1HdW-b!A>Az{>;#WP|GolA=7O@>{ z2DD~-W=|fxvK@r|%KF3r3Sj_0QDA(lw}laWcD=V02mC&$A+9ltHwAok8M8x{a;mpt zrc(k@z4c#dXo7iPaqw=iNbR_8(GXSc4{FhI^ZcH14q(M&;K{ND%<+T6PvQeiVvyj@ zXbia1019ku{4=pNi1{p%6nwnF3rwZqrwMh&CZlx$J3Z!yjy|IWs+a|JS!nRoSVRIL zTPcC2?;$CFnnl$m-6fX|)D81m7^UP(k4BozpcYn(O3oJx#bJZ?laN=_7)NUNp-2n& zI#>o9o9~(kZZPNMdma3VN&vd0pH<;H`+MXNn&*V#PpHE6T?Nmbm|()H>1B_Z4sNV- zn&0lpQ!Wi5mJCblv^&we4)?X96 zaz%}ADqd?ZJsiiSuc@Bm(a(GVq4}2rNAZ=e;=Y_ksi|^G5 zn&X=-hrGj2bfyWK86x{77!J=}w(TAceuNDCEaNi|8jEH-P{6yPKpKpt6tD`!r6Tz` zo$6g;b&maw7)OQ5IP3_L2o8Ny+X=_eftL`zJ8yFenBTBDDh-N-K#u6(_sF6-_edW@ zU^}=EP}&b{@Z!_bPIw#*ou-aFV}J*`M#E{6dqsdtAw{nzMB~TQ*b>o#7cJo%|HrJN77Pkaue`_{w%D&W9ktB0GrNJ64tY-@MkuIKt0f!Xo_xk2>LPeVLlJhR-RemqbKbn2l9^uGdB%il`*_=x#( z8S?Sajp}V|O^eipYvJlY$4lB^#~A6FIl2}bZIh}=Fs(~oV7#=Y{2d70S;??OWSYON zitXj8d7_Zla2CJ2&m`Q6f=`bReUc34^`eb3fZ5ct-apm*I2tF2G&ozf4aEwB0@0t^ zI9uus2k-&_5N&;l=vU-YAMTOesI~J%xM&*mAf+U5EEXEs18FMMJ)PTN%#&rWQ=AZ{ znNoMENV#dQJtVn^1ZS5W*?2nx-6|Sp#wZ73Qzy1kR6@UF59zQ);a@*EA%r^7nU3Nz zsSm@$JE8K0rm5v&WIVQEgLnIGA;wCtLbEu?jjF_>`b@G%O<)_jiLor^i#RGB%tm_a z`_nHOCy=_*1he^9tQ{0U+h|mF*40=*b;$Tbb+K81$tb{dG|Y6A+H@3??Lb=idfPGg zZ_?y}r7-tIAI;p>vTd#nKQ!SLgS9oH?!?`33Gz1VoOz&VaxF85AOPZn&&7{;Rg}jT zGrbc6rnqqnBUrfM?#j);f`=6uA=q9=gn)k9!s%9rJ808qhjh(aM&=uO$H74(y~ER0 zyO57K&7>c5d*z<~v)k2_BLYqa50inOYN(T2JS?vVy%(9#4f?2)AH5pq zao=wM2ZKaunC?mn8x?^A{_65xC^wq6mmJ~Dmq>D`DP&=zabl*Uh5@w{_0{@Bs1Q?i zXuOh;j^ya4s}{2EhP53%EnQ8<(vsfV`8~#E1^WydN%wuc$dY00E4to4L&E3YvrGal z$hbd~k)KnL+cZNr$i@v>=MbglgV13_m&>K*%Ggy`$q`pzHQKS zf$mZvoCNHgrYsy6hj&3aj(yP%7w!vgJODc$mchj(=2F_bM=EzBTt4mAYx5y8MF5k4 z^O?4`x7Fq^6>BTRkm{5ML=F^x-O`G(hGyxE_}%`YjEduEQp7~%_oO*g2}X31Q$vjq z_AdTr%Ym2hvOSC6zHl{*5T@Zs&)1Ep0M9CX+UjlYCPNA?1JlrGsZ9dO0J@kOJ@yoz zX?HET2L+I)_AqQkQMN#29amA0uK|R6|2r#uo%X(bfcj1X#r0mw#{mP?<9ttO z-!U`;t`FW#o5bQ`p!hetWZDOpbrMy7R4KvpEBjOBNLSsUY!46j9~HiHueZYHs!)Yz z*RY@sFmnW$IUH;qfUZ-g7P>(t=7#`Y&(haSdy+yM*yJ|1v`)Gdz?K>fED_pGi=|i< zjgIhSW-GI9aJ~QdK>wb3V7RaVs0T_d?uvjc5jzB8JKVFxrCT+xU*VXx!LF^l|eV=zyDou;evyf09_T2e5z}T9TM#2^@ z#?f6#T4J28l!_KjD0+W?a;f?I6XY4 zP~=l+(2cDS%O89$QY0-hv1zQsPEAl2CHWRPy^|aKDPf~sk{3N`meek*-ns#OO6B@1 z_vR$>@#w`Cl~@GZ!6lmsJo-aK+EkNE%Nou~nDd^5)1HL$-muf&u=Cyzs4#?*Fapz# zx(X*YZImo4h82hu&EHb|T!5oaLfp=puix5H$oJmR=kkQAvXs5ZK?~IGB?PU~Kvqhb zRb286W$12fmq2hUI{Y+ZRfHX)<^t1#oa_uv2m`(h``taCDE0$ooO=W~QhcxjG-w8N z3;{m@fPejfj+E%XcO3rJB>*H%0ws#;RfC=GqhWRv%XZ-WD{E##t~!}aKb}ngA10D< z1*4AyzwrgMN$5~sMMtFeYYnQ;|ixfBMW{vCDfMN`89|~nfUZ<Acw0$WTekPCW{f|YpeTZEBYd}g3nle)x0ee^>&XvO>>!0Yw^3O;BGf$ZrfjwI$57>|fl@7(Q!DJ`;jOYb0ioqN|MZ72859)40Jqr)Vc@Wn6yww_MYMa-&&HeJCUiyT2q%hWk6k>u%@XP7eIGl_&rIt3oHx^e{CjNSU&t-<_vxWD) z99Qa`U+5}qfWC@diGCxOdg}DAZ9&N#y;bs|)kYCJxBe$azUq6qLS0LdeICU<5n9l3 zst8%@3w=PXL#1;~%{YHIoRma5LBs|rc{dYzHyL?1Ex8>QumudBs*PkGSmgS)^lgb_ z`P(9sPGeqkU0a)@p|7)wt*x`J6Hljs+_@m&Pnp4Fcg@r|7yN?05L>lu!3Ert46?gu z6~j_&EOW&8qD5dtL{qr`p(UQ)3mwy+3rpRcYDEjla6Ph3`y{$v>j#3YRreOOYeu)@ z>GaYLC0{k{mQvwLaH$v`$&69&w+Cz=8I_*W{AsV-I8AOi$ufsu)x+hdk?V^Zi{N&A zdh#>w3#R09qmP&&CW@X-cX1L+eUUZDXj@rNG z@nMarGoKKY$huX_?$*cMhC@C!lpD#NUhn53z&jy}agRG@f4>Lohwf9a|Li%E=3#f7 zMfJ7td*MB=WIfEH6y(+4v)psh$+c}%?v9>LQSdX%aj`YJ9W1F}=5qG02aWJMP4s#^ z<_Xq+R1dcyw+C-a;WXE&zPNZKxIwkcr%EOZYR>4>`PcR^+8S%&6iOaW5}XD(FTB>~ zGIdNlUg}LGPZ#U?98vGaP6oz%sAl*-%hGfxXIHo|%dfxr|NDpX`RM^!sBUe8B~F-o zXe#TO{MbNT8j?Z|8!xJj=BjMp?zLZsIcU{fg+n92@a7O-?a%Z;d&ZfYVOg)B0{HwTW6rl{CHWz3Eui zf)77N$>?C`KMC<-O9!`igizU<=g6)}4ydQOCvkqJena|C~=Tw8~2wMv% zd`Jy_w=~+YLT`-or_SH*TE`FIb|xDS3AAdV6_`yFMg8-ho1mXKi1CeBxk;~W42y%y z&iaR>z0Sa|QFUL#atrdv^xPMtSUjRU(fObnYV=>6xjTgumE6?X_m%TK5@Om z>t$s6C@Rl+x>Fe}GzO@kKF*>a`F4k>gef`(uWA1{W|;=%qZlBZ#7jn|H-$WJ=$RI`jyH{l5NZSWk{jxy@CSR$0nSpq?}4w~FGT(dcfb z?h`E|;CrdJXT20e5V0Ha=*lXJ3d}eQEIwkST2aZd6PglngKa;4T#dnF8 zWW<}nO8suWFcs5XRVDQ#xD*I9!pu#xUXMbDndl@5GicIx{Xbt|e!V*eDScGtxU?od z+E(KjStI1Jh0MW?jRob;Ozw>o2WgWIAzIDH{&M%gycuuv0aD3PNdC63?p z>kwt-q43bfy6wnCQf&yGF(nK0RiMtnx$LfrwA9p!ua8wKiI8LiQ&Yw!p$H)#DiRpe zTAB7z5DIWXmOK(b2doK*UTJ+kO{gpB*;&p|mV|!VpE0D<{=5JZ5%ar*-(UNbrBJ}3 ziLctbYVfcVszkenyzV&e+yk4EIrah(2I-Dv8fwpJaTIBD5h{mZc*dGC`~@F>nwo2ksV7CpM!38c@qsyYssMYR z=6zWyfl$LhFRPejBv3IOfhvzFp{MsBBy;P;fW-EERzy^UeCZp`^_KJlT!P;cPQj(h zP7>mWXfrNcOP5i|Fn#R7(NH#?=Fbyi2ExQ&hh&phv4n~`YL<7|+WdFrU<1X>bK!7G zzm6PM5yY{xi2~PVRyHO`19JKbciSg&eS+&JUeqiY z^sxpJHk4{GspaH`RkDQ%5XIEySq#t^OKB64vBnjJ;*MhRKx&mmDG>!E__&xN0nx<4 zumN%3VF9srR=YVeYQZ99U#UzF6C89x`PnHZ<$9&OPaIa^A<-lP%nagxePtZYLyspP zT*TzS+F=9Q{1KMF2`+$_31~jwcIiX?{skFFk(9(OeSNk+UYv_sbY?%jDNf)acj+`km`VNt;I!T95<>Y3nVGW%*4B--pC&!_8AA0dV)=Yde1u zq3O9rfcqV`;pmZ59R(xm>RKLCqQ$k|3lvq^;9c14BeVV+yUnK(9-4et@b50PXyNsam_$v8EkX zxvJ0^BKOXIec!RtVMdEtzsz4r%D#NHHYfe|gHdhbuGKEIENY(quG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KB100000006rR00000 z003M800000005E;00000004La>{{KE6-O2Cxpy}5wOLFEyCfkly3weV%-u!76c4l6 zC4>qUEFqRv)GZ1(8?=Hzv7n_z4Ziv8ldt{<`X~70s}ELLrBQ?BM}UAasF(zk@%KC3 zJ=5LO_hv5S28~YD-03-UK7Z%*>A5pgmSw51ZNvU9#^c^;%iWR$XYau9E*yXK5vA7Q zc=-9FUwZ!N@%?9U{xHs8SY0{3a^}M6^G|-`^xFB}MHwHP?-vW-f3qyR;CN~EJKr11 z-0K&6@`Fmv3{=v7l*#GeB_J0v%5|&?LS=n^gg8? z1a;5a>d9}e8qbbjJW=%KH-r2@^U_Mu`zPXzTsc`}17uz-vTq|o2a^LF!?r3;FC95t ze0^xpKK+8t3{T@-0B@LSu|ODYPctu z$X^&>;e{egoY{@%YmNQhf$Q^vH zx_Iz8t8_hRrc|_EhoDtE1g+g6Xaf#mznE|cPltp9LSS6zZZh7a$F?&FZ8Ls@ZX$GQ z*6UT)SC0U7X_Z{ej1+)FE4bP1i(-Rm4he`RQfs1sjpn~a^0ljgN@Yr-l zfu;mE9&PbPwOEj&7x9lrDkf?IlA<16JI7GQUIgaPsA%{o80^KnIm(|WTIR|@pWPk( zI|oT>*EJ$|^1`d5&Hx)Dt!y^h`Ip4iem7&qCC3dR9KT^a-Y|mE#@xk1mP4L5cqNZ^ zOVn+L!dUh8wnME2T;BS|)u&nwE;UhBhtbwh8=Izt)H)Fsb#myI-sCk2QkIv}ZP6Kpcd!qb6mX4hG~Zw`Tp`6H`^yU9v^Qz%*fRiv+?>%?c7|< zG^HKY#(OvTS{=R*Hb*Yia@N)cBMJYF3RlA@v9-Ok8P|WZap@%n7Tq?PZ=yb`hPFtK zaxXUu@96$gf?J!~vG};W%|1EGJ;8^Wm#B_XedO1hi?5}H8M=45Nbsr0)pC>iEL^kC zFZl*xM*SQQNAX}Z*BmqQAhj%~!TnwJGPpJUVR_?YCHZ1vr{aTc{5Q_)Xj6Pn@efe( zVBe$e=K99>l%US2E9D0D7M_kKY8vCM^7yva+);bwb(iM0x;tt&tDSH19nBrJg_r{h7JYZ2h|POpgn%>a;;o1_BehGv`<(Aa$E;FzMB0Nq;=eh`a7S%S0zE+ zH*wXEkbdC#Q2rNOsTFqct`Q#%1&cZpMr}Ar+T&ku$xh}Fy?EHD!L8ahnPR_3sbS^%oSea!>#5StS80*=&IjEWx z`Z%}zDos%}tR)}2)XZc(53ScJu=7!xc2JtCP`VMVAin8)x$^q)03}v0K1;}bl)6BP zZ*Q(b&U(T4c1o}EeNIq6b?>m;tbe{K#HyZK8Z~5sBIlb%aVkKcXt5gcKEshqfj<4= zi!?1asWkfRx)ahD6nf+JC;}gcCWyda!BKmf;=S7zP_I{VTOErpnz={o zpEjQ-aI93bN{Ij_t?_$8X0To@I+fqAMeCcA#8B7Oq>*I3*@$P*HDWV^y^q~TOKx-| zQ)6=my*$=$xLUA7Y02%5%%_5Ad8Vf8C>Y(K*;7Lf$!4m|KIWIInZ0 z>QPxu>eUM~1zYV!iu$Jx&MWORBJeo6rtYxP8V;ZnaBPYA+=1Lf&|LR5^cznu0SBY1 zZS$_w_imrmJkikJZC9LS8qxcm^=7WI-pGeG`R^*pZUdXWKvm_x2M0fsy@l$#CAufk z%WjvKWvqX2uZ=pH12^^Yo79`Q|Jekr6=v!i<>w6VL#SaLrL7!dWzpt051C+MU%zXy z6glTRb>5R0ML2YjJKlNdI{{1Yz-OY$Y*-q3_wkEk(=#X06W=ZQ{*`gvF5isA!fwWH zPb9CE=%W$~D?Cw`G1mU;^{)1xcMEt@YEZEDQ(!*}>K{Cx5?tN^D(@AnAFqqZBv!Mo z+5s#4&g~|~m9WL7p!#=6-0dWNN>&rXk zGm~o8M<6W)#8|Zihqwj+Tz)m4)OGJ4Ds~$i+A?$ z_jGmz8NhHJh*=pVfaLWh7SH2=G)@zo9D_ZbVeSW;z{t)Z0Mt>svdardF~|ThR=Xkg zF)}i6FflMNt%`aHbT$JIRCRceV?cmw5ReCy17c>dYdn=Z*+C+lKnya|&(Rm+;3%K~ I00030|B9!tb^rhX literal 0 HcmV?d00001 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.cdb b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..1b53f56c1dbb5527babd98f29529512f019ab333 GIT binary patch literal 3624 zcmeH}`8OMg7RPx*b!da3(rQV%QEFdb8;R0qwA5ZvTT(RCURy*gnQ8lKW^C1Jl-5L* z+N#>bQcD=MwTMt8LM65kq=GaeL_9O|XS_N0oclTVp7Xswe9!lXt1KlYRihxi-{bbn zcAs!mY+Pt`6xi6*z{tQDY?}}oaSLo>Y63R0Ff=wXG%+$Z1$&@k(V;;ouxmh2IM^8M z;Q;nR1%mBvg~snI?Na_cCnfbx;V(!P%KXi9fWL{6<`z<`&)Og9-GOSi_kaqzDh>ud zIAdf3{J|{vKftWq;;7MXjs@=Lj0jJID>Ug{h1m~Is_`=pt}@CtGO}*>z;Vi|nT5xk zMsJyz()nNCjQH2OjFEYi);wNEdGWTrV9BGr&2w=ib%#s|CN+f$9*nKPS#Pn?^aT26 zNy6mhlI!N?gQ=;dQ8YS6mwC~AyraRwzs3F;;#pILFj^6~(6Gb-K$^#NwRb*^{QFV7 zJ0lc4^O@<2r$7v9-L-CQO+Ek?RKt2(73ZAZ@MK@0yJLVOEBjBv;D`1|HC}=c+v%-tkfZZgv5x* zcHfKKOiCRaZ1fc2$&;KfIgZJUW~Vel1a#Hr0tBSKd9nA?InH_78$1qWnTdDtu4V~b zAnyzH27Pxfk{U*AbE%Jb@8}RBWPZ{)Il9@OwMPkrM6pO{|8!oA0dMnH(r!#RF^3mn zo`WUmIjs%^a||Hx!Rlbj$jl?tIgCLFO|)GZJ56g&>R0{I?-ip=v_@-g@;*l4CdR~v zPept;Jla9|nLAhc%Szl_vj1>cAEUM*2BCROF+CDyNV~&ao~SxR9pCH;CJ}$Z)`t1w z3ybFFn!gtodOl&3?G@9~VJoAT^(#V>up8DAaZ-`+ULY++*8dqzz(LdBWAu6pM)DHbVm6euaMt*C?#O24*LTxpow`e{eBAxG=Hyd(qe z*@I82p7!(;1RX|X^UFLG+HMK^T`Cd|NlshW$PwhyUU#qt9fgipu{wLJj{ByS%T3v{ z37{ZDgJ&w0;wIIqy!Hu7A8#^7-77I)1G5&!N6pcn{JzeRsKhO(Da^hmlUpnbGOah_ zUvO7&KVRiBbG4ge$J|8V|T|Y0FPE7g9 zTU$|Qh^Y~VRA3qinpf@6`36}b@)C&Qp?9FmYkkUw3hc2~XFBusbXiqC3ssGbyz2FJ z!(|g)NNv|6&E|}a)^(fgJ&&UK>cwSE_--ebPMz-S{-U|~D@lx}?Dl>xp?iGz4V|y3 zRk6SU28$SW*r%x9@1u45K~LG`C1qqFJLz;ksDQor5*5H~562^~;6G)VtShgWoZk?o zlImW;!f62=<@(;NA!GHzqm*mZ7HlHzeF4yiWS|00E!?`|33FkbHcI?J}nE2`QQ07!-J02VC$-v%LJ+GLsFD@`r{kQo$ z)Vf@%gBtMtH}b&Ze4u=n?>94X|7}L9N!D^vFiLRAja4P5uAog!IxX5sng9+QI4gW? z&7yN#k^AjXlY7k8RUe|;vlLYt#wdTPK_Y&zS6-BgS%PLyAl4btMK(Y}zL%wc;u`40 zgO=oBZ6V(R;zr5H@rv7YU2b29u0&D@+o{7_4{v@m-i+E0<>|wi9qcvb7y5nZ(Qf85s;di zhOp2ybnYU3b|htd3%=%hjouo|{vTYTE>e~r$!o}GlXa~eOM z^6ihNZ719Jy^ItEfbPP3Dr=G|x3~lbJS1^k2lsVx362YVkV;&}1)&Mf5`-y{gFLL( z_l-$t%*TY$UoGagC$S_@VrQ4Ae^(6=TYitBF!2%6#QwcGWMozF4t z^`fCxM#!)ZjBI6yC?2z~4SB}pZn%ccJ*=4}QPaqW9M`@1Mj$MZzvY15V5iMHmRSH8 z%h(3cHryAEZC{NB&jH7KoaOMzIaRl}yG=o-P2Hu4|FUu2-|w1#9)kZ$ zbjKhMqdSF{gjsoJE_Vv+#jb><{1qkl$P!FNdB8jDxk8#hlX;JuYhRrS;_Q zxU{LoLkD6@yIEb^S)U0!G`|tDK9!C1UJ2hOzMm25nOhee?30gzt z6+?N4EY~M@Y2ekUU`vmX#F441BhL?%k(XX*#~B^`JwjFoqq^>{v~06B-*I;+16($H zwadE0QN}PZgO^3oGFO&kwlRclLduRg;GU7JR3dxu*!4T|sKFzqrp`*lD+>bhfI_~( zf)73X{;0O>)lq(Ve#$oG#ki~^!w%tIQDE3v6{zs&zim2sL0G@*V^$$Yy2+1xUKli}>dJsL{SuW3FdJ4zh1Z$5JEwtu*-icGC`+v75bO{35EHQyilIIF+?7L%=a zJ>34l{LnZIIysf)vT}V!NYyFpvix(v^tqs-#Dj$7mh%isc# z>eJleGf#FNCtzP+Q1id^lkciqUeq0*@96OG+Nj;b^Tu~%{d;Flrj0}&cX8{^lr6vC zncY`n&QT`wsUILY2cQ1uG0001Zob6g$Z{kK2e&1hV@!T@L05*~16&ELE#V&}L?M78)jmP6K z!q{{?PK(qZ-^t)OI5u8Vbtwsf2R!F8XU=y%%;A9f@vl`V-D8@NIJ#^oO}QcA2*o~$ z?k*d1=jvyp@zMHVt|4WFaht^YAo0hOV7nHwyD;`(_#_a*KL~NN{jIOtS4q*Da#NA| zDG7Z^)l^CD07V6=tZ32=ruPJ4X$sL&Qly(t(y!Q)Y+nR3!R~g&KqLy{Ts54IB%X{3 z3euuO1XtK{;tt$}U=Au3uW zi%gbV+iYd7x5e!|i-R;=b6MVPA5o zu{E>DJ!kaQHi1}HTT&cCM(**RU`6!-!QAO=^2r`JwLzhm#9_*^T?ZFnp745tG+B@s zOd>p_JS0e1>Tf_Ahrk}&165G~Ki--fp*W2grl54%;=a|fL*h|LH`bpqU7Fx=Rg+oo zm*KcJ8??Y^nWQUA>A;rUZsV?}cXbu1U4)Dd!bZ?Su%$x71i9&+coY(rSo0fu_LDT2 ze4dWR_K?TkipwMd)hv1uxiT=1!mUEAQ>Rwpu>Ui&^uX{9HIRdrj@wvOv4VX=3uIq4 zIvt2klUX}>HoYUTs}%3l8CE**4`G>Of2&U@r;ZUQTA;NJBzJYarM0_i&_u87!2`i$+|k;aq3WJ;43=$WJH{q>-s+kQ zf_c)2zdYMC*Hi#fXbc~llM50h%&qAXg(o*8Y?C{gAQO}=4=j$k@WZSw4_9Tq$c|ye zQi?6ki``|}TGV!5i64tnQ-&?#atZp}fVbJaYv(krEMl#8&4I1<+N0o^hCannl~1*f zgIHbmgX!R7v~4N`ACv?6kbAvRLMZ1;h;&NQSM)8)bs_hM6Fd#LWjRmkmZ! z-1rVV>c$GfI3q#vBmO_)Mt^v9O4Lw~NsU}rtrBW6U!o|knlu#*eL}4`W$To`#UHL~ zEO7wSkh%9TOtJNin->K89Si+Xe=`3v?9Fc7>15{Y$5l9j{Yf5_KMI!zvmFg5tT`ST&<9*-A&w|x@k!_n=pR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+-45k>OnIN<htnNoBl0Xzdxn+^XcS&iXShQ)&I4bcfZPzZ+%|b?%B6r-@SYFp}0-Y z<>Q6tzklA%_k5o6i5(>u4*qw{XJ_v=d2gC7^Z1SK_hXB44snHTShSy8?Cb2!eWhzo z-`%J&dqb1xniubzT>m~>lPvqXs9fii+L{Db?O(eS0{2_KjyS&Sj%@cPPVI<;UH|kV zuH>Jyy0%fT{P?I*qhUq8#IWz*YqRgKjpa}8c=l2qn8p78uV8EmwDmu1Ah6erkxNt| z!huIe!SKSurnRdkI6Y=jKF-bQSUs7#=oEikn6 zi0AqDHFb60Zk9$gsWHUNIw>dkM&O*&)hyOREu+iZD#L%Zv-f6J9r2PbejxO{%zf5! zUZ>;s$If;7Oo+&s%ooVhNoNd$#2w3ytk`G++2Cxl-(YG=X;CJ z;&b?YEjE2RuhU08&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.hdb b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..99c6f2bb237df38a708ea183b0a45f5dbf01772a GIT binary patch literal 10191 zcmZ{IRa6{IuqMvn4DLY_+$FdN4G`RYa0wRNVHn)qgS$I~5NvRFcX!up{=E-(U$*<4 z{<^zPRaaL%lmKC1V5-ny|E(_nro=zg1Ub7{J33HuaIvzpa!^XRS=*UYa&mD}vh%WW zaI$f-b8u0rft-I>n}H}*Ow4R4IVjcSDYZbRl+xzbuKze!8U7Oo14Byne*p#y`+soa z)Bm6c?5P1t8o6|~KW?0wgSvyEShX99i-m=DXpW*`LVQ~q4Fod5zfKz%hr)#mhzNH+ zr5<54d^tOA@jIbdAKt100D-`TxjSvPSAxz~wmq=<{X@n1@xq0M*4s;0I#usv^6bfR z`NrQ;|F??rj`ns-uYUvC6v@P>uR-vJl<#XbIq^oLNjdwK{H~phHC=obrgWva4{W2> z)?+7Ik3#J5bQ!s-zj3U>8)g0nA!M>0CgVm+C+1k__-RBdvgwVv$rxfNhS~&-WsKG6 z8gA*-A6Y{T=g~zBVp6`UT(XQ!9ex^gKRpFmg8aP*TA~9XHOv8Z?6YJlCFD!Zs7COp zWz~Ieo$Klxoi`QGrkv~Hi|N^9pOv4-+avU8vbGQ)5Z%&FI9k_r!W3yYC6oxh5XM3cIn39~` znt=LuGK+w3teDwy$8Ji=iicjN_+yfsjQ34m*AZ5xaI$QdU@0WlgSjArnSLMJXArDq zS>kjlo5XAaXW_~aRnZCw{+|mrWVEate)1C-n{SqnNp6WWwrGUtWlgZkHP}J{bAj1F zBg}c_leGUYe;ML_Bx1}kStvf70|7C)2&i`wF_gq?2mPU2Ur5ABL=&|+gH+Fzp`|P7 zG$Nb;&wWtJB|YYRatbtnL~0N)MiC#)E0CIS=5=&N%l-IP3Rl)@9fC$_1Ek%DFysH! zW-PL%|5h?&RMJ*NN68{Qi|zEG@S;r=N5~10jsBJuquIrZ{jO|i6;0$Df&Mzf+TVh! zo~fBZ(ZK1|(O%VAIH95ROb1s8Z_IcknQ-xt3)6eUSpjK!DOKbvY9bdr1JUR`H3csw z5S3sN7eukw=g3Y?2Q0ii!QNBbP7Fyxj6LAyCY@M(H@_~vI8<@9&Bo>TQj|QZiYn@; zQ>^|Svf?1VZ{YX)r>^`n&2=~{+Nx0UQu|Ac3>R_nPl2bLqaEupoJcQe!$OXudk zHFda)uo#OP(R)&@yhh_o%~RrhNmR+h0af(=H^kWPLYTHh!yO;)3H8gz3cB`PLMM{3 zte?Iff4+4?zh-*~l*no*=2sSG(KJWq@P1F8L27o63GR1NK-rER{9x0!w3bVL_WVS5 z?|fZ9bnwOQl%@GtMjlVgKuH&A_~A?{hFH1F_p_$$%241^cut>gK36!bx-P6eTG;12Qwx&mWNed;{jQ%I2V6 zJnc^nKlk^&VJCi0-k_z%+3H;0wt=rX%Pqg>xqqkJ(4_Ly3H3k+;U6`0O88Vuyhfm2 zF(qy;$>Y*2F0pDQS95+#W2Wz(KEomFvWuHMle(0aJmK3CQSyF!Pbb+>!xAHCcq?t5 z+F=H)uU(p^9R4QI${H^dZaGzr$Bq@fdKB0!pp$C9yu;rnt@IFhy$D`!1xSS1MW__e zfB($zotEJ{H$!b4-scp&){iK04U^{friCOWR!y>(uDW`vSCz`6E}WR@7-=>+u2y%Z zN>c?AVTMth7B3v*`A3f#xa7J{0%4d^5A-M$6Ptqh@c36hkfR4z1jU4M$SbwG#41#B zH}%KXc1Ul+b%WHVc6U>x&Pa`!wlYhjhup0c4Coe-GRdvr*JA8uwphaRY4mXBqV#1F ziK8YZoDa2~4>QbFs<*6^tAnWHg(Em^Ce{*4F(UEq4nErEP)ql=>5&-N$D&sJcEh_5 zl()r*&d`5ta>VB;7jz$)j$p`0R4IMe%&kO1&8%%k)ys^!5UHifV)4If5C0=;^7j0> ztLC@yp5EGDVWP17uWCC_JfRq&;rV5MtPG9LR2zSh@ul8#CrY})X~DnHoNdsbcD5M$ zhoKq<^|y5gi^Oj&%LptWj1~GUa-}rMTHSiR}Xlo3zM$YVTAwA6fG9iTnt?AmVx$BI@R* z6B5J0m}ap9$v|dl;8nEHTF|MLT7m}ulfv7pa~k3pj^NQXH5K4^>Dq4YPB>1cA+_TV z!s*!l0;gv(w;m^*$Sg9EA8P(?`3d#zRXHEv7+BO-F@0^ze7^`-^4Tv3t*jD`u!7eU zp*ux+#d{6A@^_4b-3vbtkf5e|h&?-EI!Yar6QVFH-(w}({^~=7FcZZutG}4$uTWPR zc?F{KJ*bL$IK6J_E@rqq3a52=gwI8`isCF#J4j}rS-h*l;)rO{<@8)o(TZ*2NL-33 zW)ogOVOvRcD1IXC#apOQvNC-?)Qg1Z-B}xol_48TJz`^p3##-%xv7qO2xDM(b5*3I zAH>WvZZxg}wScJ_a(}~)01i4Tg^i}^m^!r7t@o5he^`_uBXoULvJgF;m=20WR5JoZ z?rg8`MPlWsjzMkJL0220P;Na24`U64D(N2b)__M2>KTNxL4}Y>r`9GhBX*C`LRzF) zQH|U>Hx38yEL>(^05lZB{c8Y!$QGO7#j0O*|42x7H4l%r`8W@EA+A@@b$t{ zNXl=|q5@U1qjT22S>GrGQCl_=P~ck-u4RSYG7g%#NA@L+H|Ebz9jUIHA`EM%=aY}i zt%E$J;!lKfCY1y(_mxto*jzr$`M_U!6&kK=0JY-N!zE^O#qp-%X*fzzl5qU zq9X@R*6m^TD=L|mZul0Gc{S|}rq4-+!?;flt~*Q&z{c%$PIfX@XZ)fzUS^uc5j-$O z{G|9n4y%qf?m!L_nVd35EZc!WF8p=OvY=Pn2@>6W=k<7$)J$jY< zv6tUoe&y5iSOK8a8QB%7EG9+}F}mypr%m$om5y!$1)wGCps^aitK-3gnZz zN@yCxRMzYEr=i%ci}scTWYVx+#IW3-1-Zuda?dZV^s=+ zV7&}dTQmjI3=-Yr%&;rwHtt$EGjn4l(J!z%1ErD#;VE_P;5u+|O8oNt{{8^Cc>cMr zINk)+#$b7QTED(hL0}k=!Nqy?@@jU%KF_(VDyk_szo>7;IJ-c2j9S2gVb)Y|$D)vm z8QmCX$sOl!tak?b6%UBORcUc8t!pkTn-o}GUDg*>-4NiMu6He*npn=fD`V@N=ZeSX(`8ipm4O;STHjOYBxX&6^CE#3ZP z^dq%=gG+r@HB8ujab#cS&9C4XyC%}8`;oKTerKdSUk^btz9jlWaipv)x~0Od*g0|X zFUg(7txUXPI9q&8 z+l;Bp10Wf_%#T+a>4)ZtOmqFxmbTsLmyJ(43B1YAHB|-{!`{WWjj1Ckb17}h=UORF zvvWThwhHFvi*yB2G0OMSr>7J+Dg1*rJ2jJ^2Nhp8=6}hVFIyS-{w_LE1T7DU3|BVW zi9D6tzk=TMLDd4S%ahWUOo_`Xj5YJ_`{mIFer64@ZciZm8~7uAAp=muTFh+!L)lGn z4TQKt|9zj)WiDS=ufs#LA~Le1Vss9(nv-xMJ^4+M#i)Sa?fWkPCR#`9?pR5ETWLq+flv91#AH9{51QRXxq)yGZs~XTPr^7W)vRv@AXajFkUFNL&*k8bGWP6p zGTVuhq|Ao`-cGL!m_evl>XPG8IIm#cXz|7$#tz|TEOotyw%U?N%O;TD2T{7_^Xm5t z#)^3hRssLc{K0hJd|d%TWi6v0$!)Gz_V@jZ95bMaP{aKdX2O%;`YKU!TV=xRe~$3` z=Llu3mm#5vsHVtBR+h*QpY+c*87qF+xlOU$@;c9TEpw)h>Axwb_Am)4QHn$Kar<8) zi{aO2q!e+_ra`R3`+qFvj`?#>Rqe_5gBKmb67p+QpTDL9)-Je9UnH#<~M}5#wy#}Gz>nrc6?3ialaxy6`F16qW=Tc zF8nOzK?Ha^g6~K|8}Ym({eb=>Vc{`=`cG+OW!e|>MwE@xbXC{5dn^(lNt?5jb}Ak0 z0ekR=V^whll&=u|2{u$e9#bT*kfyo05`+O$SypLbCZv2qsl>2%hoC?}#fSNM>{2c-s6ot90E~M zt4ezx1(WB?S!k$@sS}V=TTDJv#WMDto8v4Uo9sO%vx3=sRos1Gd}+;e#%smLY+14@Pm|;HlZ1BG7CWjPvIf@&i3(G;E#Om;IQf}a+5e_f^^0N zCTbSG$IicWrKp9a-3uskRC0Q4i(DgIBI-F#ik{$agiRbMr797DkA(4I;yAg1t181) zu(YF)Pfm=@d2;1v7~g0vt|iiEjxMx|G#|pMp0>pY?w) zK9NJMe0PS8^YmjqS6I>H>iC_?Ld_|Ao%V)_39ahmMIWc#{50A!>xfW$Jt_zORY<)k zA{0j)z5@Q(7XDv~Wm)c>eBx)5uE!y!?u;zdw9abd2(Y~#Nst26{NjdTSEWL$%yB8T zG08P}1LC@8mO2bX+$D^{A7?Va>mW#{P1U?fY0>;GeDX&3VYd>|bsUSuDlQ4_K&N9R z5iw1amo$}49_bLRZrg^C*1WI?-XjRHoHS!x_lx>W=5WoSkz}GYP%DKdYJm57bp()e7EKWpR|aqQ&1m!lurAJxo*w?nu{{HL8r6&;Hc8$3e{=q*P217)|f zp)@bnQ2Y%}T7oWWo`;_8^@e^+{nD*NcE(8>F@}Ow!0JN1*Kg7ucYM9AZO2v+0#g9HMB=tUpdoAh{2}+J%q>Q zl_YK|%fZcKXCte#*HPB1yz!A5T^RlA9=aq)`tDxnAx>sb?cmZL?Z6CQaNpta08e($ zjw6FKN6?2?HW9S=fmx(7tU{5vXR%}W|28URSeITw+-OhG_9CkPZFex^p=H$_?nQ1X6 zSf5hzBM9If*ddV#`j{G_$eNhmDP#Tz`8H@RCzT3054YXc$9OW4yz7!yY&L*>aS0{9 zZVS)26=&IR2>N`55o#wRgL2xqt&=UiS@nMT#Tb`TUz+8Ot3#SV*0aCC0qL5kls@zTf?`SY|7D@}qosaF2HdN?A<0`s<|5*bDZ^}L!mSDW8rWwq z-RD%V$BZ`zV!mj<5YZ##zD1&Yl3=%_KOu*fwY%w-ikIa<<6#RM4k~N+LE!~3@%y0# zfm`P1q-9a!9vR7ZI%HzUDYIa6P`H^nv@T%Ne_(j$F(9m=3~;@RkjE$*sfD>)jD z%?#Xn7ciu7?fJVh0{i@m19RjS^U+6;@@jrcaUE6))O9{BR#_mop~Er5!Z}mLxoZ#r z?b_i?pPCE!-Yd3hFEsu3-|Y*^n>it{?Gr0J^6NScq1V zB85sBX8T^v&s(1zjUN!#1+#^AYqxM35ffO8F@oa_Kln>ObM5y@=i;TjvAN~Sr_J5= z#SK1K3sK{c;WIW#%s49Kp}1byEx=r=?aAq(dz6X{v@<4&N@ggo03@pkW=^3RgvObH zptc~W6X&i6v2zeQ|2J?J0^nWnoLdX3s5u zB8YV705qfb6!hW=NdI`kGg}1vvnRr%1mb~({ph&LFEjg8jNYaOew76aeU!xP-yG>u zbGuW>h>1MZP7q>7Is6`AW%y#y2Nb(RJaZTaWVhh};}dCMgST1HC_W7f7+U<(#*>_K z)?@Q@J?5VGMEH8>r+@;Sl&@OhPr4yBDJd50AcK?$#p>krPSJqbWk>CIVr;(+6GQ^c zYx1=--?nP~2QfX%^&f0U_$SXmo#0qq%6QVhnapvJJV)5=AJEJlAdsUo2+wYvT2i+^ zvRV!F(H|Zl>cFv>JJNh-`QprkEV%MqPd=YKwh$bUI|u-Hy?ANfH@R*3If9y7dfO|X zkyNh!RQbcWhuQm%#7AM2(Dh|wW*LiPVOOKxHQznBSB`^TTztvz$LBSHUc{5f?>~LT zEY=KxCws}Y;~ITl($a^?$@KN*)br%{`5W56=ctIuY%w&ySwujKxS6yWOu@96vcGrb z33Y?s{ydH3v$7ngIlEqpTW`s*P{JdU@+&&TtI8s?}mU< zD|Jt`A_Pk<`OAHIl<~5pO%}?}i!B~9d>A5=3r?I!oq<_%iw7T*^9g&`b=#tRREd`> zHZ&P}RQBXCv>4405R#PzZN=$aFK*1CPXVoOzFm;kf4wVl^Li3pvJV#~OAP`@nxKi{ zrtJ8${=J!@;I4N5k=P4FTzC8RPt?_j6168UASkjqGz8b^1D z#XOROb*L8>?7J5|2tKdt%+FbLXdX={C%35W^XF~i+!qZT!2b3R8)wVYwkkQJP9zuG zOyF&R>rvr^rXhiOK7o6{1-x?Kc~G1p1A-3~8#K0AQTWeL$=*B8v5bI#hK@T#xPcvZ}jNnG!QP`1T68pk16(NH3ROg!^ty-{Q ze_55ic!rOfe<*J&yuc+&nnUwBciD-ZKU0!HDo?azV16Gje#$Ng{0s!#*fdrN3NEf~ zWZGV6PsQuyoLy)i5q)l`uaAT`I4u?yw{T8Ce_|rOW+8TNKyQ-<+a*$MAoZO&wG1o% zqLFm?cS@#ef#06L_vTEzfd4Z{wgvh;UAL7A<1Fmp{AT5MR=lN+&gqXxG)UfLFdirf zPqckZk}_-Y;;rCLj*#0a<^)Fb*Cc}#-<;-R^F29Ms&W)=YUa&6vk?^3TX|eqdS3Rj z%{AvSRcvK8j_3tYmzzha#fO%EL+g|D!=B%kWg_HtB+E@3j!ns6`(sNk=ClnbB4j5< zThm1IboEt@l+OuX({3?j)fd}W-Oq0AS>nj$7ZmBIJIvq;C#!bV>8TF5i{Y&;5Ox*i zfu@Csnlz$XoYis@WdGJioyYCA>`-?f9l$E3TY9NMe?X$4g-kHjs_}7S#@=7$jhOPO zvb(!Vfo0wea+S1v*^u+`m`3?efZp%fs6_`s1G{Ochz*41|W}>%!1;658ySw?( zakc4zS7{{Q5unt4(-b5}e;PU0h&cyA=sRc>q|OvJ@bILDWt#gxlBGo*@yi zTL!be2)u4Y_tXRneUfa3-*yl?ca4!bM6){gCg_aT`?;oQ6F`|L(6L5<_%e*%Ya{(5 z9;O3H!j!qja}^v2X^?Wq9J%IyX=zG0b(Hi>B?1{@90)vps;bJr5IxHjeI) zbe3$88Mh>`sczYWRJ)k+W#1Ep{ovE@O<%hF8GAe+Arj=vn>9%CC>&3o!hYu`YkqB#J|sFEHvw@LVd82t1pCN=eRRM+ z(qJE+fX}SZZg_|{xLYmd2A=rZ=m+GN-QPO$pB+aAoVzUnvN=19@-W+vT(eya69u4PL#XCIm)zgcZC`$Kf*1m0QMQy zlp$MYe2Nt3jAyVRzYDd%Sqzd6aa4T6aWyd8)stGFk4A}9MyXUA2q7oGE!~(uXeYNQ zUGoiP{X&GyIyx!^w9&y@WLA#1;MTU`6S)ie$Vjbzr2d5#vYFK~5_d5aCuk1+m*iw~ z?ji@Yp8WtstU)BD`%I`!aR5E_so{#Osp>Yen5l zLxUxVVkEB!%lvjRa>wcS^;Pe&#)#mJTU8ZCe0{>MTm9-k@yf7Ut260(*(Z(bSg&yO zL`)64NjUh_pZw#b$w?qyzI=wwF+!x_-^H(Q;v_>?OW9~83{nAZzSOfA;VOI|C44!6 z#|q&pMoJ;eaG#JSuPXg3AReiZR$j41Bg97tbfu#kR|!pmk~X>T*XI>(_pHxcpdg9&hu zJRB*D*v>9K$ImAB@b5Dmng|Y=ctQ3~HN*A9iD2ui08Tv%0G%W$HM+|aFYHf955M~k z?nLHcE56Z*Eq;qyaUHQ4gX*MGaCL59bK_n`v|=Fjhv z4h-n_{)oO6>knA(>%MM8W%J!jb-QoOHF?J6W+TQQb)r!sj3Mo;zZl23WchJ0p8ma6 z#Y}^&rB=KW@?DIWG}7$1Pz-^O{LJLCwquuPn)ObIWVFT%p?hgvIS`XaQ|j~g#jlKQ zrq_Y}tTbfWl@Vb0NPHkB=|6&rrQwPeXhl!L|>wC&i6X*sTUcbm{yrkMeMOG}P;`^y%oPwO~Nq zIqC7xIouMjWainbAbHr{wb|!7GDYs-n_a@K;@zIbd2H%Ynv?@#+NkiQ%=3i+8B-op z9qCb!h{f(i$S3Phc`J&Zg||h1zZy<^u9`bztqtNnG;=u?V##ej^n5m`n{YZa97&G( z*7Z25{O3b549!((^XqXoeTQzgT6^{k-`@`~Fn|Agz!qxh+2LsriO>-04Ogw!H02TK zM&L=I+B1xfkDn_orR!KNGACRNAx0WYenY<)Rp0(bv+q~7Z!#>2 zijUT7*KSOX&t;Vv4%4Gq7hdJ5?w++jY+q9DY*(~eG^!o_i-|`bJ?RG;0|vafOlZq$ z*txs%VP{)*GI4ioJLz(556HhhsC{YvQ0T@nUE~{Y)12PGvUdGU;O-FBwv{qkenMhB za!Pp6No4u&u4i*ilQvQSTdNNUXlW4f@Kl-idsj3edFI(-Ilw(*PJp z#Kt@^)zOF)&VI&f4cNK_o#k~MX=>B zZ8_0bmYGKvygO}B*~hKQq_fN;V`N29>YO}3qY>MeOP&~#S^y?$XO;t`(VOc8jPYh5lgx+NCa zhqGq16Ef!3KAAWTom$upqA>&Py-B>O!er;>uZr^GM!%TbM_o5SD*88r7doyOSY*Cg zc~sfO!+a4w6B2{WkbXRgGvVX`M3zsl+;kq2-Qo6e9B-@}coRfYqO%PX@fQUNbKIm} zj2o9TXQ3WPOj9xr!OK`QWpYz6ta{TFecJ`ZJ+u8q4X7361?)|bpvbuCzGd0qnO1~< zixq8tGpHn@sGh8EZXR7Y4dT1NKd^S#ss3s-$yBcxD-dd;Stj+{!_jr@1z$tk1_ug* z{^G@f*6y)$ctR8pRyr=F@muEYS4I9R&<~ z?3N%BZZu!qWbf7)<;5Y*46K}~p9y}7`mVQl^Ky};`~>_^S}phQ^&B}8aj!5&z8!1J zK~xN?Lh;}n?R^OD44M%3gkH9dG2a}yH)7G{-uYCN*&}FDV(Vj!$D4qr&9up6vPCnz#p2@!)p6JLQlSxa9oMne?1`SjeMI5a$cd#j5 zhH3<#h=P5|rS2nk!Gph+O^-AkR=!hOjOYia}Rf{Ux#)v0jIlvtk*t@Ahl z_DDUxV@fy$idJKOz#k7(Dik_!wL@I<7Rs)vNFz{Ns;%++b&^f;9E25cf17N0g%mMj mAuRY{tj-L>f+N`$t1#T!+`XuVO+rs&C=q}97yiGP^?v}{nzy$A literal 0 HcmV?d00001 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.sig b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hdb b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..fdedb93f851f9947d49f52a0f7798a5c12b67b2f GIT binary patch literal 10524 zcmZvCWl$Y3(=Jkq%fa29gS!-Wio3g8af&+>r??b%=ipw79XPl<#ogV%zTbQ2&i!#G znLIO_>}Dp}WS`kt02CBd4Knn<(d}Oo|A$(Zu5Px@PUP&IENm?7-tQ>6YoaE}3uAa8$mgFj?=Jw?5@uBwzDk)51U62)u|t44C3hxDaI?ZR zdJdQtOkzXg3&}5o;N%+?28Rn_FqMMhUM_vuLKdMuVyQvd&lLI=N`_HqWPJ(Cv1Qj^ zFr$C_(vqYlGKy-Os&i-HJC)DQ`D?OKUO)|uUyxp_d$&UQNX<>VpI@1db-h2e^8;NA zZ4PUNpmVmd^vR^M-O{rnr_o-%nK}^gq*+pBx5shr*z~CF*4ISkg&jI@(_Ymk+ES3}m^f*Yfbb zs%OrArlsN(ViHD1$0ns0Qt{5e6x|B`0~-#H^nV3GtFT2S>h)jCa0|6^yF4Ad$HDwe zb1R}IS_tQh%2Q+GrWToQ+D>e>YeUIS6NWnK)@+_eBMMm+kYu7|DWm_N$^Wy5rw2M$ zI@&N2^g~>=-uLTgoP*dgdB>Bj^PC&r*xb0XiyyZwL=uA`;)zR*=2+X^f}YHf`*#Wn z1;-EePfmU=G1se>Jj3-x7ZOn2f9BI(eM|mwBexs=D z$x5yvpZQ)Sz$oV+g4%uq61~w!v+q37ayh4SbxTBo&0^{e%S}cSMDsrX)%v2*Kv4O~ zrP5epWX@D=rD_gRQ}MarCg_Q#KwBug3j9NYLPD`6M{-w1M+(V1fB~f>MxCf?2DGeB zI|wkhs}?fJB+c(t&u(M{ex_dOKyLXP&EI%Zew0vNhKggTkdRJ$zxJ%s#=Y3W5KA^# z_=jQ_ZL-m}=}PS`kH+aKP7On0n)HY4GDj=#W?#KMq{R_FO&-V|P{eh_Gu5e|yX@)7 zS2^2*cAw$lza-*f-&eSwJhM>q&7y6*mW4wKhw)SnbA(JGMgdp8xDhYZu_?QxiM7_J zW4B4)R^2nw=RilEfun_%MK_V5l+K-gc`fSv+AG$ALAYq#rLF-xFG<>hk5_XTPeBf{ zw$b!dmbSnwBbcG2mFKLH_e^Dy>tHw|g89YUb2WJP@2971@W-wi!(VICrv%@9&i=_0 z4V%nW;Y7|OX(h{#;k$_KG_sx7TXTfUC{}eWw?UdIm~7IC>Hr__n)}%!*t~#v)cd4_ z3B_(@i%WI;^5wd`8B|8#@Y#;l`7p;W7CLA)z-w)u`(GFZkKdXU|o1(PsoiAA0DBusmSHn=i2ITxJS?;84iEH8U3y7`kmDEd;WW{ z^&T|Hc<5XE-WO3yxcxCMeTwPyid-)$B3G&gi6)3$+KWScgcU3+*AKVYX>O^Z<~e$}hmdQ&`F@G56hM!m-^t z!Qe3ZUY@>tnv-uzkdH0Q>E~JfC302DrWQ?rYU<-X<5f)60PDyfqF&dr*f_@_p@VpX zQ1jY`OwM0@_73|7*5=v{wRN9YGv*(pS*U#X_SdGi#@qFzE2<9J0xy?8$L>bfPN08* zpqKd5p2dV@PQMX<9vAA{x{K`Q!E~GZ)xNwM>FGX5jB5SdIqc~?HtoH?|6O=-^?|($ z=2GvkGk4tz?clX3fF-NNDID?9c5<6t<}EY(;Dryn?p^j9*9+gDm-|GixPs4t$PPzH z+0!f7E%C07Wb~3IJ31Ta@F9+eugyhN{ZuCl1f6lej=1@#f*%w+7DL}uH>Qp6-bp%~ zVLn*6*B7d@G$IP&QPMN|O?drVxHiDGkAIua5#|n_oVVZ-Glgz7?QGmD z{9eW~n?*8v3GG*iDt1e&W4JJmwb)kVA9VbH3ckNNVMHNwU0&?R^&sb;dM0%|5w*8t z$OjVl1=1XBIX8@!FQ**{U0q*~i;coHua)j!$%O8mLX?Ed34V1gDQn3z`w5E}KxJ1C zd)@KW=q+Qu+a-t$Rs zHb)-y!(Y7cQbFpgtjEJYAB-Ku5)O^H@WB27qv?YQM+!X=n@^!u9#^+Frb%m3@;#*P zEUd7-wBOo7UUNpr1Zl49JxUoIde2BC7t6q}%;)R&{oV&*dmaQg-2V*?auwop4Tpk< zV8QO$2>C44%xk~Pc27$-c90~|$P>*j~^1+Z;#(9;-X zYNm%117UuccHyC=9kqEo3J;}fvcH-v|6!h^o)?(;%2;Hwwc_3#rk{efn2Qz3?UhR1 ztz*xc`lyrix?J1Nq+L@QscPTSJWgWVyaFxBd?5s_anejxG*CcEo;Kqg9-7IwyCkoK z2kb<9KgK+Hq9pMz!etqOgv~<1tLF>%qpS1q+s}6_&g;)R^Grh*k7ukcB{*9ujZt^T z<(YE+Te7pJI}AO>Tu)fV^V%Rp1kd}Sxp0$n*Y%T4ID_vctYnvvw6e%YBHgvWAD??< z?kdMKvk4w4Vu);&^RBw8tChEjB&AADbhWl6$<=5V=aiaQB%(VGyu5!=;IKb-Q6!|j z=!W)2Frh3OU!3cxEE(K5HePNV^~$pgeZ890i^RWYD^h9cF8pwJ*g+J0B*pJML12Zw zv*mPIfd5yP`nbSRrlQ=$BEK2BhBo{QcMQ&7t=2E6xw`q1IFjSyXJKNOxn>LacGhZU zOjBPy4h0?N19&PyBwb|YRNtpabODgEnbGA8zcqO&{7glPDX~8M7i#LBA zxS^Fc09WJk_*kVs!)7AuI=9Bhj+lF1WLth{-xnz~-e=UDS2$dx20J>DI_c?dsx1Zu zdW<9Q*BLF(2-P`R1emLvD@fePcl}hPy-$&VcM^)%5}onR(2y>$^zh#~9x6@S>VO*` zzah-V<*IffG?J4--e)J>6)d+(hsu(Hn2RWh5g0l1xz$dwa#XInK(G#;tZRnp$I9t2gm(vwXjl zg&cqHXWHkkj>4{9TY3T9#v)n2f8y?uRGY@@XJXCp?c+b0O7m|imFArnR1@_LtnqoL zkJrFKCA6N7Vub53N;U8Km3PlHFDxAOyi)(5CE|Esv&pJSwAK=K)@gi)EaDD&zOamq z{EeYgTAX+Rtp)!&HL~q%8|};^8k^2$HFG~FUnZG%3e>Cdxw-s+Iok(JP?m_k#OR6! z+$YuLU4tjD?X_0rZkBOwrbCLyi=R$w!qeshO~+JyR?>i3Yr?H#_5NL|x> zgBnvMIwOS&{>ghX#(|BBx&kyE7Rk!a%zi!ZRZ^v_6Lx2AE4wG@dX|f$%BvtheqBAS zypJ``1gpDW*rAyI9V?l8c|bCYD;%zSEr!35N(=sS9$dD5bC`IhA8Haa3qk^oW>hh_ zLlHg!s7=l(@5K{MiVoIkYv0aykd#5pyEo%8m^CeYT7s}JgvII;_$taS!KUI)>lZWI z?k|3BoYT6F;A?B#yo9vV{-zqtU&P7HwgS(53Z;|q4zP>3h7ZflO{@x=7XE28oUs)z ztw#HCy!WN7xa&#Nd|IOQe$+FAe-c7HxLKiYR||@d*~AeBBL(* z6>QH7n)?^d7~H|16nIUs_A*kN=OMzr>spduO$g)HqVak8A<=`vpwy7M()w~1TJDJLZ7t9-`UA@@S zGrALoY$MwS7L%zvA`JI$P4Ixt_jr{u3nukAw{JR7guPZJGC8wxhX&NjjKz&Nw{I1| zyg7Xb@rLamMtKFSZyWI&=$0LM0MIkNgT%9*6oi2@#aF5_t~i-@SNS1OtZrT8w6*>s zAS)XFnYXm_ZIYiqCtX=~oN71W2(vf4r|KcF<~{k5=3{8*W@q9t>ZnZF?se$(=)0vg z{^rjQ>Wo?h4!Z(mj_WR-cl1=1kN{091`$hSLv+SHe>KF5;^Y>p) z?|OR*_jtEQhGa?4&ZVu#@aa>>^zy@{V1r2bT&oh$;o3X-veV%P;bv2r?&(f0#ux#v*H$P#z^9P}nB{ zN}rl-w(4}Vurpw8z-k@`#SFm{nz$5S?Te7ieKbr1K2cWkjUjx}KvZn_st|6gU@=_L zenzgMTgh(*PoUt1D>=t!o?*a_Qwq_0MZg*X{CSm?)1YYGLz!g-cfbm@gJ zP*QQjT`1VfGAI-wc?^z7diz&?y6G>LEjOj0VAJq`iLPXe!j9=RYr(~)pq+k6R_SbP zpy##IE(cx@Z>6HiX9oDuh<7WqrwVB6QghKzc}Vf}T3|I~1Qg_p%FU7iC~0o#Qlm7i z%XG%jkz~Y)7%eXk>@z6CY#lXRG!UWybQ)U4b4rDO9;wvqE$DZeq*FD6WepWA69}3a zm{p~Y_mADD$u@?h#MSK*FvA(sAl111);5#H zQ)Q=A>dc}Ob4XHY<7_#)!8t%4DE>9(C|pH}%Y_&GF8hUN1lY+(=^??feD!l#^`SDp zsl!$8vr6TII0cr&Dt20 z3mVBEDe>9?~K;5IsQ3n7ZBrNZvFvazXB+j1CIDM&Az}IXcFq z4Rn9VdU)Dd7^@$Vzeh2v&%MqS>Q@%cO^Pjh`sojM{46RyN{}sgnVLvo1MIfWJ@zN? z$?|R{B)*xNb>k9PLCsV4OR6w)>@H;GS4lxMbnI$No0lkBJ@?65boxlA7#REBZ7f=k zV&D*i3y=T#>jU!pyK72Fd6+o0`M$Tqz7FF9WXu+FGcr?XJcq3k>p$uD>=*6vSKYmTXCwK}>62eiYyG{=G*lCkEU~i1C3K=30Q@<*vnH&L$SSVOBuhI>T zfnqkxKqVaF_ZdJ5X)tMWohk^oof)LO$CtVanj?e`)~vM>_Kz9l)g}0+l&1tIe!rw) z!(dUKm>In2(08Cx9Nt^=m@x{HNI=c-R8WM;`xKSDYm=+yIEwZh-6BOdqzY z7LF@IC9!XUA)rSbq+SMlD?5Q7P6t&;8`d|W)L-gag?{qIEF_~~8MdL=U_V$#jpaeq z>`Ms~lnd9&j9U?KmxbUu&*@8AgUB~3pnf1dofDG$&K~A^jfF89XPv4rIHd+RfXb#o)@l$)q zMNfJN?3hG>3^j5-s0|T+C&hSo_-zvC+uq!}w%B7)-`HHYw$+`}^BLuJ3wnHNb+NIy zuDPnJ$XBktwcnRHkh$$Ko8t|(W<5sl1-IbvYuWBVHyWSzqpr9<^cHf?#=`r1yye;6 z`+ZOR!Y5N1@6m)1AWT8w`2CX_TbTwp0kfsg!=F^N~tpT~DW&0LMAha>97>R!CkrT$? zkiUL%%6W6l_5kHvYc#B4L_(Xgsm9zNeH^E!TN7`}rV&V?3(I2a-K!aqgDUIURfh#Q z0NX9Zh)=+6%et$}D7UwInAipqqz`kjl#&>R8tw&eNSW3g$s}hl5!{XPMzJr0T?jxU zRl_>&q!=@XD^G;83oDCGkBm3SL0b=^PokUQf~FS(eiT8mp|OI^G5;=Ow-q{R=Y5sq z+N_3WXX5NSbrCm8gS0(~@526`lZ))9muk0I2GPTmE7u*ArEj$i+pOMi|` zJnU-t{fo9$&QbVpa9>MaT1vCfLm_bn9xN4?Qy>9{Oaw8#gb^Bob$QSI!bJRgEWt6G z?!p$wE&_pTF$x1DvB&~E&9RG5aE(OZT8+Z56w##?LCh+l1oi2Ib0Go`BAy0Lv$_<8H@kC&0*-RftC55a`UN;gzUrXVGFSY*nipumtnwNIZ^MC-x99A z#;t*Oy9|GAJp2vY?JJ&2{)7z*eJ7+h74c@Bv-?{Dm?&5>D`XJ8W|GoR80M>OIZS032J>5CY@2x;B z>TYcJh#HZfkD;s~iJ_KY3XWYBf@@b%KEQXpLv+}n@hfB7giqVv5?rH-f@FrzK#9(yiGmj3)_)y(q~%@^l-LQiWv@Iip_&i862G`uyhu5awC?fW2Vf1ncY^+A@x{Fn%D)}8v% z0gpNG-VK*{8q_r(S3j2%i~8v`#Bepd|AeQSjKRx&;96CHMi}`U4erM52I&Q#U5G(p zx)++`8dr;zcYo>K4pmVDS4zlZES8w3LPYSl!HD@3O;Xt)pI-~)2M)ZheM6{4I}%kt zu@Y(QnMGMV^w1jb_~`A&FQ+0DcZhghPLer)#psDGtU_%e1AD5CXO@8%lj03vj0|NXtyZ3XhMhkC$06VtW+8L*E%2%7mx=4T|R*{BGmzi<1a*e!Sw>pQi!FT0W& z=*WrZD^ee!QU%V=0OpFuFWKV!!tw%7n z8QbT9xHTSL*$o`5PCW|`}9X7Aqrekmf{QFoO%gr8WzPO9sg3HIPbNN0$ zlSJRc4ZnX%jPGYS%zYT5vn`%^E@#^8sw1U}|weS`>0q)bmq=P6`l!X9u{!ggV)!WG*yo=8U3ncB3*AJu>6{ z!x0+TG{XK%PmiY)kd|gIHP9(J(7BEPnK=piW<(6suX`{B@?W^vgNnP*5f)1p?XF+e z#eoCx78Dp2zomM9b1l z>nXMRcrl1?IEm)wgNxQfhIAmm(?0`W?YV}h48&Bk@g5qXAq>*ZI)@&ZUQNsO{UQzo z`v=L62dLoQq!XKw!VH<#%^%7HB|h*79nV@m?X$PCaIHyhsvCt}P4JK#-2Aeu>@JuC_xa2O0j&f~%D%@1;>~5|Vz%2o!w1%o06R z66YxtUL%PYqyLgpKhIi2#U`YQDJju#0Oq0yx!nfXuHnF4MuKZ$g6letUCtnHt+VR< zR|l3k)OM9TNO%W3-f^ix`Q(APKLy@#OuNc3m2?=}GKzqOr9)081|U5;sw(KOeq$|~ zpKkIdE9vpk{l!tQ5#*-V?Jd9jN`i7*I_|PJtxb;VHCwI+=~HP~G@Tlg*~RbhV0-5E zvqv@i&JRJ?URah+q5xLPcXG;igipW;AF&TQ0@sA{10#S-UR2w^kKx}0kdhP|5C{OE z|A>l|8la-wuA|&`7DecODW~6M2VAv9d5iPx%Bg~9DYpp#SJhG8Xb64QWhQ5AzBakh z6oX<$n|!UcYn$1ANfvMXytQaIM3HxQbxt~$#~mH6?NuJ%+nqKxQ;CiVyS(yWN87Xo zY-k1oVFrYyAti^}-+`gU`&xIX2`Z;5VChY@ZB9w z4QG0>mN2@TcRfZ>@NzIPkV*s=_uL!*)G*BXV}I+hdKCq7Oe8rFr&skfA6Brj&=VX# zcM+19!|{S13YQ+Dfv0AemV}R5L%LU!*AbTOC|cs-A+9`MU%-h zk)n9MlX@sDZ2VO=xXT+4jZ&W27+yK_S5e~0 zjP4wbxhL(T#ANf22CLH{{2$MmUNfb_Op9(!>PEO^yr-7aj#-X)#)wN?+D`~^?B)T! z`-`Fv_jB5Z(RGcS<9HwFP*5Kq-q6L`x(+y+_`>~G3d^HC3n6+8*bL>^E1FNvcF)6!l3eV9jCR(5QwWB2fGdWvlVVF&Sy6sQ!Z$3@*=DB@gm$=0ecX)KXS-iz;o^w6YNSv<`ZAG$59I0BC z9(RYC)P4&Y4&~0)ZFg*53DuJBzR$0S!q=rgf&HgrFl*E?}SSfx#^RIb<49{R@~Ht8I~^0jOTBuHMYhqLWZj>(Oae-Owtp@| zmTSrMFjhQy?hVkADizJ;#V9i4mR9ihtVy+Fpy6x1{;KQ67fxMfFH=&)`mD6qVU#T@ zO;PlJvctgDEa^P>KFxnT9Bn?=+g-H}#1+FgO5r3f3Hic)mwqu}QVGmLI*C?eWgLNx zENji;B4t|hrz#QS`viW~_J$K(A|sN#K8Q=H>KG3$A{<<@vzWt5aQ=?R6d}pf)K$Zb z#?^T^?mO^3OTX3a4evIZdA35yG((dSz_vkj))**-la>|60Y&w!o;?{dVa&TNAYEKA zD{w5UQjcQHU`?m|{7{3XA`y|j7z>WYM0!_`;FvI4UJIH_?lW1gU54ZPx{L7Fs+O3w z;DOJsKCU90Vf_q-9GV9BvxU7g_e(^l!Hl(OSBiV0Vb0!C>M!WYY zpJba6pP95@__Us<|Igst5%EJ@EMT3PT+3KhuG{r=SosJC0$8`$en#2lYsh;RxSIV+ zycb&Tf{Jh<6g{E&z8l{Qh$a8kF>YP=D<{KkwRS)~%B^nlY_ogkVIZXKb)N5b?`ZjQqjDBU^6pL=C1L{nnPGkwPXqVE z1ZZWi?d+_N=xG6LEXxAB1eealojG}d>2_s|ypns9-Bj()4Ujnt!f753D+diPID=1? zx@}U b7KS4IcQa**w_uK+G}x-wBL6BCKR*5kDRzaj literal 0 HcmV?d00001 diff --git a/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.kpt b/YL_pushcounter/incremental_db/compiled_partitions/YL_pushcounter.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..598a4e8c809d27c2c45f1557600c83a52d0e17c8 GIT binary patch literal 775 zcmV+i1Ni)`4*>uG0001ZoYh%fZ`v>veebWZ{5}#pl(tepS|uj2b`=w7(=<(10JBCw zlEn^cC4T(!)nI~0>;P+c%=Nt|$H(`>0ecZ}GMfO;S1@koM*Jx~3}<1}oooPbOw z5F|cp8Zy`Sx)8Mx1b-qYU@t7WzjxR2cB3}6cbvtuA^ zU=vwjM8mZ^rC{hx7XX3LXYid)K!4`)I1=`*0I(PIl?1-)aYh*BfWHPY&ydb(NWCeA z;Mt{Nyb^Swkp_q%z@5c>VG;D(W%0Ihx7ab+bkFq|M@G8kpXiruq{a-$D3GMcSOeMA zLbf!JEiL4>0ob*5prN7&w-8tzYViQV)2s0dFW0e zP8nIoQEzr}C9fOuFdUP?)0p%h2Vcl&{BcZR@9C3m6pkvv55rME2^>k{1e3_7>R@h^ zHf|oj@iTD0RpO2s$^A}=J8lAZ&61n@;fl@_Y7UtyO<|+Z6!xK&dithL=S50QeN$&# zAf>LpsngYwN?R}0c_pSwQ!mwDC8k;<#<~-t$V?|02wBcb5_H$Cc=IBVUM+<3OFXy} zSD)+6=t~!x!eY9-JGFz*e|d$ym}($^7;h-s`pn)eCn8pxHWhRn7u%3Yb#DD`8l+$Q z0`UY;5{W=$@-))2RJFXVqN5A8G&PnytO+N`RI!TU5fbmI&hXmLmr%8h-NP)Uv_wjD zAyOh0DXX1MBqf$fiS?!2C)|{*RFYCgUsp;?CZ+ZMSy?T>Nu{ilmH9)~p0lsZ{TVME z`&C2#;G8XPDF;SNd9akmO690mBYUWqCTC>YmiTPGw8}BSsRG{1MZ;`U~mv FbMNL!d*T29 literal 0 HcmV?d00001 diff --git a/YL_pushcounter/output_files/YL_pushcounter.asm.rpt b/YL_pushcounter/output_files/YL_pushcounter.asm.rpt new file mode 100644 index 0000000..37560b5 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.asm.rpt @@ -0,0 +1,130 @@ +Assembler report for YL_pushcounter +Mon May 04 11:44:43 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.sof + 6. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon May 04 11:44:43 2020 ; +; Revision Name ; YL_pushcounter ; +; Top-level Entity Name ; YL_pushcounter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.sof ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.pof ; ++------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.sof ; ++----------------+---------------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------------------------+ +; Device ; EP2C20F484C7 ; +; JTAG usercode ; 0x001B3D89 ; +; Checksum ; 0x001B3D89 ; ++----------------+---------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.pof ; ++--------------------+-----------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+-----------------------------------------------------------------------------------------------+ +; Device ; EPCS16 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x1DD957E7 ; +; Compression Ratio ; 3 ; ++--------------------+-----------------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 11:44:41 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_pushcounter -c YL_pushcounter +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4558 megabytes + Info: Processing ended: Mon May 04 11:44:43 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.done b/YL_pushcounter/output_files/YL_pushcounter.done new file mode 100644 index 0000000..8243308 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.done @@ -0,0 +1 @@ +Mon May 04 11:44:46 2020 diff --git a/YL_pushcounter/output_files/YL_pushcounter.fit.rpt b/YL_pushcounter/output_files/YL_pushcounter.fit.rpt new file mode 100644 index 0000000..06c111d --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.fit.rpt @@ -0,0 +1,1237 @@ +Fitter report for YL_pushcounter +Mon May 04 11:44:39 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. I/O Bank Usage + 14. All Package Pins + 15. Output Pin Default Load For Reported TCO + 16. Fitter Resource Utilization by Entity + 17. Delay Chain Summary + 18. Pad To Core Delay Chain Fanout + 19. Control Signals + 20. Global & Other Fast Signals + 21. Non-Global High Fan-Out Signals + 22. Other Routing Usage Summary + 23. LAB Logic Elements + 24. LAB-wide Signals + 25. LAB Signals Sourced + 26. LAB Signals Sourced Out + 27. LAB Distinct Inputs + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Mon May 04 11:44:39 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pushcounter ; +; Top-level Entity Name ; YL_pushcounter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 20 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 20 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 7 / 18,752 ( < 1 % ) ; +; Total registers ; 7 ; +; Total pins ; 15 / 315 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C20F484C7 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 48 ( 0.00 % ) ; +; -- Achieved ; 0 / 48 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 45 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 20 / 18,752 ( < 1 % ) ; +; -- Combinational with no register ; 13 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 7 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 13 ; +; -- 3 input functions ; 3 ; +; -- <=2 input functions ; 4 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 20 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 7 / 19,649 ( < 1 % ) ; +; -- Dedicated logic registers ; 7 / 18,752 ( < 1 % ) ; +; -- I/O registers ; 0 / 897 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 2 / 1,172 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 15 / 315 ( 5 % ) ; +; -- Clock pins ; 1 / 8 ( 13 % ) ; +; ; ; +; Global signals ; 2 ; +; M4Ks ; 0 / 52 ( 0 % ) ; +; Total block memory bits ; 0 / 239,616 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out ; 14 ; +; Highest non-global fan-out ; 14 ; +; Total fan-out ; 99 ; +; Average fan-out ; 2.11 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 20 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 13 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 7 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 13 ; 0 ; +; -- 3 input functions ; 3 ; 0 ; +; -- <=2 input functions ; 4 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 20 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 7 ; 0 ; +; -- Dedicated logic registers ; 7 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; +; Total LABs: partially or completely used ; 2 / 1172 ( < 1 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 15 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; Clock control block ; 2 / 20 ( 10 % ) ; 0 / 20 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 99 ; 0 ; +; -- Registered Connections ; 56 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 3 ; 0 ; +; -- Output Ports ; 12 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clk ; M1 ; 1 ; 0 ; 13 ; 2 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; key ; G5 ; 2 ; 0 ; 22 ; 0 ; 3 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; reset ; M2 ; 1 ; 0 ; 13 ; 3 ; 6 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; OUTPUT_A ; C1 ; 2 ; 0 ; 23 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_B ; F4 ; 2 ; 0 ; 23 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_C ; E3 ; 2 ; 0 ; 24 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_D ; E4 ; 2 ; 0 ; 24 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_E ; D6 ; 2 ; 0 ; 24 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_F ; D5 ; 2 ; 0 ; 24 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; OUTPUT_G ; C2 ; 2 ; 0 ; 23 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; rco ; G6 ; 2 ; 0 ; 23 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[0] ; D4 ; 2 ; 0 ; 25 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[1] ; D2 ; 2 ; 0 ; 22 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[2] ; D1 ; 2 ; 0 ; 22 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[3] ; F3 ; 2 ; 0 ; 22 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ + + ++------------------------------------------------------------+ +; I/O Bank Usage ; ++----------+------------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+------------------+---------------+--------------+ +; 1 ; 2 / 41 ( 5 % ) ; 3.3V ; -- ; +; 2 ; 15 / 33 ( 45 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 5 ; 0 / 39 ( 0 % ) ; 3.3V ; -- ; +; 6 ; 1 / 36 ( 3 % ) ; 3.3V ; -- ; +; 7 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 8 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; ++----------+------------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 325 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A4 ; 324 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A5 ; 322 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A6 ; 320 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A7 ; 306 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A13 ; 281 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A17 ; 265 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A18 ; 251 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A19 ; 249 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A20 ; 247 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A21 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 82 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA4 ; 85 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA5 ; 89 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA6 ; 97 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA7 ; 103 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA8 ; 111 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA9 ; 114 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA10 ; 120 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA11 ; 122 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA12 ; 128 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA13 ; 130 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA14 ; 136 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA15 ; 138 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA16 ; 140 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA17 ; 144 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA18 ; 153 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA19 ; 162 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA20 ; 164 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA22 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 83 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB4 ; 84 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB5 ; 88 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB6 ; 96 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB7 ; 102 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB8 ; 110 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB9 ; 113 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB10 ; 119 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB11 ; 121 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB12 ; 127 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB13 ; 129 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB14 ; 135 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB15 ; 137 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB16 ; 139 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB17 ; 143 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB18 ; 152 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB19 ; 161 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB20 ; 163 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 326 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B4 ; 323 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B5 ; 321 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B14 ; 278 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B18 ; 250 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B22 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C1 ; 8 ; 2 ; OUTPUT_A ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; C2 ; 9 ; 2 ; OUTPUT_G ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; C3 ; 1 ; 2 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C4 ; 0 ; 2 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C14 ; 260 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C16 ; 254 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C17 ; 245 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C18 ; 244 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C19 ; 238 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C20 ; 239 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C21 ; 236 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C22 ; 237 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D1 ; 14 ; 2 ; value[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D2 ; 15 ; 2 ; value[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D4 ; 3 ; 2 ; value[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D5 ; 4 ; 2 ; OUTPUT_F ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D6 ; 5 ; 2 ; OUTPUT_E ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; D7 ; 311 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D14 ; 267 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D15 ; 259 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D16 ; 255 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D17 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D19 ; 240 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D20 ; 241 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D21 ; 229 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D22 ; 230 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E1 ; 20 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E2 ; 21 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E3 ; 6 ; 2 ; OUTPUT_C ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; E4 ; 7 ; 2 ; OUTPUT_D ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; E5 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E6 ; ; ; VCCA_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E7 ; 316 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E14 ; 266 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E15 ; 256 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E18 ; 243 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E19 ; 242 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E20 ; 234 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E21 ; 227 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E22 ; 228 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F1 ; 22 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F2 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F3 ; 13 ; 2 ; value[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; F4 ; 10 ; 2 ; OUTPUT_B ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; F5 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F12 ; 276 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F13 ; 269 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F14 ; 268 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F15 ; 262 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F18 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; F19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F20 ; 235 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F21 ; 223 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F22 ; 224 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G1 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G2 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G3 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G5 ; 12 ; 2 ; key ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; G6 ; 11 ; 2 ; rco ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; G7 ; 317 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G8 ; 313 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G11 ; 291 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G12 ; 277 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G14 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G15 ; 261 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G16 ; 252 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G17 ; 231 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G18 ; 232 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G19 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G20 ; 233 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; G21 ; 221 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G22 ; 222 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H1 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H2 ; 25 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H3 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; H4 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H5 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H6 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H12 ; 274 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H13 ; 263 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H14 ; 257 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H15 ; 253 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J1 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J2 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J3 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J4 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J7 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J9 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; 258 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; K2 ; 32 ; 2 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 36 ; 2 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; K5 ; 31 ; 2 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; K6 ; 33 ; 2 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; K7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K20 ; 215 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; K21 ; 209 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K22 ; 210 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L1 ; 38 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L2 ; 39 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L3 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L4 ; 40 ; 2 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; L5 ; 34 ; 2 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L6 ; 35 ; 2 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; L7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L8 ; 26 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L21 ; 205 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L22 ; 206 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M1 ; 41 ; 1 ; clk ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M2 ; 42 ; 1 ; reset ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M3 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M5 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M6 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M17 ; 198 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 202 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M19 ; 201 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M20 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M21 ; 203 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 204 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; N1 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N2 ; 46 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N3 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N4 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N6 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; 194 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N17 ; 197 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; N18 ; 196 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; 195 ; 6 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; N21 ; 199 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N22 ; 200 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P1 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P2 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P3 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; P4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 55 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P6 ; 56 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P8 ; 95 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P9 ; 94 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P15 ; 193 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P16 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P17 ; 186 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P18 ; 187 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P19 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P20 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R1 ; 57 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R2 ; 58 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R5 ; 63 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R6 ; 64 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R7 ; 54 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R8 ; 53 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R9 ; 109 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R10 ; 108 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R11 ; 116 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R12 ; 134 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R13 ; 145 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R14 ; 150 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R15 ; 151 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R16 ; 155 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R17 ; 177 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R18 ; 184 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R19 ; 185 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R20 ; 192 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; R21 ; 190 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R22 ; 191 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T1 ; 59 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T2 ; 60 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T3 ; 69 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T5 ; 67 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T6 ; 68 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T7 ; 91 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T8 ; 90 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; 115 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T12 ; 131 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T15 ; 147 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T16 ; 156 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T17 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; T18 ; 171 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T19 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 188 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T22 ; 189 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U1 ; 61 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U2 ; 62 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U3 ; 70 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U4 ; 80 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U8 ; 92 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U9 ; 106 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U10 ; 107 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U11 ; 123 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U12 ; 124 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U13 ; 132 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U14 ; 146 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U15 ; 157 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U16 ; ; ; VCCA_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U18 ; 170 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U19 ; 172 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U20 ; 176 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U21 ; 182 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U22 ; 183 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V1 ; 65 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V2 ; 66 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V4 ; 81 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V8 ; 98 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V9 ; 101 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V10 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V11 ; 118 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V12 ; 126 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; V13 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V14 ; 142 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V15 ; 158 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V16 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V18 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; 166 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V20 ; 173 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V21 ; 180 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V22 ; 181 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W1 ; 71 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W2 ; 72 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W3 ; 75 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W4 ; 76 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W5 ; 79 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W6 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W7 ; 99 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W8 ; 100 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W9 ; 105 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W11 ; 117 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W12 ; 125 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; 141 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W15 ; 149 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W16 ; 160 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W17 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; 167 ; 6 ; ~LVDS91p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; W21 ; 174 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W22 ; 175 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y1 ; 73 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y2 ; 74 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y3 ; 77 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y4 ; 78 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y5 ; 86 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y6 ; 87 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y7 ; 93 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y9 ; 104 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y10 ; 112 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y13 ; 133 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y14 ; 148 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 154 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y17 ; 159 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y18 ; 165 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y19 ; 168 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y20 ; 169 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y21 ; 178 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y22 ; 179 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------+--------------+ +; |YL_pushcounter ; 20 (0) ; 7 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 ; 0 ; 13 (0) ; 0 (0) ; 7 (0) ; |YL_pushcounter ; work ; +; |7segment:inst_| ; 8 (8) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 0 (0) ; |YL_pushcounter|7segment:inst_ ; work ; +; |dec_count:inst1| ; 9 (9) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 4 (4) ; |YL_pushcounter|dec_count:inst1 ; work ; +; |pulsar:inst| ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; |YL_pushcounter|pulsar:inst ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; rco ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_A ; Output ; -- ; -- ; -- ; -- ; +; value[3] ; Output ; -- ; -- ; -- ; -- ; +; value[2] ; Output ; -- ; -- ; -- ; -- ; +; value[1] ; Output ; -- ; -- ; -- ; -- ; +; value[0] ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_B ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_C ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_D ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_E ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_F ; Output ; -- ; -- ; -- ; -- ; +; OUTPUT_G ; Output ; -- ; -- ; -- ; -- ; +; reset ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; clk ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; +; key ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; ++----------+----------+---------------+---------------+-----------------------+-----+ + + ++-------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-------------------------+-------------------+---------+ +; reset ; ; ; +; clk ; ; ; +; key ; ; ; +; - pulsar:inst|s2~0 ; 1 ; 6 ; +; - pulsar:inst|s1~0 ; 1 ; 6 ; +; - pulsar:inst|s0~0 ; 1 ; 6 ; ++-------------------------+-------------------+---------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 7 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 3 ; Async. clear ; yes ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+--------------+--------+----------------------+------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; clk ; PIN_M1 ; 7 ; Global Clock ; GCLK3 ; -- ; +; reset ; PIN_M2 ; 3 ; Global Clock ; GCLK1 ; -- ; ++-------+----------+---------+----------------------+------------------+---------------------------+ + + ++--------------------------------------+ +; Non-Global High Fan-Out Signals ; ++----------------------------+---------+ +; Name ; Fan-Out ; ++----------------------------+---------+ +; dec_count:inst1|count[1] ; 14 ; +; dec_count:inst1|count[0] ; 13 ; +; dec_count:inst1|count[2] ; 12 ; +; dec_count:inst1|count[3] ; 10 ; +; reset ; 5 ; +; pulsar:inst|s2 ; 5 ; +; key ; 3 ; +; 7segment:inst_|a~12 ; 3 ; +; dec_count:inst1|count[3]~4 ; 2 ; +; pulsar:inst|s0~0 ; 1 ; +; pulsar:inst|s1~0 ; 1 ; +; pulsar:inst|s0 ; 1 ; +; pulsar:inst|s2~0 ; 1 ; +; pulsar:inst|s1 ; 1 ; +; dec_count:inst1|count[1]~7 ; 1 ; +; dec_count:inst1|count[1]~6 ; 1 ; +; dec_count:inst1|count[2]~5 ; 1 ; +; dec_count:inst1|op_1~0 ; 1 ; +; dec_count:inst1|count[2]~3 ; 1 ; +; dec_count:inst1|count[0]~2 ; 1 ; +; dec_count:inst1|count[3]~1 ; 1 ; +; dec_count:inst1|count[3]~0 ; 1 ; +; 7segment:inst_|g~0 ; 1 ; +; 7segment:inst_|f~0 ; 1 ; +; 7segment:inst_|e~0 ; 1 ; +; 7segment:inst_|d~0 ; 1 ; +; 7segment:inst_|c~1 ; 1 ; +; 7segment:inst_|b~3 ; 1 ; +; 7segment:inst_|a~13 ; 1 ; ++----------------------------+---------+ + + ++-----------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+-----------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+-----------------------+ +; Block interconnects ; 25 / 54,004 ( < 1 % ) ; +; C16 interconnects ; 0 / 2,100 ( 0 % ) ; +; C4 interconnects ; 11 / 36,000 ( < 1 % ) ; +; Direct links ; 11 / 54,004 ( < 1 % ) ; +; Global clocks ; 2 / 16 ( 13 % ) ; +; Local interconnects ; 12 / 18,752 ( < 1 % ) ; +; R24 interconnects ; 0 / 1,900 ( 0 % ) ; +; R4 interconnects ; 5 / 46,920 ( < 1 % ) ; ++-----------------------------+-----------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 10.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 1.00) ; Number of LABs (Total = 2) ; ++------------------------------------+-----------------------------+ +; 1 Async. clear ; 1 ; +; 1 Clock ; 1 ; ++------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 13.50) ; Number of LABs (Total = 2) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; +; 17 ; 0 ; +; 18 ; 0 ; +; 19 ; 0 ; +; 20 ; 0 ; +; 21 ; 0 ; +; 22 ; 0 ; +; 23 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 6.00) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 2) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 2 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP2C20F484C7 for design "YL_pushcounter" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP2C15AF484C7 is compatible + Info (176445): Device EP2C35F484C7 is compatible + Info (176445): Device EP2C50F484C7 is compatible +Info (169124): Fitter converted 3 user pins into dedicated programming pins + Info (169125): Pin ~ASDO~ is reserved at location C4 + Info (169125): Pin ~nCSO~ is reserved at location C3 + Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20 +Critical Warning (169085): No exact pin location assignment(s) for 15 pins of 15 total pins + Info (169086): Pin rco not assigned to an exact location on the device + Info (169086): Pin OUTPUT_A not assigned to an exact location on the device + Info (169086): Pin value[3] not assigned to an exact location on the device + Info (169086): Pin value[2] not assigned to an exact location on the device + Info (169086): Pin value[1] not assigned to an exact location on the device + Info (169086): Pin value[0] not assigned to an exact location on the device + Info (169086): Pin OUTPUT_B not assigned to an exact location on the device + Info (169086): Pin OUTPUT_C not assigned to an exact location on the device + Info (169086): Pin OUTPUT_D not assigned to an exact location on the device + Info (169086): Pin OUTPUT_E not assigned to an exact location on the device + Info (169086): Pin OUTPUT_F not assigned to an exact location on the device + Info (169086): Pin OUTPUT_G not assigned to an exact location on the device + Info (169086): Pin reset not assigned to an exact location on the device + Info (169086): Pin clk not assigned to an exact location on the device + Info (169086): Pin key not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_pushcounter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176353): Automatically promoted node clk (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 +Info (176353): Automatically promoted node reset (placed in PIN M2 (CLK3, LVDSCLK1n, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G1 + Info (176356): Following destination nodes may be non-global or may not use global or regional clocks + Info (176357): Destination node dec_count:inst1|count[3]~1 + Info (176357): Destination node dec_count:inst1|count[0]~2 + Info (176357): Destination node dec_count:inst1|count[2]~3 + Info (176357): Destination node dec_count:inst1|count[3]~4 + Info (176357): Destination node dec_count:inst1|count[1]~7 +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 13 (unused VREF, 3.3V VCCIO, 1 input, 12 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y14 to location X11_Y27 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped +Info (11888): Total time spent on timing analysis during the Fitter is 0.30 seconds. +Info (306004): Started post-fitting delay annotation +Warning (306006): Found 12 output pins without output pin load capacitance assignment + Info (306007): Pin "rco" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_A" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_B" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_C" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_D" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_E" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_F" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "OUTPUT_G" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info (306005): Delay annotation completed successfully +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 +Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info (144001): Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4844 megabytes + Info: Processing ended: Mon May 04 11:44:40 2020 + Info: Elapsed time: 00:00:08 + Info: Total CPU time (on all processors): 00:00:07 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/output_files/YL_pushcounter.fit.smsg. + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.fit.smsg b/YL_pushcounter/output_files/YL_pushcounter.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/YL_pushcounter/output_files/YL_pushcounter.fit.summary b/YL_pushcounter/output_files/YL_pushcounter.fit.summary new file mode 100644 index 0000000..b1bc6a1 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon May 04 11:44:39 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_pushcounter +Top-level Entity Name : YL_pushcounter +Family : Cyclone II +Device : EP2C20F484C7 +Timing Models : Final +Total logic elements : 20 / 18,752 ( < 1 % ) + Total combinational functions : 20 / 18,752 ( < 1 % ) + Dedicated logic registers : 7 / 18,752 ( < 1 % ) +Total registers : 7 +Total pins : 15 / 315 ( 5 % ) +Total virtual pins : 0 +Total memory bits : 0 / 239,616 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 52 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/YL_pushcounter/output_files/YL_pushcounter.flow.rpt b/YL_pushcounter/output_files/YL_pushcounter.flow.rpt new file mode 100644 index 0000000..16d8957 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.flow.rpt @@ -0,0 +1,122 @@ +Flow report for YL_pushcounter +Mon May 04 11:44:45 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Mon May 04 11:44:43 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pushcounter ; +; Top-level Entity Name ; YL_pushcounter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 20 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 20 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 7 / 18,752 ( < 1 % ) ; +; Total registers ; 7 ; +; Total pins ; 15 / 315 ( 5 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 05/04/2020 11:44:30 ; +; Main task ; Compilation ; +; Revision Name ; YL_pushcounter ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 345052807169.158856387018248 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4594 MB ; 00:00:02 ; +; Fitter ; 00:00:07 ; 1.0 ; 4844 MB ; 00:00:07 ; +; Assembler ; 00:00:02 ; 1.0 ; 4558 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4546 MB ; 00:00:01 ; +; Total ; 00:00:12 ; -- ; -- ; 00:00:12 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter +quartus_fit --read_settings_files=off --write_settings_files=off YL_pushcounter -c YL_pushcounter +quartus_asm --read_settings_files=off --write_settings_files=off YL_pushcounter -c YL_pushcounter +quartus_sta YL_pushcounter -c YL_pushcounter + + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.jdi b/YL_pushcounter/output_files/YL_pushcounter.jdi new file mode 100644 index 0000000..24e5d88 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.map.rpt b/YL_pushcounter/output_files/YL_pushcounter.map.rpt new file mode 100644 index 0000000..7c53177 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.map.rpt @@ -0,0 +1,296 @@ +Analysis & Synthesis report for YL_pushcounter +Mon May 04 11:44:31 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. State Machine - |YL_pushcounter|pulsar:inst|ss + 9. Registers Removed During Synthesis + 10. General Register Statistics + 11. Elapsed Time Per Partition + 12. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon May 04 11:44:31 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_pushcounter ; +; Top-level Entity Name ; YL_pushcounter ; +; Family ; Cyclone II ; +; Total logic elements ; 20 ; +; Total combinational functions ; 20 ; +; Dedicated logic registers ; 7 ; +; Total registers ; 7 ; +; Total pins ; 15 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_pushcounter ; YL_pushcounter ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ +; YL_pushcounter.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.tdf ; ; +; YL_pushcounter.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.bdf ; ; +; YL_counter.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_counter.tdf ; ; +; YL_7segment.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_7segment.tdf ; ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ + + ++------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+--------------------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------------------+ +; Estimated Total logic elements ; 20 ; +; ; ; +; Total combinational functions ; 20 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 13 ; +; -- 3 input functions ; 3 ; +; -- <=2 input functions ; 4 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 20 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 7 ; +; -- Dedicated logic registers ; 7 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 15 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; dec_count:inst1|count[1] ; +; Maximum fan-out ; 14 ; +; Total fan-out ; 97 ; +; Average fan-out ; 2.31 ; ++---------------------------------------------+--------------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------+--------------+ +; |YL_pushcounter ; 20 (0) ; 7 (0) ; 0 ; 0 ; 0 ; 0 ; 15 ; 0 ; |YL_pushcounter ; work ; +; |7segment:inst_| ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_pushcounter|7segment:inst_ ; work ; +; |dec_count:inst1| ; 9 (9) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_pushcounter|dec_count:inst1 ; work ; +; |pulsar:inst| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_pushcounter|pulsar:inst ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+---------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + +Encoding Type: One-Hot ++------------------------------------------------+ +; State Machine - |YL_pushcounter|pulsar:inst|ss ; ++------+----+----+----+--------------------------+ +; Name ; s3 ; s1 ; s0 ; s2 ; ++------+----+----+----+--------------------------+ +; s0 ; 0 ; 0 ; 0 ; 0 ; +; s1 ; 0 ; 1 ; 1 ; 0 ; +; s2 ; 0 ; 0 ; 1 ; 1 ; +; s3 ; 1 ; 0 ; 1 ; 0 ; ++------+----+----+----+--------------------------+ + + ++------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+--------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+--------------------+ +; pulsar:inst|s3 ; Lost fanout ; +; Total Number of Removed Registers = 1 ; ; ++---------------------------------------+--------------------+ + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 7 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 3 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 11:44:29 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pushcounter -c YL_pushcounter +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_pushcounter.tdf + Info (12023): Found entity 1: pulsar +Info (12021): Found 1 design units, including 1 entities, in source file yl_pushcounter.bdf + Info (12023): Found entity 1: YL_pushcounter +Info (12021): Found 1 design units, including 1 entities, in source file yl_counter.tdf + Info (12023): Found entity 1: dec_count +Info (12021): Found 1 design units, including 1 entities, in source file yl_7segment.tdf + Info (12023): Found entity 1: 7segment +Info (12127): Elaborating entity "YL_pushcounter" for the top level hierarchy +Info (12128): Elaborating entity "dec_count" for hierarchy "dec_count:inst1" +Info (12128): Elaborating entity "pulsar" for hierarchy "pulsar:inst" +Info (12128): Elaborating entity "7segment" for hierarchy "7segment:inst_" +Warning (284004): State bit assignments are not unique for state "|YL_pushcounter|pulsar:inst|s0" and state "|YL_pushcounter|pulsar:inst|s1" +Info (17049): 1 registers lost all their fanouts during netlist optimizations. +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 35 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 3 input pins + Info (21059): Implemented 12 output pins + Info (21061): Implemented 20 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 4605 megabytes + Info: Processing ended: Mon May 04 11:44:31 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.map.summary b/YL_pushcounter/output_files/YL_pushcounter.map.summary new file mode 100644 index 0000000..212d9ee --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon May 04 11:44:31 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_pushcounter +Top-level Entity Name : YL_pushcounter +Family : Cyclone II +Total logic elements : 20 + Total combinational functions : 20 + Dedicated logic registers : 7 +Total registers : 7 +Total pins : 15 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/YL_pushcounter/output_files/YL_pushcounter.pin b/YL_pushcounter/output_files/YL_pushcounter.pin new file mode 100644 index 0000000..d987f56 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_pushcounter" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +GND* : AA11 : : : : 8 : +GND* : AA12 : : : : 7 : +GND* : AA13 : : : : 7 : +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 7 : +GND* : AB13 : : : : 7 : +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +GND* : B14 : : : : 4 : +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +OUTPUT_A : C1 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_G : C2 : output : 3.3-V LVTTL : : 2 : N +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +GND* : C14 : : : : 4 : +GND : C15 : gnd : : : : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +value[2] : D1 : output : 3.3-V LVTTL : : 2 : N +value[1] : D2 : output : 3.3-V LVTTL : : 2 : N +GND* : D3 : : : : 2 : +value[0] : D4 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_F : D5 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_E : D6 : output : 3.3-V LVTTL : : 2 : N +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +GND* : D14 : : : : 4 : +GND* : D15 : : : : 4 : +GND* : D16 : : : : 4 : +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +GND* : D20 : : : : 5 : +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +OUTPUT_C : E3 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_D : E4 : output : 3.3-V LVTTL : : 2 : N +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +GND* : E14 : : : : 4 : +GND* : E15 : : : : 4 : +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +value[3] : F3 : output : 3.3-V LVTTL : : 2 : N +OUTPUT_B : F4 : output : 3.3-V LVTTL : : 2 : N +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +GND* : F12 : : : : 4 : +GND* : F13 : : : : 4 : +GND* : F14 : : : : 4 : +GND* : F15 : : : : 4 : +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +GND* : G3 : : : : 2 : +GND : G4 : gnd : : : : +key : G5 : input : 3.3-V LVTTL : : 2 : N +rco : G6 : output : 3.3-V LVTTL : : 2 : N +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +GND* : G15 : : : : 4 : +GND* : G16 : : : : 4 : +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +GND* : H12 : : : : 4 : +GND* : H13 : : : : 4 : +GND* : H14 : : : : 4 : +GND* : H15 : : : : 4 : +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +GND* : J14 : : : : 4 : +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND+ : L1 : : : : 2 : +GND+ : L2 : : : : 2 : +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +GND* : L8 : : : : 2 : +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +GND+ : L21 : : : : 5 : +GND+ : L22 : : : : 5 : +clk : M1 : input : 3.3-V LVTTL : : 1 : N +reset : M2 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +GND+ : M22 : : : : 6 : +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +GND* : P5 : : : : 1 : +GND* : P6 : : : : 1 : +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +GND* : P18 : : : : 6 : +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +GND* : R1 : : : : 1 : +GND* : R2 : : : : 1 : +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +GND* : R6 : : : : 1 : +GND* : R7 : : : : 1 : +GND* : R8 : : : : 1 : +GND* : R9 : : : : 8 : +GND* : R10 : : : : 8 : +GND* : R11 : : : : 8 : +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +GND* : T1 : : : : 1 : +GND* : T2 : : : : 1 : +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +GND* : T12 : : : : 7 : +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +GND* : V9 : : : : 8 : +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +GND* : Y13 : : : : 7 : +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/YL_pushcounter/output_files/YL_pushcounter.pof b/YL_pushcounter/output_files/YL_pushcounter.pof new file mode 100644 index 0000000000000000000000000000000000000000..ca25407be2d583df4b15f49360880b642b1af631 GIT binary patch literal 2097373 zcmeI*4Rj>cVIb<3qGW}i*HJebKMgjE)YMGG&mhl_v01Q@njYK@OlBC^#5wz858xAc z*ul@nv2%`3ED1q07(=E9`*@sqnZcZW_$2Raz`U1mo?ontIeyPsydIA^4xH?U_0O}t zdlDnYtc|_kdACYxjk=}&Z%4@UHH^A`ZdKj;Rn_fVx9+Xlv-`?aD%F$vC&}M;gumB* zVBh<0|G@i)i^buKcWlYsa{KU}_ucl}@7wpD_q^+U!@u#a_r3p?+kR(w>-LM@aM9M` z+y`!X_f5mwwr?AL!^LA;w~cLk!`AJ?*S+g~zkAD#?;75-@5Wn)w+>%-)$nh=>xSW- zH{Ei3STfa{Y-;D8E3Vsmaq5>+XM`>Oi{H8ZmfPR`uA5TlruxF@uZ4f<)T>j&;d}AZ z(~~+`_4!}Eaq=UnYu=PetsGbpwrbI((R_Mh!?m}+@i#yCf$QG?fxW-G>ALNk_GUNj z-S)=t|M7z#{NJukZ+IpBpDuH}0>3AZq|Z8%&V-nihZepUCoaagJg;u3xtZOC7iaF@ zU3~ohWQ~&#&))snL!XL=C&PF0e`$$eA@nD1yX7aJ$c&9_4KbMeo#(#$qu+UO?EHWJ zU|96t>9NrdP4CT)q~^lVi__&lZ=SBViX;km-FEYb?n;&nLoeP{{`2O$PA&Q5SD$?8 z$!AZ#{PMGBUcPnfnPKDieD(i*@t%91`~HXSO_mHpFWy`J^X7ZIw&dSE_YW`sT{*!? zES61~ONPsT-tu=Kd4IYvXD(L zJ9p%qvwnKyzrW)hm;d)wHrVuP%F8}?@@GGL?%d0_Z98|BDRar(@}IXpR~7vvyV`#F z_U+rt%PyNTx0IR11DBt)?8%d_Y^#$e|KoFi|I&Ya_gBAk@w=Dy*5U!RY{#ygVplJ6 zEu8-?Ta72b<>5#47i0OKJr{C}ZDZ$d-xgBz#&=xz!#BS4)vsRu(n8{v&74by%YQEa zS&aA#Msd0y3jgToUo6MX{rDpvIr-z?xb0nU{EhNi_42c!G_>`cGq-PDWy)MKxBTbw zmzT1?g@ZO6zh8LiGuyX?qcc61-<^LUfBWvY{rT--N@^|){rL9spEup!g(a6(@roRn z`^IhWdHEaZbJANoDD}T>XOn3gSs8BbZ*Gh)#@hS5_xdK69q3)Tfe*hWz9en)6Ox=6 z=*^}wxsl=CR4$cG4G;FFhDQeDX<^1d)Dvb*4EGIZ($Pp?YI=C2Z#X*?^`x@X6PeV) ztn5%R%Wg?}=xO_lEB%%pVVw z@xS;JE&Yq)Y0v)qBxo{g^*`}Jws4@0q*CD;D*QjR5Hbv29SMOZ z3w>d!vu>G~4C|f$;(4j~GZ13B{9in+9Irx!?V%_Yww@$DlsQJCD8%5{ zM_#iKe&Ng+Y|o1<%1`VNmzU&^|PmElVD8}RIT#Z|GM>K zRg-Fu#_=A{gw2M5h5TcnH=56%sJ+CBIU^y?i^<=#AbHQ$pDu(tmG!JxYT1O5CA`In z@0ktc;g|}aTyg>r_lHt&7z+j61`{`B3)!AxfV6fxVgePoJ}J6pl|!OvH!dKsud{ziWO*1~SRIlG8H8J(K}^ z=SH{pC7F3@@sMhGXpf9%a+x@DNY*eCZOUab@%Z?OL$}x5^r|yLa>8y}_zWEV->CbGJu(vlDx=TaKLjuhScPl9Mf@ z;_QjRIFFBqrUpaNKBOv?@#7>HLh`~$|MH1p@!UY~U=*h)Y;kD%+H4f3C`n-{yD4{l zNO5Lw_T-z2;lh4+I$qtt)`^XM0~0;t>1)$>9hk}tjl>zp@N_2M7rt|aR61;bcy@Fu z9S)mt2{t+rZ$3JACcifu?#_kv^=^9K^z_Xm=cRLrSS~Q?+tO%w*1>O|7lpD zxlcGI0$TD3hi#JF`M>?kg^XkIIB%SDh4?2YR{vPq zL+3x8d8qXI?_`VL%-%A1Q7Ly(>C7ieuZu4H$wYMF^ApisCnloOIr(j+v!e@&@xN8@bMOggm=`9mimtOxuR2tkJREqhYb5nRD_tjGmLvhP9ph z%*3Omr^3G{qOpzn7fK-l8+Sxw>Bpj{Gmk}Ip4go&J|C5?4F7VwvzJWlsvC(%OZmr2 z(W9ju(FGw2yTAL(7iDr6WzPI=_K}IBnGpL&CTl4t^9vh& zXl&zSJ6_C8+*;aFwbgyQvybmQ9#y6N_&NC`<_DjeSeE#omL{&gBSe4mGnuN?|0pW` z%V<}1@?V}v1TPJWW0&N=vmp52E_rOn_qQhN*t{h8Sajb1x%u(@@#w$ir@xs$G5yo8 z|57;C<6~j6FDd8Dh6~(ybaXsEGMk1cePu8X<&VBIQ#ozA5jn&QWmq#c*m*(%1V$mw<&1;Q}LTQck%n<-Q3>i=XQzHC^}8o^R2R?Yc@#mDlDr{>TnNFufEnMrVieA zqWRym>h(3QD5+jz*?6bNR(CSf#UJBk@oI8#;IF=L=C3pvc4e>*_xH*bHan$L_49j^ zpMb0S3VYI_#CCZ0@PT95x?a#xY%+ydo16Z4^gbW z*nN-mhf9Yz6~lc=CCKz}Pf~WuhT>-P6X&uwzA81EtZ1??Hy&R~%pM+kXOb09_HOQ* z2?2%{d#oaH}l^rT>Nhq zO0fGz7mBZ;6#Gypf}a27iHnYhQfw%~9=!6Kq4;>=LIL!zLn-Xrqt8!l{zNFpR+K=$ z6pj7XO}XkK=vP94FqC4q#UaOf%CK+SIobB1 zmwxHeecd=6Qy=-Y%CcT%6zh+z>90%usMVgkZZr1UNvc0bg6sQK%U zU#K8VO^(jCP&-&>v3s_Dv>UY`sj(Yg6)N?T@?xzzz2TX-9x#=fo^7fUaBlRA?eFLJ zUO&_JZ*A)A%|HC~$ORLjEY!E6I8+L?d7&tD-gi!whJJ3 zDCUJTeY$o&P=C(g{1^6h<#hb;o4!?lOZDci>90$@h}D_5ZZq~eX{tL*g6r~B&Ft)u z?ySn7Dk?3Ec3Nm?VN(@BlVhtZ*=UDXycMx(Wu&wH9anI*_v!TF>u`25E<+t|tIDp< zV)H{E?MD4a>cVaRF8n@aW1JRi?P@M?-_iDqdEr2vZf{40r9w^nu5V^XzLVWL5$Isf?!D>TA73cG-W4kUs%}Vs zWg;%WhMKrjccg#56>(!5yHF?iXeq3ucvG%ikbQe7=5?WR`tQ^2-@6TGdfQk33%4qg zvnbU`b!2M(gWqcV@K3GjuS>hpUauK@yXj3ya0_&u9nu|C8GQJy=d=*bs-SDSi#yMS z!cCF3Yx7d`KR8mQ(ZXnFySx2TUZ>Yyli8#QRi`~)of^A3%>d_yKG)xk>W}&Czl&Sq z#e1T~O1qj1+_SBNo3?M;+4fIuQqlek+B|RPLg{tiMJsMbAAenF6}R!xgZGb|x*Od| z%euz(aF=wYk>3rWrC`!RFDb{q{d2$CjmogDw$N)KcUrhp(S^(C6%F)Sh(xN>E7DfB zRS|Ygf7L2t()>uL8GG|vstUPYf61zn(fsHdCb**-18wt~-^ZsyYMZBR-l?OZ){wS& zi#K6M`aev(9S!M{6TN(5}ZTpP+yyo|Q$V(;{d*Oy!yO}%k=`(7y&&$8L zFnD0q?z{CCyzH_kLoG-?j!MHf?Rh&q zGgug^(M)gEMdm(#WBV6Hown2a&l4vyg=fzScB7`i_c<8G`cN+BAh5RF5j4{s;Y z*dL-%$d2s~(U=NN_Kw~f%7j}(0=C|=JNwA4<57tH@)R6@Aphv6o+_R=SO^_pmy+<% z*rB*DZZY)5txiK|FZZ{hy&mhYbGecS9o9eN3^4EpT0W}m0A@;nbEskXDf#VWt? z_}0Q|@oM1|o;&&V_Iqlq>5tG#(&nbD({e_0iCZm{1h1x2V_JuY^yyjY*M(BU{Fcv5 z_Se`a4JRkv)*6PY6=1zyr7i1S`?12F1EF-bYOma5vwa)RsMRgE=7N_$8Qz!BI}w|$ zQg#pJ`@SZN`EwVC;^0zG+bjF;T)l7ZJ7@L`t=>6z^+o1C|BYJvv+?wfYXBF^O2u87 zP}r*~D!l>=drJkjnq|&ALYcF0v|K_Oz3kRd%$o|O)dQhH+}A=++XI!w)vpwHKX}Xi zySM#bA#{>mDzE-^DDH*+y2a36x2nJz_mbTey4qHjSdWLo^QW%-Np$cJ;tspzlJi5M z^c*_uJ`g(WRu`Q^WS$9;sVY0S8kw6zWUdL3DTGpd;p*uJ-V+Ky<=*B^9@MKI|8rZm zw_h9#zdLO=K3Ht$x7cC6lO&|(PyR#W)2CJl1&vxVt4!~(ps~sto1C;>f9{%0-pXKW zm*5Us`i0Jcom6+MU8A$^lo~pz96W8i?v@|l*Ior+Xz*8a!MQJf@zqNYEL&L*(n5X1 z{KXf=ZT^y{@3mY97=CtZR2x2dX$Qr@PAc)^4)*1;QvQWZsM)J3D*dC^>@_azEfv^m zRxlRwWBWq|<6;SE?DOGSD49?-nK}5QC=;snGOvhgy%3ph)G^*1>KLyHb&Q2jk}pK} zK6B%1x>FAxu4q^O7BxF16s6j(N?7dO+l7nr)JvClP#j#l-`zF*^=ozqSBFz}t`?~? zzD~=|r)U4(Zrr5^H(ENpI<9jx)t$9Y54FD3smJoQR{b=W7WwL}?O!~F8*^>{^d_Bs%VnjfqDP8x39Du)Yv~5)EB$VAVOQy+ z(S_v_($UX-WAS!)sMgDbYQ3ua;cHZ_*PS}X{h^NW%b||3d}I8XaId&4_2A)(wr)mK zcV-u!>DPs-{M5;3+rL+=0r34ThW41)4{lYsl#@6nhvU@tC#Im%u{x*;Ak+iPRq`1 zmuTWjhmNW{8hF;3-6?ffIvi*^SZ5EdoE2v#nhvgXX>N+9gVo(tG#!jfGEKLKT{Wnt zgI*feT>cY>TDSM4nonY7$kQ;vnhvf-zhX@X+v+>4>0o7k)!dk-gQ1tm+Vlw4ba3@U zRMSDVlB*vXt4viZxe7|nNu5=dX*$@%gGW}qC!^_L%|mjv8SxEnO$S$#X<}N)5j(2I z-=*o`n)C?Pba3^9d+~L^uYjh5(eUVW|7bKj8X99JuPzGhkHaJA7e>Q`cqI7@^o@uA zQWL3s_%9wwrY585;laVFd{5ywzZXp$9?5MQ>`$MSy*@YfXCu+uXEK8$=O3a{ zc0=Z&*6}Y0#6;?{@A9zq4V>5XU3Vj;+Bcb#$U+Do2jT4zPT&Mq|CKQ5D#c&(qK zG2Zj9JD}6LbCowfdobjRQ*3cUct(Q0S+#V$ zXx-_-kV^+c!-4qR(z>%DQ;h&xcWT|~!H}!9W%Xdl8d=7wlh;|OnLI$SnL~25F!5oU&0dii3|_<0j>6P|ba;c! z^mHk6ZaNdZhO^tEiTHL`E_cV^(8hF6YIt0od&UJ*cYiW-R`GcDNOtqy@RZCedW#Qc^Sh#}Zpz>J=E8=p#l;2go%)|Y zdDgy-o3@qyEc5W}c}EUJTQcY0klnc>dPDSw(fPTj@(<>(yngnM>(dAO4n36L@bv6= zhCVd)+4Lux-AMlF*@tHDdN^GQo4DsKpP4EA`ozqgg|DSY_Klo3G(GkG(b*&EXiGM` zd2n#1H$NJ0F}i7H>dpfb=_KsOX774_DxG<4X?kR6_TK5CvnGybvp3`>CPv3+;uPg` zR}Q^>Xyf3->~{vkwr(CeXQ-)FUljt6K0K7H_#;35l@_N}Yy3Hm&efhLvemdej}0Y1QSaoGH_)^r$~3)2i=NF;}Kl!BKxi zrd8jkVy;Z9f}_EROsfIV^4T)2i6*a7d=rkmytZnbs+b#zQi##zspaWLirUjR#~}jg6K< z$h4Lyni!C2HGxzPBGW2MH1RFdY67VoWc_AZ-Dr{7>9cstiYpHb($GAyPfKAN>e>-a`36>@#4|&mgl3H2l6+JK9J8=SIQOhV~3&>rQ#JQGR3Q> zw-m1~g@RV;{@vMI26tyKDTIH;U8O&YE)2_E_qIfCerfcf{0pTJjYFM8L)~C} zEU6oO>GFSQ_oPbcq1pn9il(61+sw#DLo0CXmWO)D4y;TK-ly zSQtDIs$gfQhf2}8JtOgxD|0)dfl#|%$j#i5PoI%q<;^6g^J}MDKE#$52&d_PS}ZAr zdc6ZtsMD(`DE;Ht>vf@eaet^@EM&*_hw8062Qt8vt z1;ubHd4IT-+>Lva9~j&|W_>ArAB`@a0QMlZXyd@uY<(b(5+%2nSC|4MQ9 zgSXtjd)x07o{vgPcfs&qtX%UWhUWe-vf@Hrf@MK2|h; ze7P9?N%7Os<@@7~$xDUSpO%VO-x1nTZhodz)c`WoGd>>b8LL`Au2DVX%^@<^gvc!3 z8h_@-*QC02S-bo&Yi+pGpLi97t$8no?v%Z)jfRCf{@rbN!xG$rT!%%;_A3bIzjsax z(X0x(rn|T+`A{cK2UCCl)+1FQEsUxkOdXy*eBf9%d9=o2d&JA1e07~(KP@yy8vO9~ zzYM>%oBQG(M1^=_DowI}=U?s;u*xT&2QeP=Gdr#;zsW&fQ$p`YgL;h}eq#C?t$ zEV3v4)!*)KVJBAx9sE~syuYI}RSlsU!j)a*I(<-9``w%346rMgr(1%@pa1w1bwTRR?6^c%ckQ)jCKoAP$%jJqVC~H`n3KMK zy*{F+LBeXzj`#P^xnuEge^=@o<}zRZ>}=b$fVC?Q=P&sE;kHYIlW+R-nzW5(#3!oS zLA8V3uN`c3()TFp1*_D&y-PJTI^H*H|MsRvN$ua=)hKDtf$^SI z+7_h_=a0?yZ8#&`_PM+NtTVP`#zT9b!`Wl`-ngUbRec{T)L5`bhB(Rh3L3#FFYZm;dLYa2(ynE+(ARRvp4^+{YO}=DRozF zRfMdx?OO`tJttrHE47ZohSS&WHH-d=4fk!00N!;_{mKRJI*1RIj-SF)<9KUgawu7} zU6C+2|Al>BYCY&(2Tkm&r6BGrEKxKbP?O5MB6{TslnS$EIrpj!@*EbdP#9tDZKOH zC&h=tza95x9=Pd-^B%}=t9a={cV1J_op&be50UwDcxOWSJzvj!H(a&$#?RVYuMG#& zFI~E?J4slt&oXPjbhK`B|E7Q6ssc)I6-d3&4vUg)muOPQ_HFB+IJl-2z}@H>8b21Y z?VsqR7NzUPtj^4^!%z1onYCXWeDC$0)#CqWZ$iyK`l+XiCk__ED<78L zto6{?p~rT-m?_>`+EUz|E&e1bT^U}D6<(K63a?8jh1Vs7cVkubxLKRmCEO7r^B+QF z7GL!B$+v{!V7IPl>zuLmXNBLL4sVWhB?%s7R)05D&Q-Fi^r$=5VaclQy6etd!{7I| zOEht%L;JsPYf9a%mV=XR*Q_R2$8`^hdNbFwC|xgNb>_u8T+_iiKy_znI{4+P@|stn z#`R0XE87Exsm=B^Ub7b+XgZiw?bbczYtCG+xqrFhAHmr&f^Wj8)Mq9988|M)h1nPO-s`4Mmj#Vv>a?x zFPtqMRpM(o_{T3qpStoV(ZN5^ap*l+_F3S z$gbnj^Tp`G@b9h@#VB6%_ybxF&Wwkv_sRaD_>t}lSHzvXxi4Iyba-#%7145VWOg)~ z9?oP(dnbES+2o8H4L=3L3!~XoJd#Wu?@LXD|MIDUzJb1EB%U0P?irlS=X-M3{+Auw z|F2DbL+9uB&WukT$wfQ+U;p4tet*w~>E!F|-1N*@xodNU`0H!A{v_b+VDdFLa#i8} zp*vK~BM@Dj+2K!%^o8CD( zk$y1wdL-Ase`N2-#8t`H75z!T>2&h-XCu+uXEK8$=O3a{c0=Z&&_VNpA83I!q~!}fu2-)yk~yow^~@HD(Gogz2`ck>KLd!x=t^w+ONRX z_xn|CU+*k5Z>oJT)t|FY4>dKo^>=HXxoU7rp$fKi|L*K9aSd!C{zxnP^VHzp*hypP&YEHC`>h7IPYrH$p0sYStE&^z?6?FsD;2`6X`90q;#mH8f7DeH$Anz^of4nCh zzcBbyJ-;>*-%D>|!ST2LKV5wMMOS+JByS$B*cB~|BztZ2np{4ROwK$}n7My2yhC|* z_LD;wPUHf~_O1uhrA?1!9?WifD&KoeZ~px3-u%_MtFE84r8NKaX9gb5pLzZ4)P_gWQ`cti>doB!=IOIWuFYPM z9o?Dl%MK)4%+FjuJF{Wm;6m7s&YnH>y7bVd8%BqZ%%1yjId! z3vW+f*)w(3j)^<}V)p6uUo^Ms5V6_Whm#e5_)WL9fL#?-RYny^z0uR~%nbQ%n;%80 z7U0%xk*b9>Rs@yc3XTRNa_I&@%V*1_muoZ_mPhX)VKOI4ILz8V|{|8XGNzkZCPZG#-#? zH8xrbA=6r-XktL7)dW&Gh)k<2(Zsh*s|loX5Sdn4qM2`*Rx?VAVPsk@V9B&vz>;aT zfR&PIwV<^gGp+IGKmJ4uNvsOGrYY`9lgv&YxRJj8idR>GG(Wm-Gi^_hYSDpuCg$eJEo-aXyY zzA6Xq^m5n}B+HVmetUwXCrEnt^yDgCsJ(l-mAqu#RwT5N$mL-rc)3P{;f^XD=D&AN zgVooWy{3idZq*I8|0tiof9sJtI1Og4X-T?4+-l8^OOjqaT}!9Ybg!QF>gn+O^zgjJ z!qw9cyyrCwZ-?>f=~Qa|9MG~ly&f_=<(vwP!o4F^FaQF(FgL`>UTaD z^J9mi6Q#;WL03FQy11+KN8u^bmG5^ceLA|J7^1O1MB`9+HB5-cp-!Sv$dBz0(O4`Y zjeY*g=cCLWFGQJxKZ-Jc8|@0QuSmhm#pq9ppN=ly|I|c?dpQX|Efuf6BT#I9rd0JL z?C_GB$HPl%suFR0Z60xWbBN3}Au@~4<$mVI*L3ZDsm&jP)geP>(022M!TB%j>rN6< zUOipCW9p47S=H02n%C*iUDfKE9$mxVcW*AKc>Pv*7~pt+c#L0acCsga3}BtdK8A*a zZC4I@bIEMqhBJcm-Th~su_Y6~{;boQ!}%{h*_|qr)VsG|6n?5UCy&`IymMyHPa>&Yb0nEvFUoiRfEoN`Aq9?S1%_d{nDlT%EPUHcbfjzx45uGhlg6n z6@c+OaXwbqb6`AqC(eOm*`xw@v9t2!PrkZ4jR2B2(zIO%n5tE6IRE)?wCkP-^|MR_#yL2md`HO|SeK+}za8tW*v~=G>32F4QTg$h@zZ8vq z?WSDy{qV08cRzT`{kymQUg7zuv~)xKuR|g8+oR7HH-F+pF*^5|;-jVbR&wE*6Q%HW z>cQdC#EM-BU8!LsqC3UeWA75nf=3qnf`RVTsBndWJY_#B2)3w1O3yf+{o1M zP!!J#f498#U|gzh@3W?tm+eJ4uJdibRPf&GUpVPQfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7x+8E_ tYICamITi+bQt#Qb@3-IejyDXyVeu0Nwr<=0rX5#Y+MntTA^!4h|35U~Lt6j< literal 0 HcmV?d00001 diff --git a/YL_pushcounter/output_files/YL_pushcounter.sim.rpt b/YL_pushcounter/output_files/YL_pushcounter.sim.rpt new file mode 100644 index 0000000..b0bc298 --- /dev/null +++ b/YL_pushcounter/output_files/YL_pushcounter.sim.rpt @@ -0,0 +1,218 @@ +Simulator report for YL_pushcounter +Mon May 04 11:56:10 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Simulator Summary + 3. Simulator Settings + 4. Simulation Waveforms + 5. Coverage Summary + 6. Complete 1/0-Value Coverage + 7. Missing 1-Value Coverage + 8. Missing 0-Value Coverage + 9. Simulator INI Usage + 10. Simulator Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------+ +; Simulator Summary ; ++-----------------------------+--------------+ +; Type ; Value ; ++-----------------------------+--------------+ +; Simulation Start Time ; 0 ps ; +; Simulation End Time ; 1.0 us ; +; Simulation Netlist Size ; 44 nodes ; +; Simulation Coverage ; 77.27 % ; +; Total Number of Transitions ; 364 ; +; Simulation Breakpoints ; 0 ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Simulator Settings ; ++--------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------+---------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------+---------------+ +; Simulation mode ; Timing ; Timing ; +; Start time ; 0 ns ; 0 ns ; +; Simulation results format ; VWF ; ; +; Vector input source ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.vwf ; ; +; Add pins automatically to simulation output waveforms ; On ; On ; +; Check outputs ; Off ; Off ; +; Report simulation coverage ; On ; On ; +; Display complete 1/0 value coverage report ; On ; On ; +; Display missing 1-value coverage report ; On ; On ; +; Display missing 0-value coverage report ; On ; On ; +; Detect setup and hold time violations ; Off ; Off ; +; Detect glitches ; Off ; Off ; +; Disable timing delays in Timing Simulation ; Off ; Off ; +; Generate Signal Activity File ; Off ; Off ; +; Generate VCD File for PowerPlay Power Analyzer ; Off ; Off ; +; Group bus channels in simulation results ; Off ; Off ; +; Preserve fewer signal transitions to reduce memory requirements ; On ; On ; +; Trigger vector comparison with the specified mode ; INPUT_EDGE ; INPUT_EDGE ; +; Disable setup and hold time violations detection in input registers of bi-directional pins ; Off ; Off ; +; Overwrite Waveform Inputs With Simulation Outputs ; Off ; ; +; Perform Glitch Filtering in Timing Simulation ; Auto ; Auto ; ++--------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------+---------------+ + + ++----------------------+ +; Simulation Waveforms ; ++----------------------+ +Waveform report data cannot be output to ASCII. +Please use Quartus II 64-Bit to view the waveform report data. + + ++--------------------------------------------------------------------+ +; Coverage Summary ; ++-----------------------------------------------------+--------------+ +; Type ; Value ; ++-----------------------------------------------------+--------------+ +; Total coverage as a percentage ; 77.27 % ; +; Total nodes checked ; 44 ; +; Total output ports checked ; 44 ; +; Total output ports with complete 1/0-value coverage ; 34 ; +; Total output ports with no 1/0-value coverage ; 10 ; +; Total output ports with no 1-value coverage ; 10 ; +; Total output ports with no 0-value coverage ; 10 ; ++-----------------------------------------------------+--------------+ + + +The following table displays output ports that toggle between 1 and 0 during simulation. ++------------------------------------------------------------------------------------------------------------+ +; Complete 1/0-Value Coverage ; ++--------------------------------------------+--------------------------------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++--------------------------------------------+--------------------------------------------+------------------+ +; |YL_pushcounter|dec_count:inst1|count[0] ; |YL_pushcounter|dec_count:inst1|count[0] ; regout ; +; |YL_pushcounter|dec_count:inst1|count[1] ; |YL_pushcounter|dec_count:inst1|count[1] ; regout ; +; |YL_pushcounter|7segment:inst_|a~13 ; |YL_pushcounter|7segment:inst_|a~13 ; combout ; +; |YL_pushcounter|7segment:inst_|c~1 ; |YL_pushcounter|7segment:inst_|c~1 ; combout ; +; |YL_pushcounter|7segment:inst_|d~0 ; |YL_pushcounter|7segment:inst_|d~0 ; combout ; +; |YL_pushcounter|7segment:inst_|e~0 ; |YL_pushcounter|7segment:inst_|e~0 ; combout ; +; |YL_pushcounter|7segment:inst_|f~0 ; |YL_pushcounter|7segment:inst_|f~0 ; combout ; +; |YL_pushcounter|7segment:inst_|g~0 ; |YL_pushcounter|7segment:inst_|g~0 ; combout ; +; |YL_pushcounter|pulsar:inst|s2 ; |YL_pushcounter|pulsar:inst|s2 ; regout ; +; |YL_pushcounter|dec_count:inst1|count[3]~0 ; |YL_pushcounter|dec_count:inst1|count[3]~0 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[3]~1 ; |YL_pushcounter|dec_count:inst1|count[3]~1 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[0]~2 ; |YL_pushcounter|dec_count:inst1|count[0]~2 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[3]~4 ; |YL_pushcounter|dec_count:inst1|count[3]~4 ; combout ; +; |YL_pushcounter|dec_count:inst1|op_1~0 ; |YL_pushcounter|dec_count:inst1|op_1~0 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[1]~6 ; |YL_pushcounter|dec_count:inst1|count[1]~6 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[1]~7 ; |YL_pushcounter|dec_count:inst1|count[1]~7 ; combout ; +; |YL_pushcounter|pulsar:inst|s1 ; |YL_pushcounter|pulsar:inst|s1 ; regout ; +; |YL_pushcounter|pulsar:inst|s2~0 ; |YL_pushcounter|pulsar:inst|s2~0 ; combout ; +; |YL_pushcounter|pulsar:inst|s0 ; |YL_pushcounter|pulsar:inst|s0 ; regout ; +; |YL_pushcounter|pulsar:inst|s1~0 ; |YL_pushcounter|pulsar:inst|s1~0 ; combout ; +; |YL_pushcounter|pulsar:inst|s0~0 ; |YL_pushcounter|pulsar:inst|s0~0 ; combout ; +; |YL_pushcounter|OUTPUT_A ; |YL_pushcounter|OUTPUT_A ; padio ; +; |YL_pushcounter|value[1] ; |YL_pushcounter|value[1] ; padio ; +; |YL_pushcounter|value[0] ; |YL_pushcounter|value[0] ; padio ; +; |YL_pushcounter|OUTPUT_C ; |YL_pushcounter|OUTPUT_C ; padio ; +; |YL_pushcounter|OUTPUT_D ; |YL_pushcounter|OUTPUT_D ; padio ; +; |YL_pushcounter|OUTPUT_E ; |YL_pushcounter|OUTPUT_E ; padio ; +; |YL_pushcounter|OUTPUT_F ; |YL_pushcounter|OUTPUT_F ; padio ; +; |YL_pushcounter|OUTPUT_G ; |YL_pushcounter|OUTPUT_G ; padio ; +; |YL_pushcounter|reset ; |YL_pushcounter|reset~corein ; combout ; +; |YL_pushcounter|clk ; |YL_pushcounter|clk~corein ; combout ; +; |YL_pushcounter|key ; |YL_pushcounter|key~corein ; combout ; +; |YL_pushcounter|clk~clkctrl ; |YL_pushcounter|clk~clkctrl ; outclk ; +; |YL_pushcounter|reset~clkctrl ; |YL_pushcounter|reset~clkctrl ; outclk ; ++--------------------------------------------+--------------------------------------------+------------------+ + + +The following table displays output ports that do not toggle to 1 during simulation. ++------------------------------------------------------------------------------------------------------------+ +; Missing 1-Value Coverage ; ++--------------------------------------------+--------------------------------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++--------------------------------------------+--------------------------------------------+------------------+ +; |YL_pushcounter|dec_count:inst1|count[3] ; |YL_pushcounter|dec_count:inst1|count[3] ; regout ; +; |YL_pushcounter|dec_count:inst1|count[2] ; |YL_pushcounter|dec_count:inst1|count[2] ; regout ; +; |YL_pushcounter|7segment:inst_|a~12 ; |YL_pushcounter|7segment:inst_|a~12 ; combout ; +; |YL_pushcounter|7segment:inst_|b~3 ; |YL_pushcounter|7segment:inst_|b~3 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[2]~3 ; |YL_pushcounter|dec_count:inst1|count[2]~3 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[2]~5 ; |YL_pushcounter|dec_count:inst1|count[2]~5 ; combout ; +; |YL_pushcounter|rco ; |YL_pushcounter|rco ; padio ; +; |YL_pushcounter|value[3] ; |YL_pushcounter|value[3] ; padio ; +; |YL_pushcounter|value[2] ; |YL_pushcounter|value[2] ; padio ; +; |YL_pushcounter|OUTPUT_B ; |YL_pushcounter|OUTPUT_B ; padio ; ++--------------------------------------------+--------------------------------------------+------------------+ + + +The following table displays output ports that do not toggle to 0 during simulation. ++------------------------------------------------------------------------------------------------------------+ +; Missing 0-Value Coverage ; ++--------------------------------------------+--------------------------------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++--------------------------------------------+--------------------------------------------+------------------+ +; |YL_pushcounter|dec_count:inst1|count[3] ; |YL_pushcounter|dec_count:inst1|count[3] ; regout ; +; |YL_pushcounter|dec_count:inst1|count[2] ; |YL_pushcounter|dec_count:inst1|count[2] ; regout ; +; |YL_pushcounter|7segment:inst_|a~12 ; |YL_pushcounter|7segment:inst_|a~12 ; combout ; +; |YL_pushcounter|7segment:inst_|b~3 ; |YL_pushcounter|7segment:inst_|b~3 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[2]~3 ; |YL_pushcounter|dec_count:inst1|count[2]~3 ; combout ; +; |YL_pushcounter|dec_count:inst1|count[2]~5 ; |YL_pushcounter|dec_count:inst1|count[2]~5 ; combout ; +; |YL_pushcounter|rco ; |YL_pushcounter|rco ; padio ; +; |YL_pushcounter|value[3] ; |YL_pushcounter|value[3] ; padio ; +; |YL_pushcounter|value[2] ; |YL_pushcounter|value[2] ; padio ; +; |YL_pushcounter|OUTPUT_B ; |YL_pushcounter|OUTPUT_B ; padio ; ++--------------------------------------------+--------------------------------------------+------------------+ + + ++---------------------+ +; Simulator INI Usage ; ++--------+------------+ +; Option ; Usage ; ++--------+------------+ + + ++--------------------+ +; Simulator Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Simulator + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Mon May 04 11:56:09 2020 +Info: Command: quartus_sim --simulation_results_format=VWF YL_pushcounter -c YL_pushcounter +Info (324025): Using vector source file "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pushcounter/YL_pushcounter.vwf" +Warning (328028): Can't display state machine states -- register holding state machine bit "|YL_pushcounter|pulsar:inst|s3" was synthesized away +Info (310003): Option to preserve fewer signal transitions to reduce memory requirements is enabled + Info (310004): Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements. +Info (310002): Simulation partitioned into 1 sub-simulations +Info (328053): Simulation coverage is 77.27 % +Info (328052): Number of transitions in simulation is 364 +Info (324045): Vector file YL_pushcounter.sim.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help. +Info: Quartus II 64-Bit Simulator was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4484 megabytes + Info: Processing ended: Mon May 04 11:56:10 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/YL_pushcounter/output_files/YL_pushcounter.sof b/YL_pushcounter/output_files/YL_pushcounter.sof new file mode 100644 index 0000000000000000000000000000000000000000..28d506de0486df2300f340fd9d176e2ee0b83ed5 GIT binary patch literal 476073 zcmeIb(a$W|ktdYj{chj+?78bLj}6ljemLD)j3vbQv&S6RrfAT1*vkcH65yz#a?AlL^a&Y~9DCtiTJeHb+o68pq|u*5H` zA}UXv$gGo@=d1kcW`tc;nUTNvMVzRN6Pa1xJ^e@j)*m#GPtoh9`48#+-~RdcfA+!8 z-*?~nj{E(u{VU&k_XGFspZwkT-u=^ee&+t&cYgN%yFdA{`^xYB&i(Iv#eM7N@BZoA z?yJB1Rd@gUU;fHhzx>tvul%n2qj!GxAHVy-Ui z_=9(T_B(&)?RT56zyGIifA77Y{p9bw^TQ9m_wJAXl z;;--G>t9v|_1WgHf8#g0v@}ioPyaPaawm86O|{7HlYlfoZ32>qZ^kuu^i{O9r3u3c z1OgJ)90+cX1c0!>MJM<&og)xjC{gTD`8&gj=fuUyFvxTdp$#??NX~m{4Ze%de5U!# zrTwPM|P_SJ&3F=&xz23^p;+)(ks%dc}A~$dWFF&dxkd!1Og#O-Gv~SHWE~o zE+W9w7o}nC0&gEjGB9{0qdK7Rz| zaFw7~Gr_zdb3?@8aRPx5QmWU}&d8M06*wS$K{PUbcpOP)i^3=n`XYg!RRp6ou?*D9 zK++PWw30$nopIf5y!orSF{NeTIx-LuW>W0ge4q|wmFAB~WQOwC<4GuDXM7k51n??? za8?oZ>S&cn%<1)JAS?EWu_T404vy>2n!lPJQ(6YDAOjIGCdHo32kI!S`KxK!oN}#) z5vx6LeYw8jtk@&Qm&^z1fUWtfX)&c`;EFO35o=QH*?gdm=A~)=_$6sauYh6V_bi7E z{IG+cSX+AK@>&nx{X>p31ndJ$x(h~x1cIHu1oG2D0eBjtPLK>Efq(!~5cwY1dv9r5 zhEYHu5VCXhDvcb_L>%q$D2`tbYXYzm*YMB*VYw&1a686Qr@T_HE z|MSfrynsL;#G$(oL=r{c<$nA1eMEq#G3o@#FcL^kJw@}`Dd39OfQqat;SHkum`)Xk ze{}RydcB~_n)VB{@d>?l{IA1k`zYbb5nezbkR}Yc5JVEi(IIcOH(f*>Jc&^!7=bY3 z4W00Jjz)}j3PnEJM+Eqw(oILW!VfKl1K;fWX8uu<;CdjGyNF z1>e^3_~(nQ&^l5U`k71~#4nkMR#zNq2RxrO2AUmKD=k2Cgat+?b`CdxW3N z2ikZ9UV0sm%Wz1w=AXt`FpLDU560dt1CuilCRM4c6}b)wFCu>DL-0 zrgsy|fMsCK4A38(tRwV?IJITG@%bTl5eKGTg0fBFMJnK#cxVu`Tz!j18gk+Fe2r{& z&MBIU%hFB|=0}Xbc3MNi8cuVcZLYeE#?^OIP>GpS-1+nKr{i)jq8Xl0$j*ap7?F?w znh468OMB#RbUcj4eE>06x_M`=@e;eZ#Mo?4Gq5{VY-#_G_k$g;54b{@tBQw|`itl#{Tu(}yA(OhU}FhLjcfAwy{)sJAw0NmcV zpb|AN5lUX2K1W?t5AY31U?L-{;*^wqd z+v1I54A?1*!U4PID6;9`{WpZb!!bOUsyQ1MvV^1sHZ5}F8xz1Dq$VY z_NtOBtD>)plKcC0Z!MSt0)aFxT|ef`+AO)_w|XnmU;&yl1j7)fZc)bLE3YuL_xa7^ zS}@-|Par;sA<+4HTt6mRcqNOX=kTx@+;K&kF9uSM6SzUho{xqe=yiOCt#+k7_T=4T zAMgSKfv`=|TctHhKjkO@&qUx6hxk5NTzF`iAYw;{?5A%WH?a(CHUkBfsOE-?YSLex z5R+7IR_qaen6@itC^F&FJ~L;XnGZ5rQ&xeuDCym5QarR&iQ&WZR}WH&2b&DYYNN2_A+42e|z8gVZ`lbM^4Y*euS>Id6CBKkqW-9j@aSG zitS81G=LWn2!w44rW`<2;pX2&9Of9sg@;xhMhXGU#4<1=18#;*6_Z^RmV~6B5)(Y{ zaNWIHKp+q@mg<$O@ZnlzU&$xKpBE4eLz<1x{kZL$`x^pmSCO@TZ8;e%&cHRK>tA&p zr!A37E5ZpEc;?WP2Cs`Ze@q=ReMCgSF%}FXg}`{OZ2k0~n>Vw2R2jgvgf!;iLQ-R9 zcnN{{ASS8a;l?Zw)iKXd_Sj!d&jpnDI#&^#GA5P*%fOl$SnIYa)E{&NC3mv)@=)50 z=2bdW0f9h>NOvI!p&gv)q?3ALD|y7l!$^F{II z17FLf)PEoUVD`U!rHZ@NrqaQi(zC+~pE?V9sR6(EttRpSyNyjX|K`2_q~+1ur)6N% z8Q5U+=R5!IM-+!|A6Eg`Yw6Yf87OX#0(R6#!#ir80(XFo69|NmExmFV4%&}&|-(uphP|H6gI<%7K{wRb}DqlL4%+JHY6DZ!$=@7 zhTOWdE3b68{#*N$x+i`A&sx$J5HJKBu+bM@$<}`XJQeq(@fb1)!wqHed1#KBj9>(k z@=n~_A(Ly!i|S5a&|IJ|?s8n4C3E_vrul@FSVW~MAP@+hGs6q7v?Yn3phy)%%vk$>0krQLw!Ysk_orMzKPs)au?MeUI2h&{D|} zI|aiK$lrXr?Qj1NpLBCQ(zZqUi#m_|t~PaF`sP!K-D8VeV#JPA2{%?KGvVg%Jr0c% z2!xQ4UbzboZAJoRCOm!I&u;$z@ZWG5>y{M$uk0_HwlwDcSpS3m&i1y$Z@qaObXM#h z$C64sf{$C*-2AtF(SQ67H@)@mZ+i6Ivq#E+M;4M|&*lRlGU4W5Lf~NyA0!cPnF|kX z76p`W=8vgErjIGb zE=D}kY)n%W8$bQ0q{^_5I|F*#QQ<6CL--k-yP7IwU;Dp9n4x_*q3Tg&euOct71E66k5D7ty6fHo0*0_n z!IT4tDjr%8>YY8}@R{ZxDqg&K_*+8;6LdD17q7i_v*i`8?w>T>`ew_n0MDlRu>zhx zx&02swy%MLN>s;jz0KeKaJFJhR|IfBP{J*&A{ww7BB&cmS zy{p!;6`@2?U19V8pAj}m*FSI{(DL4nHUf5Vb>y(2wn$t%!WeKY_i>IuAP1X3pQ#H$ zFl`3`ERk=~9wH*RDy-?tj&@;e&x6# zjV$+)uMSh-gQ=5cRqkBV>S0s?^$gYH5Q$r3Y1hrD(7B%sCt_j49^ zaegg|@{$vwRS<}7(~Hr#$seRlf{FCoxTtIJDzTCV;OXcmQpb{CHd~)5rT0kHWVvy?98#B{(^FiW} zcewdKFCfq%KYse1O_#sBX*_3hi=W?m%WZMkGUg}lHQv_SZp2}(M204x9(z~W{9XI! zo1Xjc0+wanu>A2;O#b`-s^13Fu=|&B`lB(DNMq(=&*y_Z@Z_E0B?RJwII1=O*?0b* z{^BdR_1~_19S>f%E8*L3EgL*NdRs^8d~g3}FaEsPrBW}n+e3n z!KQS^06Y_(KH7*wnF|js-yTHlg|#x*_8s@m;l8?Ho0hj-Bsc?C z5mY8i%NvtF!>8QQCM$NSRSysfDH9YF7gcE~#{&yjZ%OaY93vVo?Gl24S?O+Wu>yy(q-d9i2nfjUYLEh@2qKp8P5YMbZThKi6F#%?*}s1-Y;?PS896lt z%PyU!dh6jI;ItjMJS3;mW_ke}H)mafl6hg{i$_oCmbAHfjSpl99a1Bk*Vzd${r9eb zx@?rct=2r|hkP=)Wg}Sj;NT>b3ABHj?PeKtp8G(mZh2X$!fuB``=dD$ozjRL9mu^H82IT+S4!q&!KOtEL zwG6zB8HgCvc-K^iy-M>(B#)r^_Y;C}RuN3wCQC9$)iMy_ zd85sLw_4U8f#bhXCx*2iMl5~cTH~Q_oeZwZV$FZm^qX_bz=|1&@V(9EA29Up$1hw3 z1nl6~Acqb7u!H{4(kqwOdhikg++*^UhVFt90nP-1oxTJjX`ui-jZr5^hLJ!(068=C z&e0xud~az}Vk{sK2-!J$l|~L|B98WW6vwZJH33+OYk25@u*hmH&qLFASl>E4UAk%d zYqK!=@cAbFTM>pA5D0|Kbr*sVT11h{>r|>OesZHBCo$>-!;qYMisrLZz!k9p6Qtct#H3PX>dPj6_g04hZK zHtq`u7y<&_1tWxZ*B-s~wmxcHpk2U!g%Z$Lhbi#UaT3d!#uq!B@)j_;bdO%gJ7-70 z5bzXSYYPPUQ;AT3Q2#Mo;_~B=rrqp6^+RIzO{m+lw+&~&W9)c(A-^VZtx6#Qk%_=P z4viBCgpiS5B~wmU;J}`W%nkQ+L}K)S6-Kez4^@cK#4_-RGLRL!M{r3YY0*mEJu4t! z2#BP56BmpSTCX{UF5(UF+X8}N2v|%k0~^nP$M}b<1jVY#wKspdZ`!iBEe{@J|Fivn z)o-h5Xb%I|+io%+Xep8|U3wjlD|JY<=6`0+@^F?6BN-`_{#0ZYS#rZN5b${8 z^8;4LCU&NCb_DDLWaCXK_958L#6tr@x%w83Jc=*y0hSus?3{z_(z3V{%>Uy#{I$~> z64r2<`)qU7Wi+n78=OUF3Mq4nD@nPUEFcgF*?F+>bP%yKT&p;m2%rof`5PS%qj4WV z%#|)(bB&kS#U;jOdzyjWsbWj}hry3mi66>H!~s;|nKJWz2)4O;&rCU(F;wx;BIp8w z5eQgJECUfMB^AHkvl>~DMvDpB(ip|s|&rN(rYfosWt-gc8mXzEa;OBWCbgqS?o3Z|UF-d3^s zulD>FIapij3_h+k48NA+m& zB98nZq5y4+H{8F8rZ5Tz!#zh)&2kZepf9MFE z<$1so_BFKE8e7f#9j{kmxcUO*s_#tpa-gwTGHdnL_V zO(W~H?C8HsF6+nW1j7(!Z&AkMtD-Qp_xa5RtFVNIzK!pmClDXR(AgBO&C+vt*bJ_@ zbM!UA=NAJh)zx7NeC+v%CD7~m1Gd_g_SlnmkA1)k2n515$&K~>APL}^2t48tw?c8@ zp;d>GLMU}Wnpg(5oPmN$RMW$CH|fVGVv_1ju5$G#RL5M}XXdQ5*x4w8vb$kdxlL&q z*i;72R0)bz6%Q>HRrv7y)r0sr*p$u~fM>$fM~pbclaRRZ&}PNXM#m|mX&jIymVqs2 zKySNAXI9h0byr%-@xYQ7;&831jv0QeWY%;pIIc7Efl6)4?PQ?c&QM22dZtRwHvj9r z^B+ly9kL7zXTS{~de|eo`t(bi|EmQA0-^A$HUH3NxzIAvB5%-trI0n5Oe8CdJDB|`l{M^JJnlZ#XjEk91ib|!K) z8F6TwKp=$FT?j&G2PZn|)}GiTMx9_70^5MMrE0?TJ1_iIBq<3S=seIw#<`*k%~f z_956#g&aC)Kp3zwXXq^qS#I6gl~))lpY78|mU3wd2p9r_XD$9_^9en`q9i;IO*6bU z3*f1^Cr5Yz!3ZSfow&C{`qz*b)tx5Gs_3htQePpA;-basJ2!z-`Q!83@2 zgy1LQ?v5+c{HAM~7W2UP8Z|nk<8A5PV+#+0<=3R_LKuil1nzNYoIoIijPyEltt}8h zGm&n6Plp2PP&9>6>~EUdjM)^HfvsgAD|U|zB!#4M&Dc$08Q4+=vSRlbeNxU^I;8pE6%a54L|nb83q}a7*PKEZ@do(Q zBu1TJ7y_29%cA~y?aABp;N{En*cz^qv(3LLAP@+-iu9_|{Ez?Qd&-2uBSjP@mVr4L zz%L#wEpJ@nvwz3p>NqUunwlf&($5MA7y_axwW>LeP=fH5D_JyCZU(?&GA{$TWn4wp z`n4q;Y)p4Ax997->L|wxDRYXue!la+X!FO^A=Af{VizMGX*Q-QijANCQ&MHv$DILg z%+k$0;^iud*wIAbrPuK&R!CNe5n4S8966N;1>k9nIzcjw1Oft+c^T-kKhJcT6~0ar z%YbEI#SFA-`F8ak7hFNAPNj(z5D0{rJlKYtlF$xLblk&^SKq9WhFstSQ8>IUm8}I_MbQ{q*`L$fBv+DXR_@B+;bIF;%S(X6nIU(1Z5kU4w7P*n`tg*z!UMb zhgUa!OeuCT5+7ihD#I8L06b?ExyXY-k3#V$rNWq_rI_!=a0 zI54F?0@GtO2<4SG|888k|7)>@2e`;-pjU$* zb{sa;r>noD0}Su}$y^I4YXWu>0`WlybQgk1NI;axw`dO$bvLcLi-cepa^PrM@Q>R7 zkqU(%!)S46oIoIi4D=dEPDTP~B7ycKQx1nX87+1)0tc-M!2yV@)-t^E${yH%r&Ue_ zUO*ra;uu+YQ@xfju#8AdWvrcRbsxpPg^ zqFj=NQy6;u+&z`0T;eM<54b?bh8K4cAB56f2qGalI^?apCjm7M_+KP3>IB0OCM$z?J$0n?3K8Yj(_4xB+SZAU`zT$pgcMM9uQ0my#(&e=_48Q4$;MrxG{ zNzI0b7L`~)AP}}GoG}2;M5d33LmZ>H@X+!M5wW8~aKvPJ2D+>8EvyAlHNK}=G;!;M)Ws$*{c zOYi(ua#LCc&Ss#0)wny0t_VP*WvO$|C5_pGmrE;RM+zJ}r{bX?c=&Lo<{u*>;1~;r zkwRc>GAjdNmQ@9#wgN{53UsGc1YNYUNMjx@q|76r48Lge$Lz#2)OyW7WC^*DqP>tG zbF-BUq%Dz4D`NOk;94#`lzUU;h|NBkwO47nU#Sqk+Uop z8pVb7W^Ng<3@pvSMela|!PdFk?K75KK_+_H+6vJ#2pCL+Fqi z*}UFP;JO^ld0Xv?asP?aoWLvD^q)UfqAa2bMW{)j2=w?BWX6Zf&smqCWGB_@LCbSU z#7-6kl!;*Kkm{3|rE}W8bR(KDpu^y$F%K7#O3LsnZvN`H zOlcX|P6i@|nzdaItrR$RPGyzmk02gF^Y7;Z;jAKvDyRI$MD_Ni@}&mGLV$ZrZnnA$Mg%w$2zL4sh@^!A@H9r9AQ?si z;eYCPX6T(GIO|JGI}&37fk4R4(W^9aKofDa$D=rYJ*)}9N?gN32ZTjdYk3};#>4v7 z;px&%(_fo~(TC4BX&ncK7Z3=9%yk!n5L!f$%j;CCEq-#NAty2F1jCS=dWz<=Q@|Cm z0To$Q(q&bCO588#YBpIoCHEJe92aUSAP`6snkj3u*45kk9bbFZZNsBwWl0RI(AVCv#7vc^ouPAq2{U+i$oTfpSf zJ$fDQoE-r}z*BIoEfC;OB|-s0c`P5X!!9H)4=tU^$LHh;WIs&;V`3TDYz90=K3pYT zfosW`_2Ux}MUW+hD8-&d0ca)yzo$c`#V$tdBcnBiWnhCDD5yj&D^hpQ3J4eiqO1@j zw2Tm153MEO%7ET>lled!j&$jP zzMT-T4-i#r{%7Va4`*r=UFbM!Vi}l`fiT0Sib-3AB_Z+H8?F)*t18_5y~p8k0)Y@R z(yMgF05lVxKJKSn>9dgpn$en~I0LR2Ss935&mMsc*2F`{hAvuJxG_sN_i&Ju^QlKb z1zuXpC{{>Th!I*n3LNQ6q#e9Ecp9TlkPIV%fMu?@xrR&a9?9vybQos;Xfogt$#9hn zPITP-n*suXkgG_qfnv|105lVsKCJm?xw(wOU&c-Dg*!-o#59%x%fMwafIk&kMd*)Q zYD?B~@}{D>j8Nig@=WLSeF(ObD^TfVY7~HH;-LXtuD(Sh4ViraIwCc)=|}h<^w5f( z0DtYYhJ-bo=04k8bs3GT?}J5()(Z?TG`%K&F!!_D6z2LW%m3UJuK?^#$%qNNws5silv+f4}an1yevEkjAC!$DCQ4C3pN*(|k3?l-z} zRvk-eTk}`*V@k_FaR&6R8DTF4u90~tQHccv0%4niDF+Z$Wcr9W%rS}!53S4)*fFsT zRLy{^$~J*)HvwA+EvQ75iR zU<|iAe>FWXvl6GwDuT;B6U%^QV9gBRhn_Vg)OQ*|$(?M%737plQ1L;obQgjU+QErV zy0s@ZdBin=0A`(p!{?%p4R(TdQNk7&y9KrtO?MT!CQ&`WJ=dJaN4WFn=T9ZSUWpH~ zlj>l{D1RCjlT^G)L^ZAx>zNuMu8E}?|CL#ypm8fRPn!lPBQ(6YDDFf1& zldhS}2kLMbe$CB)t>?FDLa$S~+QW#|9=KlT_cRc7ggzZ9QuK?Lq#Gfp57LbmkET{vhn5-1b7 zBM2gL(C+9JupyfB1c0ZK+2pjT0qv2)7D{9)k2)t{A8a#>X!{Usr$P=LG$0Jv7#=!& z6v(YRyYfoL(mrh>zW-+}9V#GT2#8>FPl*dR6yWpFG#+DMgwO(#i+gf}&mzbv=V*3F z{~Ge5y3-dljkRAO+E3`EFPxJ5{L&HL6c7l6&Kcc>Ad)C@$DePSpOO~v<8m*o$%l~> zoN8pXmf@9GPE9CZyGG!jYnw)vRdIp9!z-`Q!7~V<1xYUM?zke&ryK=>d0=>rnw%if zw6y1;K{khSo}sE?qgB`Y^EETtjBX?tx0? z1I_X!5z?nQm25`%`imdf(OFGz??p|)s*LT%X zju%qq6peqC=8vgErjLBK$cB+cUPrO<)4xsm~54Mr%K;{re2rVF)c+%k2O&@u&%iTE72Y^0+z|SgzbeUAkz~gw1R&6+Q zhzcrErIo@hAP@*Kd9bO)o|iMAnMi48#a>8|F`B|Mu+J^Z|4xu}^K3p) z(dGqgnM8W-Ei@{)TN3TGy z20!dLY^aZhe@O=zj^#ei5eS5!x(h)tZ6Iha-y#(v0z8eekN|dxwAf3WCPVKWB?j1` z5M&rF6ypQ}A!MLeN#uwklRz37sKa4SMvI*+3Mj`VSyn|~I3*{bRZe7~mI4BS5R>jg z5JLMrhxH4~?RR?#&t`b2wg~V>D{>2^~GN@=9+1=_b5E+K+Ma6%a54 zCn#*(XUJ}NZ5Dy#j$b*hNHdS%lrmXX<<2!ti;7AXPB}H9d_9$=Tw(!%K!{0qA&6v! znB4K*lYklr+$S-X5+szE!Lnz?0pDreOHlDa%=h%lT?m4&Y&!@+bK!2C#yEi>r!tOrb@yrk zfk22!syD0E2tQ1FzWG0&O8_?}mI2E^QSVmMw zoKT9L3B@oo%YbFz0W+|B zK<-g${m<`4P0k(6T26#T(o|FmX)px@0wJct#urtmq?9(7#wad0u0et!;(7Wlc7oL& zm#_B3DLpspKYvR3hxWqIf%*Cf)1=t5LdwW-`8nkhG=c#>NThl__L5r7q5vWhOdT?P zcpREY0F&i%7|L>@sSFsciDjT(2C`z0;7%%0b;fm9@&HSG5R+7I;zAHi8&#*Il<6al zv7BItczb%cy&)$fUTqdnqF8Mwkmm^Rd;ch>yX^qA5za0MBNh%qVlY(7v&Va;Dn%jT5m0&B=>4_wz6?8EuY ziao+tG9ReUt@*2IF{NeTiZT!pYf|jle4vizrD^{7C22>mfMMeIEQbyJup{e`W3yI@2}AlT_kpg>zF0M}gv91$Pb8J9Cd?;M>nw4@Lh1q1>iJ4dgQ z$dSKH9BDXH$FGMq0eFc^B>*CkWLXst>syDXOE-^qxtL{qY5^m`X4>WYAwSnujFxuC&z_a3J3(!grdb= zc>R~$aeH%Ik*56u9sh)0!)w&!1eqpTRz+VpC9`Rs-n6J-!0!tP7y=^Q1tWxZ*XCYH z^HJjheE|L|lz_gt3;R$hPL$(~FP@-fH(+u>pFGn!V;_R;thXizq4mIO5}`!UXC8Tb zK*lAEAAaeNuJ*|Okz|(YXY1mT0KScl*vaMtC^MPzoZS;tX6xP?;5lemp3t#8Z!G{HrwoNRi;x1)UdrJ|6&E zUtE34GRDfMf03GKw4&d)@fD)3l^#Yher z*jXk@DpAW)gtD6(3QHN42$@i;dxMjAkNrB$|Ag6gx0Hdc|G@)F=`wE;&ct@&%IF`Z@LS~4JwS-N>PAE-kScmaVxh{=O3b0G+!1w@sK z%^&;$Z@3C@*ud{ucp}u&tNT%KhmBKd3F4pxJYZv-Kp=psE(GEF5Ns#n$PXe4(6)HP z50|1TjKaZ?rND-v@(G7LjMAaIgLRhOfCG9I2b1Fm83*hEK7Z&4o#lDJDM@^{ZfM~Y zh8{m@l@o>^mwRE2tPYc}yh02GYqJRC=-Gv~87R$Hf5?piVDDUw3 z#X!f^)nN*J2z4N);}6(sR}yT0!(#{V0s?`sjXZwhP9_N7hhRICd(w39h(qH9BM=yG zTKuL*zxlz3eFh3DQB4)s-J~C%h)Jq9xysd}P#yCOb!N`eCzJ?Egl@9s4A3?7mc_~7 z&r}JDRTU2{Rbu$?{MCc_IM|fV7=UNO(?^Ur#FLP?@X+!M5wW8~aKywiu+9;6M;t@;z>wccxZWsh}h8~IAUTMn2`ZD!={SKt_n*+Qc#Hro_DyYUM(OH z2pLQD4mW0ju&?BkOZ#~N!7wDDw4F&ASna;K=C3Vb(vTA{&cHRKYjJfQ7j(_cp(hPq z7jOQU7i4aTh=3=df?=c(`o#qHv*e{X{b!Bbj9#(~NMjx@Bz43LzuG&0byTJ-$N)~6 zRRq^^CYAxqz?vDDf7!Gw>afgQvyM=5Crd96`5sd+J-ypL@4Ub2iuB%{8oRx2sE6&h`NJT*J?XZoCrJfMjkc zAP@*K<%KvrAE=H6?s1qWp@^OFVI&a1O)LXj&%m7KkDPJcU9$PZuS_3Pid~F6D3&&V zaIkdDymQIVcu8k3r7!nVj;eG}p#w&|k9W-uclu_{|1=}EZyC6{3~agiul4-)QJf@o z2EW?Fh}9mrK8i1|RuB6N>1&}Jl1CUQp*Jg;@o?&uY;A)1U}7;@lHoaQ$0kq9|#p+KhcsB;32 zi*1GxZ6AW|RLG%&2800{bB5l+kmc5$U3rC}^4UIZWGR=XfPf(&c-G=?HjCC~5r4Ab zo-`gq24T3NEItp-Q6sCv6!;LzIU75qe+_w2-KnhB@(EGvtD@vSztl7gKQ8ye8d)7i z7IzUJG-v281d)*Bjz8ZtKP4^T$K_sFBdfy{_+aW}Srr#Zoh_Wg(Bo&jJFW%uxt7jE zI-QT2j6i%4gYH5QSlmS*M~A%S?v5+ce9ARVi+NytjT#-ogj72u$fo?7lwAk|k%_=P z4viBCgpiS5XRfsc0%#`Et?%hjKpl#vFpB+6Q=2iH!ZNV63}nUbk%6R;w3JBQm6kG! z6*8q(_ZI1U#4dtPV=Nd(0s(=EWnkkO@ECtm>`9fNSXJTX?>!EW69|NmkzS=U2B4Yn z^l`ti`FHoO8M`Sg16#^KR_q?5Ps&+Khc$mKE2g`e4A4EcN#l`&q}a3hK+O_2{}KZ6 zK}->BnF|kX76p`*e=oti#s|c7^k--ENMiUBgIc%4!E~8oPiBmef2YCLJIvCn}fP1cjN<57w zk^-;Em!NDT(}Bz(Y7~Gc;%N`BZu*!~>|!MI0a)e=NLCS~%fvEJBLn+~hy|6XI+MaJ zAP@*Kd9bO)o|iMAnQ$L}B+WmI&lHw{>&ZYtC2E6-Ps^2(cki!p3f+BySY?O{ZB?$sH?hFPRBOE=Hv12sDW zFCY*IF?q0ME(9U8fT&{4UrmcCEdw($P*8~)YlQO3n}7Es3hw_}3L4fK2XeSo7xap@%*4dUg6wi1Ey-D7nv1H?owA zEcYUsd~p}{ffMv&Iva-(DM{}5l}46wk>y@Qb7mMEMdyR5lVw%zT+_5Dmt^4-h8{n6 zPh~BbSU?~UV$fX(B3U8k=#aPWo&?l5;68~_Cm4owgqXn!o)xq6~C^6jAD_}xO zu5$G#5{JCQ-MWNe*vEeQW={LG3~Vw31(m4g#Y2lqEFcgF+vvrf)hghb$n+6$h$kU& z;i2UjB4S5};E0K3VB;Ams6;hGTvV?X5D0{rqbYB8_>tkTQ>eGW?>=A5#ZUAL}*$kR{|oiuOW&%*|FZpts$K;Y)#Qx$sch zoxhfvmtEJLGHVPnuHn>tS_Uiwy$tMn+ZWyJ{?4zi$K(;0ej%(noOKCGOu7p_3eB-} zYiZ7+@xXIj5j)(!a|n*s$maES0*4gL68b~Kb!4?CPU&##KYvR3hxWqIf%*Cf)8Q&n z@3nE~&(EKl0s?`MU0#U8^8vwA0aV}~#|n#GjCiE5oh=|)MKD^ES{Z0-5nUtCVeop} zG7u4CQta7$ppN2YX#P8OWE)Xd8vI%hBZhBEH}d_}8D>`O5xmKKpgOYVucpP6 zmVqnEKt!xbv1jvvI+~ZD`G;;`xc@^V?Dp_`mZMjLA9iqW)Y2=L*LrZQJ8+JGAz;#7 zFd`%n?DQp2pe+=Dr!ndT$uJVgfukgH_)4UuJsHNC+?_a8vYQ};7LjM-NW<{s*Tb3s zd_o}KU89zg%>rak-lSC;!U0}DAP}O^T?j&G5k)SqQ>nK5 zpwk$2f@By8B&VLD`Ro*MMQp(LSyj?yRenm`FX*x+SvV#47oHp!YAGNPNE4bVYqR8z z+neKxG)au&V(J1i$+9Z?swjCFPj6%`nEL_(hJZ+S!3d$Exp88%f++A1swiO1e>m7Hz< zzJNd=DL-0-#)J8-~3tzECcvckyV8L$fdT7H$Fe)F5v=g-fdj?2A>W_Us& zI}f&DL_z{+A}DJv?UBFH@h}?q0mNMC=AF65OYGtjW3xTY!0uGBrTxR;$E(B-WhCOj zl{f#Pv6h_Q5R(U6 z!IX1>=I;_re-k9YzSm2QdsUypl(8ba>dJRZhYUB_D*%v$klBnmh^w zx=7kC{fj8(8M`O*?1rrhRz=B;f8ARPrhq^ojZ4>$IkPrP?)a^y`It`Lj&S!IO>>4| z7{b&o%6NR`6^8aczu90FmeA0*@!j(T;)57Eo5HnOdJYep!8Ni@%NGMF)zx7NeC+vX z=z(6xXV_|2+G9`NJ@x@FAP@-KB)#>1kOc5d1RimS?}NpKhgKa%3fWKJ0Gn6_Hk*Nh zN>p>hbvNlRPl!pXS1z81YgKj3@H2B(TI_5TLD}7~tK6ov3~VX`XQ~9ns)~n}iYk0~ z{^~(|9BfKw48Swt=_5uQ;z>wccxba?XQShk(KHT76U)GsGhoes%isQX$KGyt=)I8_ zbj=?6xaqNpCR;!t5Vk3pasW|9`gp|Q1G9ZTn5}Zz(XD1)h8~fMAa$R-Kzxz z0wE@;-lcc`&kG2KA?lb+S(E|#?P(oZ>(`c((W2?CAzc@(>sT7I2d{d|oH+y(uZuT- zOdT?PL`1-oP{A-#2#n{-)=&Src{965mjP+a!-b^A%<$5Oc=5m@)jQmn1)@6U8S3~9 zk2ReOjw>zp45Ct-vM2*MWmXZKGA5P*%fOl$zz;oZNT}~Lf^xiLs7|Fr6%Yu7n9ejM zp&gv)q+5Gp(=XNwe84u~ZK+&uCz$=mbM4Zc&9!%P4eQ$N>e7|7J-|KJoJ&w#N%Ga? zq)Sk;lj~Jqe-<4)Oe2e02Ns_zNNwM?IWBG zSIJ;+lP+CAAP{mT)$3_T=8%Y;ED9(yk?F(Z5Klr8I~jq8R)yeziDh8x8JN@jkuxr; zOE!P_mFZ(jv5S!h1wyYS9dj+RDLios_~Eea!%>ejyYa&XN0&1cIC->?&_x#UKHfDu z-07P&|I>`vzGdL*GO*?5U*q}h?8j$I&y=OXFSXytzuLoy!JE>hdEJzK#AvPgZ|Xa@ z!B)#asKzvrsGW!(fN!|@caLlx@`6T)y_Q~Byx_Q$iu!bQM-YKK*o+ehgpe)0au*KT zj0DO=?g)a29JD)n1#E~WBN&DpI25P34SXa*4qGUYsXXeOfa7ADVMN=9U^^9Z=%4{% zz{Z@Rw=iV6b!S&zVW@nzPa9dvr70j_2ne3F#cQ*OKiP0k8d(b_@NzGrS+szR_$b)e zA^mH}i|S5a&{M$n3q<<~z4TR4a-Uy1!kYpDfzX_xyAVVYMeg|XP4iRI0)AZXg*9h} zk@#S0S*>Mw>3Cau_t-)<<=3R_LKuil1nzNYoIoIijPyEltt}8hGm&n6Plp2PP&9>6>~EUd zjM)^HfvsgAD|U|zB!#4V=6_c}zz`5|^`m+$d5TqS3le+hy3 zAXkxIRhs|tUwluQP!o)H#Cj2*Ac6_OQVgjSCNM@}U| z0eBjtPLK>Efq=kdUIx1C&of) zcp7FT1zwXcLD@#8gQVEyW}3?x@I*ZA;nhtaQ;J=T#0OaB3P@HFq|2mQ25LMkjTR3w?Im23Gui@8qB+{6rn`iTZ z>P+AT1Og!@54OyOAcPhWRe1VXe&?^!o6<6{p$rsMqLz&a<&`)87Gqc_VWItO^LDo7 z-f*e?!9AwyRir!jR`L8YIn~>)Tp|x9S-Nxqfj}s69?2`1a;*6yQ6`T(18%MOc6A&V zRHEi6LV4xQzk6hh`@a^0!5(rN=+)qd9fu9|>FO`(0K<>Vy|5<0E+G&fbU=3@h=c@0 ziF}Lp5K(v2s=G)Ch9L)zrUn1F4G^hN2r`TohsFs6LdZa`f#hT)fF=@XPcr3jh?CJ` zCnIpsst_E2$Z9S3WUVipvIq9xX_XU!7Z3=9ICK|+5ZdRV?~u1&^j4(t$l|T*X`ZzU z7LZ{VC7*AWfF1(#dUeuIi1EzIE4j~4H{lJ^evC7#fPf)5L1E)QLv{sgv*eCnIj%@k zLNM%usgq?@?p)KfD3@g66owu@cTZ(0m-q_J11`|9;l*9V2cdKqf=Eb?4teYDNuU&V z3(EXO5~EHq4Cx3lgC$qF_oN8;PUXtB?jn`*t2%Zaf>oi84AQ?si*-zg&yJ;)~ z8_Gal>`9fNSXJ@Rq7n-T1j06%3qklk1lyU&^bv6gSKp!;F7_M(W0U0>=&r(-(@bZe zD?gLP87Qbk)d$zzs|5rCA*Q?#xtmA$VcKp!fFcuyKc7f5;MYAw_#3KjvmD8Aw|qmsZ5^rNFgZcqjocAP@-K6ihjT6P?^U zM6hv;;=)6#4kLvCW-==ST_R^$E;Nb@?akaWU>R7Nfs5Yl_Jgf+x7%kdxq?jayu%Hq zgrNVhxv=p?_H+6vJ#2pCL+Fqi*}UFP;JO^ld0Xv?asP?aoWLvD^q)UfqAa2bMW{)j z2=w?BWX6Zf&smqCWGB_@LCbSU#7-6kl!;*Kkm{3|rE}W8 zbR(KDpu^y$F%K7#O3LsnZvN`HOlcX|P6i@|nzdaItrR$RPGyzmk02gF^Y7;Z;jAK< zwoR5~;E~)(EE&QA+x?BNHU9-EG*ipKRc9a~`i(aK)t=uzhBKzp;MaN>vDyRI$MD_N zi@}&mGLV$ZrZnnA$ zMg%w$2zL4sh@^!A@H9r9AQ?si;eYCPX6T(GIO|JGI}&37fk4R4(W^9aKofDa$D=rY zJ*)}9N?gN32ZSYAR>i~m*5T>WO(QF>F!cEOCM^UJnx=yn5D0`AbQgjUT11h{>r|>O zesZHBCo$>-!;qYMisrLZz!kA!|Fohe;~PXazVb@$Ej&3c)FR8h6fE7+grdb=cx{&4 zaeH%Ik*56u9sh)0XV$3EA)p!qDUA)0-9*4ETKk0YgBbyI_RS?%Jcb-quHr z3$zRPuTTP07k800W;#w{In(%Jhg04HCYSEf>v-qv2p9sMf@^Jo0DmeG3J}U;`G_5M zA#r(VabG6S$rA{r4geF&z?L)MG5Fys=?YxSj}%n_fk22T(yO#q0nJ3_hI=|xTI^!P zBh6?{VHwz929lPTRY@Gmh}7M)0s@ABOn1Quq4h(KBMtz5%atseDf0nXOe_N%&w$7H z#|uf#kTribEvB>#TvG=0wwufc+Hj;xmtM!CGefF1|1)!zhqGiD$w+b3#4<1=17U_u z6_d6KOG4tYH(VtsR#mw9dym881Og#sq*v*T0ca*XecVsE(q|(HG@~^|aRyv5vNCX2 znQtcDU`@>AD>g&_sw_!M%&H`6-q840Y5s_&i>S*(;grMkR66Hq<0{p;QebV|BRTzR z56k#g%YcXH@j_B#lcFjh5C}0vdZmS^PdQzI1A8h0x8{GEnhWjw3;D_1;2Srw3|I!% z%)n}YEkS?eQd`CwpC57;abW5tDBBeFEx(2J`WB5eWW;sG2O;n$LyN^PW|&E^ zxD(9(<2n4b(;5=iaGLvUbJb-uuD+WyRia*k;_`FWB`Dc>4547k0VESd!=*j&muwQ( zxQ~o_f})#uCg7_Kotq73Y|oQ1>S$E(B-Whml6H#ZazFobOirW`<2@z8>BdGiO8 ziDh8J87QbkH9OK|3kU>4Odf1J9YpMKH_yu%M>Apgdd)uxsha^4&4qT5Lz4v=2n%dq z0@MACZ$Tw$V~tQ+^Vd>iI?KSdWI!6Tbn|RJP=_M$0s?^$lLuSoLJ&d=h$;AOi)JsIf;Vt@&%IF`Z@LS~8%w-Q@Q(btuxM3kU>4Odf0n zQ_cmNze6YiZ)k)L8~8m7zlOE+>V6d5VdGR#v;97U0L@ctVd@-Rw=?hXW5b^{LRksnNsA7mV`2l)Jgq5BKK6Vx z^gyrU57=r~+G9`NJ@x@FAP@-KB)#>1kOc5d1RimS?}NpKhgKa%3fWKJ0Gn6_Hk*Nh zN>p>hbvNn9Ct{N7m5b-$T2&o0{LGw{7CRe7Pq8d?p`e*5C}0z^)9{h ze_lW^3{l5q%AyS5hpSa&tzTPC28*V*hIC!Du48G;9=z%;bLJ3Gye{7SF?GoF5fK4T zLIuM}AuygRTR;8h=FRLLT?V8v4;PXeGs881tRPS(O7KrMYXQ<;dJl1qBIIgtV zGl)uU%AyS5lvzb^%9vONECXw106+AsA)&t02+Hw}p*ocgRX`vRVmi~5gm!SElWy&a zO}|(#@B!O^x21BuonZDK&$UZ)HrL+GHLPp5t4mkT_5k->b1p$~CCOKllP*EYPO5i& z%86Q1P}Wk~XXdPqVAzL7U#jz^z}h&>jV9GH@JODcRU6MFqW$0_Y=*03u(wHxqcg+rW`ex1lG$Xce8MwL(Y`OWb_5Aix zoFsJyzuLoy!JE=Yk=$zuA|g<0{@3z#S)7)Eni<$|^Y4B{aY!Z_A@*8&Vex`=;S?wn z*%3tG4u{4G1VYG`UbzbgZAJoRB6kGA^I8Y(j$Q#9qR9w`AqNh{X>J1_iIBq<3S=se zIw#<`*k%~f_956#g&aC)Kp3zwXXq^qS#I6gl~))lpY78|mU3wd2p9r_XD$9_^9en` zq9i;IO*6bU3*f1^Cr5Yz!3ZSfow&C{`qz*b)t$;}EuRpzzA8%Y^Gi*`@Z)kXtO;+B z!N-&#S=>c@(43*W5JWi#LVQAn zNT;(41R7p>g$|xUBqRhs5qEc7k>*pbXeOBuxq znNq8Ji}XEW7eS{n77QbSfWX8uu<;Cdj6W&%q)Je%s&Mo79*4&X1VYG2uhJO<&`fyx zxL?@(yL;D+-4vFAEoC4pc8}2~<*cQ{n!lD6(_Kvl=tkY7@yJ0^?Ad&vW{I1B34!<^ zrUBp))bb3!VKIM;;O@*R%a}!M9rx*|7V4zT>Z}f zc0#d73?T_r8;N*xUCJ-|IzK_#9> z6G?&Bj0Dg`0_{nr91d|ZTI^&54q6q00}xrQWq9S4J+S{y ztDFeDfIuL`F|zQ=v$i?XJ`a6|y#1oLB8^8DZ(UE55e!3^aNg&e^URi8y}q2{gy9p~ zKeO`6i3RO`x{;+^WVsj7IB1(ju10g!Lwp^ zo_x-_1SN)hdId~K$yKf%MdFZmxLcPH4Exwm-^^*BmVr%Xpr8`fym)9)i3J1#VH>^J zvswi_6PZ3D4)G);EPmg0s?^$lT>e3>=AyLwku~S zGU3vGUO+GmAzHeKGO-M7Is*k=Q_YWu)|$VX8&h6E2I#So$#(Dj?Yp-O%*()PSB-dJ zR#%ob|L|eqLp)rDL-^7?Vkf!M&o0z~$b|15V#J|wf)NOeO=e{v%(ALr)K=iAK!G!G z6~QfZWs$q`;X=wh0?P1`s|WExc3izBPwg|um^yg+C?Uuuln6?MzC^+j<0ZE35@WNq z3}hKt+WhUYf0ojhT`~Mj_JKzpADG|hEd!Q;a~as313c96?(h8Sk`G@V%2lfBaMmR# zG0Al>Up$YrU(K<=zY>6wH#b*AR1(_QP2n0fQQ)-pqsURAeK0J;U7P}bnNMSo$K(dNpv?jGO(AFZl zMxMjqH{ATM@msT)Edv!Z5W&SQQSZ#Ew^f=yB9T7svA0U|hhr9mvx;EaHd&H^-4f%g zx82|PTJyj9FVY5J8JL-Y2)m6o|F6x=*-K$osr9Y(Fk-a_t}n%xFN3h=FR8E%%fQAm z5Ha3uHvfR3cmLuIjWA#bzh^mY;D;UD8@2Sx<+UEXgaE%*akJH3Fe1R2K(N!7KqM^` zfTuC)1j#TG2>(;RGehqj!C7Bg+L0Iw2n0fQj$Wma1Dc4VJs!pJ>tRg*R^l2SIv^~v zTFdj$G#=Ks4o{bEn*Q1>j6Qt6Nvkw4ynsL;WUjjqgwP_2TwbSAZSj*E4LONXCm4q0 z)KfH{odT|i4XDVfQnWV9smJi7k)>P;2n5oENo%v5x^0^F=C~qFzH-Z)1!R(CRrFO+ z@-Uv>$XYP>1q2KMk?w*KLc42oucY~?ae+Pn{}oDL>f$c4#!SafEN2>D>~P9kz~s_B zdL8ea9RWkYQ*f;<5a3TGLIJ|rkG#9k_tPY3Oe_PN&49h4(q z0Yg9{)tk6rgwXm^hrA!L0r+hJ!7v0YCYFJXXTW3p!&QP}RmGaWnwG0l;`YsC(;3j) zZZaQe`H?PNa`nJIKvb>ypP92foF&8HD7w&b)Wk9{BLiWEO%;>23QI!bu{T^LXPdt- zAP@+-iu5X-F#yekr;mHP^Urc~8HKxyo7@Y2J$nQ)SQ8H&8~RseNm?S8RyrS?dPMhJ zrTIsS1g~!TNMkG*MgjrLTyb*^m)bp&(|_qO%>L12z$20c&A%xi5D2-7^s3VQkN?(I zpR{$on_!!GN8BJRH8QE2&FZDEj6aI3|vbFq%li3 z&*lSlC;~4a5C}1Ouw^a;A+&&~Qn~pDIKi*D4jcGA3rk6~^ujs;WFI zF-{;5KvfrlaD5226LI8cN16a_i#LujV5cw&2P{j04MXJM3WN?Lk@)VKH4t*^K2JcIh^fPMOJHhLGz8ia7ym)*G(hv0s?_FZoq{g zg!Yr%D{0&NH>!w_a~QO4sduQ0Ut`OOBau!M%bjqjc(5Ff878#wM15jc1^s64eZG-A(%OiI}8%$ETdGoK?q|auD>HIqS@Ppi-OCGO(=- zoT-wt%|Cp2{^~(|Ahle*5j#AG2*N|l5Nv0{(?^UrG)^!Afw75YVB;CE=D+b1z=pr! z4Crk)A|u>b)$Dj^?ap7#jVWL147jyMx2x}XY4d-zfIuJ=K3}?*+_gi!y*8u2zw?er-7!EY83+r0djm9nWe0o{mZhfE(45%2{{!7x$?jOWVM zPye}jGrLEZ0cp&`g`~#JaC_{prsri=;*?oMa4l!@m@?2-|09&b(K-CwU}71t3|Iy% z1C{~Hz{N9Q&Hv&lH;0x1%fO?^Kv?Th^lH=Yqey$LAVTBtPiJoEgP!KzwD_k^Pns70 zqUcE&_@_?rE${*YfxwWZbAg>5x$k5j1#Rx5*ekPlzyq|s7@=|F!#IV2VHJ6UyuSBd z^WG}XbEjvsn45H({ny|MzJ?wImUvR~^l9_7JAt6U`PK=aZ-?)4ugu=}rzff93_Lku zPrqs9oZg>s|6KFU!

lo%zTDdKNgy5$AC(U~aVK7**r9)h-2b#{uGe&p5E_i*o9L zxhia@tjE_yv{2gvOl)WMWx0raKf0;zak@*2L#|(wz2p>${U^OuyHE4hdWh(=`8Lym zEZW^Pxzx+TVOZFiO-kXLHlK%)d2vouwBv3|R$>?Jmee%jfePQ#{NJks?BpdGE(dE|niWrdkCgGK!zp`cPf7W| z0q+Elw>5*RcJc-bD7(GNL-BX%IjzB~UJUbXcZx?2zV!i;{mz&6NwMzMo1xO?O2Rk{ zTQnsN2}A-FijtjNZ0M3^zozC3s`~q849evDoNDtv5y<@BQ0V)^em@g8_5DM8yQk20 zT9+%gkctVDIDo1x6*%o4bMUPCbsY45%eyrjaIH9eNC2527{?HsWB|xuze%cD^zmdJ z5oQWz0<1LoWmzzXxdQR00!r&?mc9n|iw?tA6tf8Iv!Je-|1l@N09QACgg9t?p;`*d zMh;fE6H4b1qM5P~LdDJdMDgR<2RH?Z@7&tpnZof^V(WGmyYcVG9UzS|WsIeZM7eTD z>pfwB#kVX=Ld;9f6J4gZPNFbDtU;CI=+0Om*J?V{b|LDK;Ib2~=)idc;)O2%KBg0| z^~X(<-UlT+r|(d3W{`)09V8S&qKwCq?eo zb@+{qVXI6)1VB$o2ipyTq_oYSJy>bqN3WFT177_?UMU^Z->Z z1^;rLQ-|FN&v?c!zhP(}5{UC|_e)Dyd2hf}ur7|J?+k(87 znmAtP3B87%S0u6`2Tz8PARBDN@?@L6m7VV&wrbl!!~z(2=_>6fVC0%$yp7zZ54t8~ zCuyo$!qsy6_jI@fWNFjk$My!wf5aRGcGK$z_UDTRTl0&7`v*y4I!9V-H1<7d0GUhJ z=^)N+3K=o{=1g^bs<1&p=@)&HLJ;uspntyXzUahj#q`#fBU_g~9>tRd&C}{~rQ7K^84d5;pUQ0N08UmfZCT36Yq7+r3+Fj6SJRAQTL}B%&v&stJNm@;}NEV$2Sdes(}_i zo}z!6C<(J%RdTPJ`B!B+z-fNC`JKPxbu(G837_Caw8WN=cj< zMl+}Dx^3SJVu`FF7a~?4Vftj)M9YynTl31I=@< zPcxuTN5gg%pIHGp8A|jlYq4soZvf$049h8oy;jN_iy{7!2V}o6rOFBX$e8g{z*-F_ zBS~Si${6Sj(Z*M2NzY}XW*EftQPSVsG{HE~^A}HGuBZQV=kOea6IR9-204uKY^=G? zhdsBlPfi_y&3`iweFzj7IpAwS3KpWxhixP@A}>&c$`-L@RtrrTf262VBSNdJ;DBRz-8Cde||g$$P>EC?8FuDl}zJh_Ts_yG4*W%{C^8 zV}a9i&XR8|;$%+GB23==VYa9?=z6nrN&`?&pk>0ZV5d zYoCXy>-RD-4%^;+S82^JUgbo+OiFtoah%uV`;F2J;dj#x+51R#HubWqGh2Ikc42aX zq&-hiQ=pL0_%vX-t3+&rOc5V%WE5(%Ac=wbn|1ZCar2Z<4AQKGlk5v05UlX9-SPwu zl5mS?LUD@bs#=`D_Q4zO5wsNR9$&_$DUjLqO}=xU^gVdICb6=Lcehk@Fj1g6mk{D+ z551eig_RTza+ND=pYX$ipQleFWz`BnC^BJ)xHJn@AEk;w@%rG6YMV*%@E> z`e^xEuG_0~mBaW~)NDt}0`P@5m3eT=NYTN&`!|WR3JJvZ?Tf8evhO~`0I#j5#`tu7 z%m)+qwPoT)wv*E4m;j4}wZcN-I%D<#)7oPaw>A=0c$)52x9pQ$gxPl#5~j=wc6+~N z2>+|Ig`+fYdv8otJv9Bcb3Fa<9sw%j|nS9gA+CZGXeyoN*%4hCg zkg-tvcaYU})gOw)2@SvCfrW!~^{t~niy#HCjqTNKasfYn&ip%%ubsyhEFUFac2w|P z2IGCe^L(zov1fPSOGKXi6Cx}!d4y4%e7*0DPa^W$d8mAD&ceo#|9o5(r_8ncU7V#n z9sM9qg+{MkNkmZ*=bITYgW2P}>{veQG=js}*Hp`dQX|s6w7n{l<3Z%+#i%r4|Hn1? zZlyD%fk4B!w3!g=@K-sqM>83@mghKtlNU(}yB`Kpcc7KwP_zcN1aP#*@}aG=`1FTp zHw41xyiHFyK5Dgi0!bnD*5t1**G~6}sVZ!N7*PAVg%n>DOgfW@DBt1>)r^hhm<~pKuN=ct|-2EI+Zxaxih?Ri*veGz;OC#+lOOX*cu6 z0D$ttLc~Gc2`-IRNqPQe1>}(G3ARxquEdh%gQ?` zNI5h$0nBUWEOaI4FI{Sx^_DTN8_G5_E18y)_*(QT@AtQDhh-Xl@2O-LNgOsCn|mce zFNK%ElJF27^3}M4v3AnUScVD}yx5(Yl-3M)N)w1!BO1KLVSz^9Nx~6+aF8Ax@f?oG zm|Rn`GYiBd%a!MKUN>MfgKfM^JWJTCjJCJGUln@d$LPrzL^BKHy{j3o`?=o-dc6#g z`?b`z>;6po^RdTezfeh#aKPS3=l2Fxhv!h<%Nf7!$baR!O_6J*<~xq|Q(R0Hiut)?aj4 z)@W}-{~`4Ad{#4a`SL~Q^}&jwk3yH?px3iKAB^c_+Qcy?_*Un zhzZ2sN9R$2yDT=NO{;tl#^aImSct2qi2$|NcH)Ld$0=+4U6U!*Hx zwbyuw6hU7-UblK4P7t3csEZc`n|sm8Pi@u#}}5w)G)bEdC#b@F82K z#D!6s)g4miovm_#D3Y{-4^bXGs@+XdW%tpCfMW0>g zfs*(wB3Y4{J9qZ3>Uv$Sh@`Y#kr?xMcg*3WO!l&3c#c6^qzAxQJ-t#V`-1jfzjn}f zhl7&%C8#=N`sM0Tg-!J<55W{tMa9hmk3@*i=k4gbZ+^e&3Bg084-*BuccZ;+$vI^$ z?sn_b!%MRF;tD6p`zDpTlELDqlqx^OsxN)zqCqQ8N}emGo?2>629v%V)ud0E9m!|( zBp2O4fEBGj?ZTB<7?~fg{L>O|Wy~*rI-rd{tX!$PmT%}HvV&APA5vvisvkF{IUh)7 zHuK~;9}=J50lREaLUr4P{e%_~aLH9tQi*vfO8=xg=eE9S5&r#&TS}uN53mi@vKMx7 zKfRM+-0g6|s6?GoM4)^uUZm;A0wxig_Vf>0d}R@~v|pk0QX6$7qB;3aA||!=IF!bN zdTc$Pi3G#$D~(LQZY50T^yhN)ykS|tanCf@1)ztG2Q^3$q+`PDTfL~0tV?U~W0pvZ zuu3ZNqjH1ge=iA2d8Gxmo9_a6X?DW_y>~ZvU}4Egl~B}Lk&O=@T#?KYq%{w!xut#6 z1wL7ddPsbb^o*9xsVg-f-I94x3F4jpcl^TE%iHNYLh+j(V?Zg>_wK^gHhsL13wB8U#tN>nSH&koJXG;{FN!NM3DN?>Bu$% z(u=MX*p}6mEz~$1+01frxA@k_8CR?D! zRPqb$SY&0A81KzmZwe4m*6*XZR$f47^^bYq-^5av>D>F^I@kW-4f-N0{*nK(-#LHb zq95m)d|U7FWM5igrUu`lkq`U?J`yNIXt$`Q;_gA3#gdkPokD^) zOec9L2@y=o#K&@MMK1-Gtl~7ThHbg~dKlvllt{%@XNyOQ`J zbmhNQ4Pp3G81FfQWc$5kyQ0qzo-Awk_Y;6NrCCIY$z;@kBCQEca(d`4>ZW&dCX4+? zaAp`_UJ0C0m_cOPVu^C-y_4kW(!ZManx7JEK70sy zk%L;^B_9&O5;{PJy@IhZ>Pv6vGS_Q7S?wzfJKV=LvqPlp?>?hG7DUbT##O$thsw^D zUq4)de5bv?uTPRmQOkPu%|D}ohraQ*<_eU{APmr!;WSp6m!Y(#l|lasKJ6i6$>Vyg0zR}cXhH@~ z{tMz+k6urSD@UG8u^k7Lw(;Mn80%t+xaknXgZ%;Za4n&P@m(BNPC~PcUpW zq^))yo^J_Ud#>vhRDz`@I_C|Pj9rgcDb`0HNh8v{_|y7Y&QK;_e`4?wPGOy456|dZ zw)-*6gO>VMtp5N)hP@W3If?uja#iUKwU!=sRCk)GgJO!D`@hwx&R0yvH+mm;gdz>w zb&DXo0b1+J!^}-SnZoE=iBJt@4Z^^Ox(j3{Vy&7YnfcKiNwVJn^z^1+5je+!M;X`? z&HXk#5YIT(SZ1-7qoYv}3eZn~h{Z!81PTJ@A1U$c9R)kmAc)G;;LZJ5;(vM#^QplA z#mj>sxBaW=1LHrj)us2uGC6OvkMtai2OfJbxoKmHmfNaorvD0R0|cNmbNLn-JB{^% z1R2e4Gq4 z4fTaV-vlHvF4Hs0!^lr(J&r7Wv9ijNdF{DC&+t%*oz1rWrfKu3E%!f8&gs!b+GP4| zla|Kfvu3-wkmk$8+<(#;-5;w=x!DfYDC*|u*d_S?&ji7(&AUY0zq-yDd|VcJ2kF77 z{SGEM5Is7I5N>?iqyo4Lc49LthpW&8@fpJ`1egifKbyn=w5l7RE-8SDb1Cw_eO7+j z?ae2-RjlC;?)X!w;Mpsx`qE7)kW@U(QE@m)p?R_^AeY0tyPN=X(|nf1BPksY)y1VR z1g^CNj4n^{9e-G>C6dT09~?Ye5IW9FI}MhCT>Rk{zvkUjkP?Ye2U!q00ZmG4mu zu7h9O;RF&+fb~6JKLY7zx#`!nyY>H)n&U)^E5EqYwHgzij?oE>h*v0UVo9lwA^LB! zQteuGf{5~G-$hwz=A=r0owBctTvpI+j}aSQWIeTuKrPDz)QkrhKX!5>U7sVB_Z>Ig z!`Z708a|WH2GI{*0M#QKoc>Nj+3XN2FPs?FmMJW>)KDp>m$#{S0{vh(UW%%5Wx ziuFAM-Cu*g&G?Eo&0+HO){tH(+c}*RUsa?FFB)sH^O*vE&D>l0BkThoa)uZ}vJKW! z#>!b5kLTRQFoT>I9 zCNZP)5`8O72RosOxiE!**7vAfx0)lr7qb}2iCsFTi!8tWe;O1&e-xR;P?-`VA)cbj zpJ9dgw68}8uy~%gp?yk|=qcv`gx6jMTzJ_g%|1hL<#b$9et=l$Gn>_9%)Pp4i+rBVf073hj0-5StVUiv1!V5e=#!%kZ%+is z64{AoTgzMlGD$k(Fnd-6#F!`+nQ*)0$BX}{QQzFSAhF`%opnUMTV>-5 zM{sW}d^om>yqCTN(1^KSy_Zsj74a$B{VO(Wsz0yFTJ@7{60UjECTM~wUX%^#>78Xn zKNzf6IV|)MB>RWfl+S`p&IjM4+O~>4yw{6Pn#wuEy=iXeS4er8#PGm%7X=I|^C4kS zW30)ttNsPxo>TKlLY@evHO~K7${R@nS9BC>e~iNglbC3jIUxuy#XR4dX0=H;s%-A# za9l&)Vkln7UKSswqT=BbdqriH8%GS|SW(cuK6*JTrj#ePjNO$@rt`C4kx%i>-z;KD za^XXh%6DBbjI0g*Bu|x7l8$Z9Qi>xcQRqV&xo6aNRei+J^qt-n70T0e{j!eqW%gZ&~nksJ)@|PaMm)JKU@XpZg zI#%SySCmM8$liY681S~V`bd60}cHoTHqV5alVjd zeYEjztG@M5u)LN}JaR4i;9ghV*Lh>sNHoA&B$_W4Ovb>rLe5|Yq7RI-|7j7qsA0`L z+cq9c(icp@*yfS!Btr`Ujh0`ee1x>tYQvWBh5ZyXlK>gi}xi67$LQ!S{Oyc!Nu z{}srQBPV=_YsBO_c}~eYdr5oTU{}gEgVZru+YlCKYr#2Xu3Gmjthvmo#a3y;lL_oe)LuJD zTfWAs^Zn;W>oYHMQ_Evj;y@!RZL!q}YK)9tE|Q&lDyV@KoRmJslcMpNmL)rR2atw- z7JFE>!GJU!mMLA}d;`6#v*sfvtJ1u8z%x)_OB!$#y&a!*$vtK_kQn{NE&YV=Fx->o z%834sOaA12UuFD7-^RkOA(x9qW?H$-rY#f8T$>4fs_l~p!NDKR6mBGEhbTO7h#9XU z&S3dEVn~0Ns<#+!yksmfAZ6y2ai%#{`3Lv9UBIvct%%^_rGFJ(akw_w=t?m_v7L2+ zhZ9{b7lM~^b|%7K3g^TAcP50~pNKON zr!n&(=`5$t?-*xPRcCA4ar~?!%G||p*u?F!sHL|mE!>n;Gm`NEy`;2{ZL7?;Prf7A& zL*V8uQGDk|e=?ul*@eEEnyyz?eKiV&k<%@2KXaeMGKVFf1m~Y1E&M~!gY3h8!`scX zPpq&~J*KA`bM4{3dgyUnsZA#l-=+4oG{mb5 z7AYV5bE)gKN_C(bgykT&ivzCn&`O#emJEY3Y0RaV7&DSyr|vyuI0~JPQ;Ug?2wUU* zX4B*)tF>{K*FAG2Ox7TaQ$<_g|9+f^r!>$|xFkr~fw>CMtU4q03V$08rfNyVx?C|0 zQ$JBl3g2d5Yv68(9uy6f`oGnolw>-AHd#=6Ch2U+DN*CRQ2zsV=rAR(`PG=dlf=Zq zN0i$*ayPx&LEORE1jqyx&vE4)xkl%HDH2WBWs~?`_EdlADyI-lpu;Nw1!fU*eZkoc z4%vDow*TB)sdwtJzV4@Mbob9xHk#5v@A_|dX)fQ1tTG-Pot}IlK49r#EtP=8(o z%fPw@+D1~Hi*UeEoLQ#RP1+dg9Cf!4e{?TQ-J30I&1+LkwuwZN6dLFLKL7wh|GqSw z+Mc(s5g+d1f0Cs09=tbE+x;4)Dq}=b%O3t z3J?+W*-ENLP7SigQtat9vVY)X!3;jo$?Bi8h%-i`r7WG>A)Gj~l+ydO-2qo5t7)H^ z<+PCQz45iIxQnv&AMn6g3#q1(ig8*?ooYZdA1cO~41#BtU-(t(gZnlC$MkWS`3HAQ zH9yGtflB{dCqPEz+7M%1|L%84pc3)kyL&8QJ9ZUy`gS={tx1r(GL=5a{=pj%wOzQw zb0qT*#(H+|@q_uFno0oy@^_*-#KL1Bul0P%$Ly+QVaHSr;hs6RExX>}oKpNxE}iSn ze1K=take2iJH%$uOIf;}tJtN6A`f*0y@HQO#7XH{A(utsTy7()6q9MEDNCP%%v%%>Du#lRhHAr(nazheT4v-zCCYuhFV?3=U`#K<=`{Phi@exuA(lg zb8smQ%^Gq>o)~Q{{jK`B#Hs5E%N^~cj3HWr zUrL`vGEo)qt?*RvVeWC;(;9-Po_A;c*m3FGWHF6 zG6>`F9R8k8qF1AOEBtZjVF`Ed|u`VdNmy8!*=aWR4>jDlppYE1Xh%#DWzY9dR}LZs4e(iz?r_b zKnKvF{y8gXDDs{RfibWmr7c5!*hoBEqpK}IZCu)}rxX%|qxXE32gyj57xvq}4Fa7U zY7q2@Y6zrzG8}F}{5h_M^Ac(cnqa%`RxO%gqdHaH>bwlXXEmIpO?8bE=kRT0SL575 zcKLH$O;#UBcT41y#)sbv@aMSpm$e?XdVa=c%ZJxJ{cY|R=S=b{pK|#j^6EL1Ul50X2nZ&09N8C$s*))Mz#_fm_Dlp zh_1(FogJrBDc#ZAjjjN8b3RE2CG_hrKb6aNbi)V;R-8 zic{p7*nT zA@gZ%zcO&v_b(_Cy7j5O5#RR|;mG7j*nqro!!&v0WQv4usin2f%Vp`UHxLP)5I-p$ zsT*ZVh{#TUt5=IL9^=1|aQM_99v$-zKpw4sRdsUaCVh_Ij_bpt{(T5%bAm7xOOL&7 z5gt?Dw{rzYkzK6wZiK^@ZcjM75M;XyY2znSrF7_DV}7x$;mtK|MLOR|@v|f0a1OE$ zHzz8df#H(Eakwq<;hCia35OvsB^)jntl_>y^=5+XM-b*SopVht&g!3M(pdQN2RVk< zKc~`K?Nu#GuA^?#d`Fz(fpNyVOj#f58hxf}(U+u#4)`!;w|BR*m$%mLj0|h9J^P%{e6CjPeAwLJutU&25rR%@Js--mq z|6iHeWU8Cgt2NH@;@1Qtbim3iyUUh{?lf|_t z&qJO_G0$f<^0|c<1xrQzWY<=D-x*GL-KkRAN;@uPv@{aW=@RjCx>~9$f)$NifFs*- zGH?7Q!i~sq<6>c~NtI5P9RD#6O0{q%PB0p7}(qkg0QzQnMsMx0Eq+t;X{2wS)|@{Y z?^Bap63VI88zBcpj&;%FK4i$g6yd+rSgmb;aA-lazmZD;@ZhmVj?wSZt0{e+TA4pn zO}jaji{DdTd@^%}F_4wg(}G>i;Os{SS`MN$udR5vB9su3S7n^miU(_LQ(Do;ZCOLd z5xG#QN?CeZ6xADl&OU6ZJ{x=}awyd#uM6I2dWpX+CbXlov9*MBz-vQoO4Vq;_Sq4&p}vwDnb5}$u|96fOY zKXbCkJV~XO5Rog$icJ*(c?CR+pO%P&iTh( z3%P-E=W+RY%jdNe8@^||#d&|=+z9$VwX9hIM`UY~ely`5LjA*Swf*vB9`n7jtv(lo z8mSrgyxXq-zoY1-hI&3ppwfTS3lSy4{jsl5^eR!P0g9j-fg&5tLuVpQ*;55Rz= zbg6zyh{$6zPHRPc`@WGiz(AAZu+AyPeV+P%zL}z>(vT0)yHUmgcheBRJON_=WnAi< z62Dh+GsVXG$^=xc^siA~97s5$q3sJ;AP&9+M-%adcE0#uq7ZUu!niEjWdy*6`_Cx0Dcz_Yz{F zO9*t2d}5zpWFP8lX;(eud#p)jb|ch&)=DCH4E3t{-%7#aup6P&$KKejAv5`I{y+`T z<{n^HC!iB|f6Vt%=eE@Y)GR%Q+5+A^!adJdXK%QFQtGn{Wq5o(r1q4ScAcF3-H?Ng z{1;JuFW~gyGfbSR?LuKcPnUmYcA;3DS)DK|L`&BW9IQ!|k!gLu8ge1wEIGSSP(vVD zZF3LxmRGmO<2c1_rm}k1;pX|+!s@?SsSj%$AzA+peKtF_qo#Va-|E$5-AbG>(_ZJ` z7l|{vbv4e5iBCM6w~eHyEVp;%Lp2a@ILJz z@9Okf8t^hc^S7(ZKQs07O#N)N79z5)e_~5y)nkd%wM&ps)%KHbJ?8bQyR%9@e1>h; zF2Qg8G1l0ZfMrf*h41bI&>*}6@RhVTjB%Wgm#?l}f;dsUmi`sL9hZ(hX0@d&$}OM&%+7l3FiC(f3xdjJ-qQn(uXbm0qqUr`Bm)PLvo#UMmlJi(tfl{kpD}a_ltg2 zrPuy>bl@y<3fX=a*?x^FIQTaIoKKMJ2(mtT{yT*8=?rOY|6agJ31_D}(4=?kQ(OAL z*)NLIUu)Z6O?i4RMM9tbqP#=$V$TS@T3+}*PdqRP?*%=8B7t$7Pa6qWf@MzNoYLbc z61a}yI$;I!&+()W*9ng!AAW|)#YL{3Ud{GCb;3NV6A;gJ4sx9^D_D`zaa1R~HsFZx z&fyCv61Yxy7uo)2vi)kZ{qqFjox`)p^WP?_^D?B31g;adqe$r1r}k>>XD%r_htJTL z#N3S*hgV?0laoP?rJZ}2W2|v_*V(O#Q2MZu?K4%IJ36gCzyUAF8cUzmIde~d*m^f8 z00kr^UTgES8XQODD8(zKi+n=usv+OdRq0Ze$YW@oD4t#=@&U!EslFR@7CD4`co+Hb zDrcfs^D|adYfCj$D=UV%`|(a1`C{2KcuXy=A#0O2rndWWMe^ZNzdR zkq^(MID9)%T|g^7t3S+TdqmCbAT39cGdoC2Zwzk->E%=^R|=&`PvfVR;*ZnH^%FIm zQEm{`C|@c4R6k|?WBY0G+{;(HGU8NY39~ewtei4uoRV7Otn9aX1(nEa^j9fe|No16 zP4lQMpRV<4O?3!S{XrX47iY5k4%Y>PK$cUrTpd!lVj4J?cD0-VH9tdYsgIq!?NHzp zfsyrLopoBGWuCpUDVXGB{y8Gs4WL2Zppa5}N^#b@kx^>(en5P9_TXgV{5q9ddt;Z( z2TnXGO; z4sCImGazo)M4XwbY>hJ-9fGo244h(E{GaB$wK zLk;40EzZ;+x*0rZ828eMe5+t>(qBlBj}RZUJMf=tn3A_Utyg32r1WZ{!n-G)Al=UE z1`p>WRJVv+oF#+ObmoXUfpA<-OEopf9a+0p!>PsUg~UhyZasKahhGC9yR5EQJ)5Yo zKIqB3iuMXToDc7V)pg-43zX(n=g~s0?}5WqeId1mQ;XG?W-D7u@5~UlLjOlTE95vM z!m;{nqIyDMhIv&7;scL5pW1Kc!y6RG2@O&V5VN1>5{^^gYE)B$+*~w9#^>0A(L|8t zNuL-|_ODpZ%J}?&dcwcSeMsN|C#7uzP9vWWW5!0_r+DzFdsTPu7u_wB)#p8h%V_T6 zYnf}S&trps8o|0}Z5Pfvg)S}CoAqZ2k-^&yrOx`_ADYcIFU z5cJS$ANneuQ#Bp`!H0c2H5KOhOGwvQ<(~=Bt7E;aAt+75Z)b>0PwAA*xy8ZzxwvZ~ zA1xXlJ5H_rW`Vxbo9e(4L6~=!Luoz@t<6vYIUC4 z`%RlsYU-kJBCNW!KAn-GOwZ_K>k)Ov&GGZ*dqP5%k~OzHS1xPwE_p&6em z@H(@Rr>IF~9iX2LfL8GPy-ThQo=f#69Uo*-Xrs5eEeNJ zK#l4o#VqSX5uYV)t-!ylmsT|6Z|6A<>zvYInRAPCv`Q`c=w6zjv+lFXIIV`~2AoE; zny+-$lY9c_MjlOG#mO+WbYAO!6ye-NGxpHTlX(?);Ebzz6~89}Bs(31nzQ;lR9|a72)7rk8nvXk&=-GxL!c*E> zb**u57Oop&`sg7C0pd8$x%IO{M2aQWfj3fG-r0`(XE~A%iQoZL{7y-ivMcG0tc!=5 zT$Yt?gE-S_6o{1s6jL<0>tfZ zsh-$6HuJfZYIPk}20n#?nTm1HSV5lqP~>vrjLv(Fa~8>BogY((H%nJ{!}V&A0+1oh zhyC+2lK$6%k#T+~;jrzC@_h%)hr5!d;ces}ebqJKoI`yH=Ygy7!HM(^HAq2}adcQ2 za87rUaXv+eWvY)7&JF~@KeIT{q;qNFwF#%d)u;+*WXLNEB*XEVt)=<~+2ym=EzZMf z?71lYd^}mfe&&6i_eVd!w8(hNuk$G~(fe|T7|z*6uo}dlw>kJn;={EuNB9Q|M#h;v ze-hzfUy~=JT8Zkz!q6P6_icp#g1lNdHEYPVDCU-HOBn}!T2JN;E`z=j0X*RF`n%5H zb;_#S6zJ@=zwwE(Y(GG)54WdlI6~G(PZA^P94*{-`>BawXSRPpf7Kesb)shQFRq|K zGO8!gJks^FcLnbhdorqZ{8q09`7v4X%|dCzS=5~C96U&Mt^G5#H^Sgm=Hm{5rH#PS zTKc96uOU-=gBU&YnoqYrC61C%o-U4jN*{{E6>yQM$5`nNT?gsR!4hX-JXTs^kpKv| zTWrMAq;Iu2iC(Rw6o3gKSiN<;{!~tZ>ykt@uZK5wDU9!LJJt)Fus@Yk;M$*RRzWry zRT-;Y@3wW!L;aTwC)t$C)J*FzTal=T5GB=g}pL(9Pp zO-v!H04zYh_o3pMfj(4@10TT~TC)`ikd*e;j|0tPSNW}84RQ@Z&L;@J_xHwSS|sE1 zra}ROT-c&ySyvL|D0=7UTg5bu@mcvV(TdlT^luU8?+}FFn43$|S1JbQCOxiuitztU zyjHuyF3zZ~^jp0e#)Ey+5DBH>je zeOdDJdBkS};&Yo$XE>U9mCsK9mrl6UINZCyJb#UIo8RiyIIl%GFE1tw3};p`AT-Ds zI(Tqa2KhUU<9Ht6Fee$8elkg4g*dZaKOsIi@4|tm&#eUc9zl3CdNJh%zp-) zyp=06ZfOzV+4KAlfJds!oY1WBJ6tn_MkRP>&6D9g-f#74kh4fb^dUVN&f#P`?+7}b zY+u`%=+*e}XdUykQK>4XuCZb)vCqRfIf|v#vynaj6!ON@yt*mH5AzwGpHHA1e3jO{=;?UAr?g@y@A}p% zMdrQa!v_)0gK5v?Zz$gjK#m0Tdp%if+(@x;8qEN|RxPNlh%;S{>=NwKvpOjk-aQ89&9{z(S%IpN?Lvf$e1+8>tJYNuH4Nn&s*t~XrHb)E1@^#dSqhxw<^x*ngz3$HRCa78jd z4{u$suRf*iS`||ZyEyVO4|@B>u`%@+E4?AIs3QS(K?948Xje*acEj}woZ@y8$jrK) z`N=#sukhPMKID0%($f=B&8+K{y|@e2&vj{n59bG&S=TFLwNKPB&W$}HoT(hr);|ux z6)~^>XGfeLTOe7v;659V5Vuq+$Z0vx>?|tF4thE?JWAE4rc0d zI$dX9&+IHR-&;xH{QPz2;2U6CDx2ebK8fiau zSq*^?YsiH}#W)O^>4Cr}3o^bieEYUCIJ_Rn-c)w3D#V-giwW{Yf_#G@rxzOnjL+0| z7QLKSw|_)CUT&jvO21ChzeyT?oghc*fZdbn{4A2bG3|J{&`I=aRF5YT?n}$Hu$J&r{pMbqM+ST8igiQaoQw(m7hb zMtRCRUbZCZ>ry=PJ~TdG{aX~zSCaIr3BvLG9m3h3EaelcDt*c zm9n~p&oO%s)#3jpZ#j8`kZMmTSFWyU@BX2SU&vYpTU z{v6qU2JyLtAZLi$8!?|xE+^h6| zj%YP33T4)L+d^rx;u6Y>7Z47&U%U^kAX+H@OqX3ncRuTLLZPDBekef>APBc{#TJju znLYM(^6IY$QWTxcXAi=;l=RV!Ga=@GO%S$ybK-L)QTfRpKsEm;N|5zVg0K(QB3ZW+ z72Ez!qB@1Bv||&hwWRbZ}U@<^%}Btf5O4+T-iDLC!(s?B+DWI+E zgpxmgH*#sQIBHK{N;^@x_52@_emqJ47~w2S9=n>Pe}&$~<5AniBx@6r#cj%isJ3B0 zFH8D7aCY6loZ|4~R4P9}ex9yf_wz{lUiZe5@?nxbv+Les*h(%|?L8S}X4k#>!@M!I zUH3~)=XuN!aXO><~!yi-Kdk{%4h%(j3N!EJ{B;$;KhxB9+ z{0~BH#Z`e%BU@8TeJ<^d-`Cmd)i^(rAg2b-BF7TW-GuY+Vz6hNm#2QzKE&tgWT|$? zLYo`^1486>@_fNK+jZYV>imlO6o%^~^B8cLs)UqWjA|l2j@fda4#=K`IOEK7)X#N6 zdNrI`l&3|fAmi}t!STfB66I5RBc;0oj;cqpP?OYD4_B%ZBBCAYD(V{12CagK92jJY zKpzL1rMfH=Af^B9_mNJbSA+0qeL2D@idUv$oLfoyD#WL#Br>0(8p9`m?Pa!JX)d#|}B7LZ)hBI$ycI{Fq z)ZfqN^w8(a#R1U9nd|UR^x(4|^;Zj(bAJ7l)%-JeJ8d6)9;6^#*H=Dx`epqz13cW_ zuDD|ZIPNUr7e1dK_`>h%XI*#D10O8DfeQOmT1hzf6PDPsJ9PCHIL}l-i-Z3tG92K2 zIpY`~K=4uL4=8OO%(eTDK4~eRn{n~pXMjue9;eg*JO6juA-oCP(-;33jv2p)xs$hT8`tNNj$-IMS zw!-OH3ee>@ z)1iPYIw<@LX*P2{eL5iSz}I%~IbT5WyOoAJx7cRg0l^37^TEgZnu+FtdwXAnwBG() z#yM_qb)27SuC@8Ak2HZJa^iyQbLiEKL;L9E-1k>5)4?5)1j~P?nzZ&olZm*)2KVXw zbbnxR$D9T{b7PgO8!7}oyLsSY{K5wbxL8jz2%wmJLJp%AZJ{W)H54&~4>)KM&$xi# z1YmGdV8KBF16<2x*Fn3Llh)4Qx2fVl3g(^L+y(?(+BySw2zY+91hKOSG; zv^KRkkaE3>flcV$wm9c0q~)qNnjjS)Yc2f_Pb`uESvl+Sy}{LU<-ha^o&wt9G8Fne zQE{M8-9kNEA>d}a?eGg9B;eL96k;4t_tj4;?GpVB9V}Au9MU%El-8%+aUDE&7Od<* z_B*rZYfFbO8(zRZ8C-3ji+f|8h4Kb$T24Qldwp$MP!1@skk;053(o;w*+jo^y+Hk8 z5d^*q5-Y>fY@Mvx)s4SeXVe7s$aT7KypDl)@v(LL5{lpR>pvlnu)k>GIG_ashpF|W zpu@s(fTiAtUGR3}9i8pQFj`3D{Wki+^``MMg}e27LSgBnl@c@yW+^B>k}1*GXg+lhenL|Ar^l*LDmcOTFev|b!!3+5gN%(E=lHwOW7&jxHelV^lpcwt& z3L5+6cYsWnMtBnivh64aaK`87`qf&9I7hv|f<{i;$GdkD9M@C{#(Txa8Qj}mG4AHq zbDmC|tCSfmc}dm3|BR~ZQ1dkMY770qGxtSn0QvZ!X9^v>muP)>e|olB5udjDquk&r zdghjwpu-#74sCJ2s2PPkv%Wcg0T=nca8S%%LmCDdtu#RJX=`gp>xM4;OqTPWx(?l% zbwd|KTDNW89J+D4b?AmJ2#0PRx}gg%ZQ(hvZKyR?*DqY>H*N$Dk0z%F`V8d_PHnq_ zJ8o^@+b8GJQjh2su4M+_4-J7YtiYU8AKQSldB$zWM1bzv3B}ox^WzJ<@OezO4Q(iB z?ikSe(=|Gt8XYjE^3?0bjg>Qg>m~of9TK9s9#NvN=D7B2(c?ZMYc`#+1%LCr2%sF_ zC3I?iL2&5XZ|ufJo-e1lg&dl0KlCjN&|$qCF;nXWf&)&nKnzWt!}-|{*6#x^@Wq?% z@JzXRsEZFR2XBqpeC~IHM*|A{`ckIomf1!naB&AN=>3^YKrs#i zbXfog@^JS}{TLi~OY5hl*ep{RKk&c@vueQo=eV8l@DKEZNSI${t1w8+vQTU3(lCT6n9W z!0cT>@j)$7L*W@f+g0F+afiiWLHPPS&|fOsdlJy(z`Z>Kcl~a^SZ$mJaBiCYJ%(Q^<$6$6hSh8OO#Ud{ARezdqZ6^`P#8mXFK7Kd831eug#pw6!-phxP-|d(_UB z1J*6w`WEWe&q(XiFj^3`A_`~=tC>7qZLA)U}e3{H~a<{)cGxx>wHl9Y{>j@ z5hcoQJi=Se&~`$zwmbtDg$Vp1wZ+8~K-=05cWC21(p!D|w9U2`4m`m5DOo?vwX`Mh zQ~Ct@y;Px-X#x(YcfqZ#cU@a>07k2yvKx3~P>=>xj=Qk7fX}7_8@%5F2tM4;fKEQQ z)b?#kXgd!D4oJ|i?j12-;DQTqk(aIA!>N5C538&3!i26tF0N$ zI)7$5`<*?*H$L0wLp=GyK$b&&r2Oe|Yk~7DeT?g8mBy%Xw}*b=YG+`91MXg;U+6j5 zxm*tYgZ=Q6eUupp+;N7B$cW(8ZyKLjN0@)g=LMGoduiu~9Si?jQYG_yxASO?|&4 z*n(X0*5TUm+yc~BAyJ=Oe#7orL&!t$oxG_p;`Kc>lNcUguAJf zy(PmB3m?DtUq39#u@2i1*{E-)Hhu$#-{zWYY8)Vc1O4DS*$gY%2jE<*{mJ_Z7jp4g z*jZlZ^HMdc?N7nNwpY++ws>*l<88P$;e$3DBfYkTTXZ8^L?y<3i0k`O2j~Hx)ct#C z1Sm9Yy@Oe+KDfZad<60yer_WM`~aQac}B>C9h3LV>iT{0?LT*qnrCOv)uZ^GGW4Qx zFT=YV4*?fGUS$#IDQr12%WOw9fO7v7(9QINYwe?YrUP~WXPut7z<*KJq3sO=TIUmZ z;`1kmM8S7>Yfr!y3|EtGK)t)BN&>-~Yl!u21)0jmKhVRbvp z^Ju_DJKGocAoUq=Cz~6CC&9OScV#_~=D6o;(1C9|Zx0Um0IE*UUI)be+Xr_+ICS&v zhIaGqhIVsU5a`13MJe&Qv+0~e1m89FgX{f;FWMOBd&PJ};g07q0G-_v7r8U}eBEO)`{kYh zv~CyB<~eTL=K}ts!^KGU{#dTAP`%rJO+D6t_mH8p^L0UR>zH12<45Q_jB)9L@I~Z3 z?tU5%b-pd!m==C`qWS@Gw6%WPvbpHSjL_lg#Xtw_O+fuH*|-pXg1-0k#6?f?o0;Z7 zrLocq=q>uOx*m7n+dhMYcn26TzocAn_5H_p>V3Qac=!DD)=ZC)p=k`7mGpz_`U*v9 z`I>&(r>pC4Jl!cjJp~U3nnAnwYHbgiyY;EX3!vZ#C`Lss?g|-NuP6JN9N2r*;x3zU z!MBA@&bQt(hjA2isQCg1(01Mh`l1a0wBC2@GZq+IwmSSX&A&>2#cQT7ya>pJ})HfEwLMge@~`>SuN<_6YNCnK+a zQ$Zh>p?|HQc!w6a57qd$oP^iU)6f0e>+sHha+${Ib5^DSeTRNYX2{%H-kLzJ+t+$JKx=0mmx1%-8BeG zd|Uo~g~D**1AM@tE&n(f&nW&sd*>dnXHngIEeLXl29P@>0Ybt>1f_~%!ZqAM6a+k2 zsGfdo%lSi3ZK>jE736NE*7hj2MMZ^5(OSZtB=po4TS2aJhaf@aDkAr5&-y;I*1Ps^ z?_smwWbb6}Wb*kudH3_1dDhIVS+i!%nj2w1bbg*-f2UXXbm!ck5Vg|<#682&PYHU8 zqbK%I`2BgKOKoHcLGfV?Mc?gc?jaZ>` zXMxi5Ctcb$sP@Jn)JXZq8xLF^FFfgTXgnc5qiJ8jDR=>W?6gvH-uM@(CAxUl40NMS zjE&YN1#1*y8-z`=bu`7isgNI7q+A+|82#3JnM?#+mF-|c6)Bjw>{>LTuip0ds{ecw^e+shK6 zX`st^CsD>ipq#go=uaFyKv2A+#Qk4_{`DBprF_T_+?gmnC0YQ@8+zsUtq{5QgnY`gLz3PAPt2Wk~t`mLVzW)Ln-0)oZ;Ky-9v~UjI8{ z5Ob2H&hM?=Z=l(YwlF^F!q?3#pVWq0+8;O8fZ_uw zr64ZW5IiaM5r1vxXqK4p9UZ-{#|O||9KETBLgiR{NbT$%O3iF)|M9E)5B4+!bgsm$ z`-qS8xaaobl85c=O-oE3mhI8gvQ$E9|4UYf{NB>5S>BK?esd(qTeQp+ zARAi^kqyxLsIiVNxzQ-4*xdf(SKG+mhJaqxD;sY|IeNaJ$2od#53RQm&?Q^8jV;sU zdn9$dhnHeruM~WFw4)=b<0V(N*OsUymk0F0qIeOCXNa$P!b*9n$8}J~O>n;-n`>F4 zOC5jB9{L%^?AdP;0++^q%uY(@+q1Ouz^EnUBKd&KD9W1+HafjttU3^$(ehzJ3&|Xd z(J4qv+Gx_H z7LT;tLm#g}(LA6-+XLuGyiU;KS4br3|xQ%oy^jiFFSk>_o^h3rd`2SE>GjNUPOZF5V^47mMbA7AC zY%z}-5j=_bI7`Y%kNBMH2gin7@blO zK5g}0$C)RGN!&n{!gofQF0FzGxu9jl*l9QqZ+ye(Xr$jjpYLLCMDel)x{;@zD0M#H z=<}N%Jl@8q&yt)?Bp>TU%x~1ZdX@Gx&QqGXCN0_0M(TOx%iWy9XwpU2ckuQxzI@o^ ztnMQm4@1$A^R;Di@#*$6GG5yJ zMN%2T(#K%0lpX{Wgoo4k;MPKWa^=nx{{~+cp{Ma**Zc78|1k@UZ(sD#GrQ2}iB9lP z(kth?j{o#dmhydWQ29=h^2IKc#VKDu>3zy_18>*2r~Cy!VYo3UUzcwfo{XP*X zAd5)d`05J~jeh1chx#0#aGX8-o@-^y9=M-gwI90uXs~6~w+FW;k}q*59_-(q*@aR%DDLFH>{lzTjF3+l*CnuZpz>wR)R#5kd_|7ewm%9*-iTMI^0vH7 z4Lq7^EI|rxHpUnJfbQVvU4m}y=mUao=IA4WuJ7n?1YOh77X@9((cdz;&OE$o86jt@ zb||@`&KBC!>Jx6FI%GM3$!5|DrE!V3BG}n`pE{QXW=yCKHr2UExUf=+rc}A__k0bMto}| zI?4+P?0F?7dv1y*e68I^p?@FfzJ)xLxAbrEXcXNF8h_^u5AkCM(M0JluJ1}hP4JZ7 zDBgDBj}GULj@jM+l>TXBGf46B1gByTQnnM~Mt`Dvkl+yC)mKDiFAN2C1V?J+FHm|u zP^2Z%e{?k0UlAwdZCS1t%DIBK(BXP~;_<)>YL>VK0afcD;)bqkda-Di)QfQNi^f5I z7xp;G+vfK0JCa=mGd*tI&y7W2Y6yCJc-(n{?(3+IWe;=ovR+(j^e}saQc?ij)8o#S zxO+KzwV>hoxk%8V>w%#AL8rjoMk!}8gLH|YahlTCdnoyg{afsBNrDMcj=o>Y7by2hC+F#T$XSl7po=a8onlYMz0c9@1--@5^jSdRT1ROkNr9FE z-J=9${XOU(2>O2={bNDTa&#i(m%5{6!;iE8L1UbqC~+prIEoUG-)HSV>TM#7`^fJN z_Ft(D-Y7-3UTw?8@*>u2#S9*-i)j4W>MTC;y%tOm>;mYFi7a3aH_=*(#zCDG%pnvD+M+N;)M{n=d z1#yuQD5UIw?%?PR5*Obdaam8AseRNc7&LDiq{i2>|M>k;FD`E(J0r29_O|$ZbCpo2 zpT0eGrx9Ns;}p&l3LkOw?|Ue@pKfn_)9mWPhqSBV&Szh3+(9}{cPUBkfS&DWwjt11 zXZ~M3IRlMV{iWqlUCEWEbF2K15x-9)D>q__&}j zl6eh0DoZmi+8(=*eB(n(y}ptmpf3x$w0%hAm(k8d$uIc~k8$f;2KO!0ChNH1((-P@ zgvTX4Ip~GZ;NR-CLeQZ$4CwFJ!>@Y3raH>VIs1#)8>anGtn5~Moqayg)&63ZqiXSo zp1e|0i_yXN1l`IhwET`fe`!%~F>X_DP45iWJ8&g@#VYGz8|kPy+67~%Y(sThZd;dX z9pfC}=p{m--sV5#alb8bV-2^qmd!n`+B>mE>PDe3)8qb|pfS$U{O;j#)$SXqZyWw@ z;uI!AerYYu7hkl{^6raA7O+cbS^T|=J>@S@MsuLM0e0b_v?$Ph0DCB&i=lDpML}uL z8JCs{s;T|hQF`Ev%b0<<&su2ti#Kz$cXReRngPYLhrOIW8&LEEDEozz!h0OeQ7Ca4 zJMoJZn{l7?oUJ8jj3n?lXI%OpD3D^JkNKkxAXb9s9aOQ36|fDjLGo1KKf8k=M0NST=YcpY?`Cl-xH_J zfCAkE&NbFPu(9LvvX-9AyMJGQ9n=PZ{h1$wYSY&e%0p|H8hg3dW`ECg{0OP zi}_QeB<5%)LVmI0W*Aqn?Z%hanViAR@s~4l6?6K!9t5kEQ1w79O1sE$9Q49t_JgYY z=7^2BG4rUa6~kjT(ekTpXr}Xb{LafxwERvqd6)?KMRRRzEfpWn(_M=N;aS}tQ2af# z)rI!tPLsj=L3F3dU)e`|%N#V{mNP7ZGG{Ij`jUro|7l5+7=!OH{epzXJ)~vd#2eo5 z0H1dG<=ZWdB9tSve2Z2l^iMop%9&?pv~t3m{_68|sgn~2)#v9V^rS(d`t4^tG-_=4 z`YgB3Mt#OfDPvckn%AXO&N!oFU8|?`y*_3#3@1Q~|d-5Z(rQkqyRe&#e25v#4$P^pvgv%Z1ad6PYy z{Le3=mC#jrh7bE+3BApp2rc7N(7~Y(TkH(oebBd$OXw^Qg(?p#g7VuVJ@hWa2rYYr zBF`Tj6uQ9P^V`VPgZ}O963Pggyl-nLl;_x!-%hr_2ZmlQq0C1N482-HHyjjtjf8IO zp$B`qXGkb(|DYV9XLX^p98lKL^1HWvTiO#Lui9vo60BrTkuJ~}o30`#qbK6(ni*Eo zfaa(S3e;1-bU8;L^H9zsu>1D}{guqZ{YMSoC^P*#nizxLD(H5O-XZ8V zj@~2amX6*p=oXGXDCj1RJ|yS{jy@*nx{m%@(6t@qoRuQMos2sAyr6G(^kqR;bd;k{ zk^)ma@L@$kUv{3oSYa8wTl!LKRE~wdJmNT zAax~u1t_{WQA!GGf;Iq!7oY|XKqKz21;yGSZaojW&JsBtng@CX&|*78Dd<-~(fpa; zbsS~=TcTxc+`yCm_>$AX_&vd%5|_8wd0E!nk=j7?(?spK2pT78eMZoeE$yTWuXw_j zZ%wNUJ;_Ly^?HQ;TenT%DDBtLLTc>+E(K9Zz z7$twC6%?aCCcO+DJXcXdtr#6Y*0Vg29+pVjC(RNm+zEbDzXgGBYKeeQ3t#gXM;S*m zZpc8h^opDzO5*P0Xg%(w5;s=QeYJgU7u@=*CK#w+Y=FJ#Ll_3Q@Kz zg+lP`3PJa^_>jz%flwHFHUMbkS4$B&`<~E^v(jcre(&}CYTWu9*7lYpA9GrB;S6tw z+kgD--%E|ROYPzJKtb_6fHLY&3Ku)NpP-jHdVrvKO`vd)pg|W;NYbsJURI|Y`Mp5O zcBCamYFf5~4We(`-nyww?N;Ls=xjl+a&)So_c^L3o!#l^<`VY-N4FOAZbxSc`u`l= zM9^P2x{;s{JNgzuA9eJ11ijADDT3bb=uU#(=;)e)KIQ1Tg8s_URRxWe@4Ci4&?6;o zoT)aF)$Fl${xG2sH>HhaoqatI_-?5A?4^+zdTG$~-GJf~0>wL)vh#CCw-odqN4FJ} z6@gH|N0t=u7lNYS6TQyScMJOOj_x7oJV((qN#Qa_(H@DSElBM{f_~f4j|%#Cj{cFL zf8*#U1pP}#KP@OD4^nIVEqoYl{$A$#tof9R1|Kb-k}0>Y_jtPTx8uWT`|N_hjO)>@ zY86j+bQOX+JB~k|cYEg!(Sc7T*f`%=pc6IDnP;{!Nre$lKEb`SjS@^KzWD*b2Mke{R^p|=q0CZ=2 zK(n=gvSJMM#}YTtn|mnjVrBb}Up;+<6L3MVmAJDUy++WXV=}&saTYdGY64EnCG>nj zv7bP(oHFk3Ir_OwGkxtofZMbfZU~ zFX--0K~Eft_1Bk3+`2Y8+$p4wi9Cc?O|{W69``(iOWf$CFA}t_X+P|#eN*C&RR0dFw?&sT#_>>6-|O&lR!>dkEa>LBGo%uA zq0$##VciWE(wE*vD1>)$Byx6ym+ia4-+EmPl{0#DJf`T@oF4)`-yTJI6kZCQws0)Jg6oJ{ae=rs@G>ag{!4(K|y<*u%PEl+}Tb+HTVY{y-ec93hu_= zB0G)0g%1-!e$kI>nl!gQD^B!UI;)nb2kIck4613v`=xtYHuG=p5^XfiQS}&xC8l(@ z^SJ717~bwvk70~2Zk0TQWv*!SL-jF(j&Z_9R1Zej)j5X^&q9OixF!6P7fa42dx=M) zt7mxJ`-DR9S2-Dd;qtuxfx6hG@waQoZGA}@9fcj$)|V9hzMtrl`Z|!dzNGMKSzTYk z*mMhf=<}HuD1U)6X8=l1nJ7IYDC43;|J_k~%0%f~iOYEdiQ?B8N+D3q1M4-2iyv($ z1?-4KS@A*KT=79Ef`XPJc8KD8ObYmbpg_NosDT~IZ=#2IjI;^(lHFCT%E%EQD5>zf znmy$&-uTBaE4UMV+1}{8<_Ox>>=h1$_qMIsD_Tj{0=6}Kg)8G>&7QgY+8Qm>W6Yhb zY`>$uM(gv9znyL0_*>dM76@{-hdrgQpciEn4$7!JQ9P!g^d^bYlY=rFlW61b#N_Wg z%(S95b#?RwRdhoW6{JPIDB*We}bY6f(W#C$P8RN@6%Vh%W2ii9oGiQw zAF0mR#GHHMWZ{yoQ;506`PO%3pVc^7coj3nI!myP>!`-b!lnA`y3T@+e@^O*O?}3(Lp=$Fwr+@_Fs zEu3w;$q9YhLpkdx&k>3>THfGb zs}riS)JaI!sa6^MU8F0}ST&J;8ZUs}M9-Y#@4d|QuS#_7hKPHXU+TH_Go8@eRtYk6C|_4w2U zyAh$(>Z0&rY3z-l9`MQ10{D$vT7G8lc~jAT9vb^d&$O6DV)!OPZ?nG{H}malhyGkb z_jbzh?L!i}$Dq(hBy`rG(8nb7y@Nu3C80Atl>G8sY)_GLN_3Ei;@K?zZqQZi;rA|k z_~s`TD|vLJe-okQgp}a#5raa@{?Q1H^y1rxEp~EnH~;oQPq+AI;@kHP3RUjYzR2^o z7K=PzVoy@u!u}o@%09IWW#93@&?_Vq3vOWORT8? zdg!iJqS9^%*~rWH=3ZRT7aV1^YN=h&7ad(mP&{OCh%#l|Ky`o7Gah%HE^gEWZ+zX_ z5L^hEZf$5_D;ckC2+2Rd{-dSbV2Jqjw9sm816xI@Qqyf=+hyXM%3-=t4m^b@bMlmhXs9$qmK%@o}-To zI?2%|1YO6`Ck0*0(WeAm!_j91UCq(w1YO0^7X)3|(U$~$lcTQ)x`LzsE$AB^eWT4R zq?DjKsreNIeZ|o?3Hp+wD+~I9qpJw|oTIA=`i!G%bZF?`Uz%2K`q!$b>xG*BMHVKK z4YQqF70=plS|E(GOx)k_yr9@4psWPRxT`y=6jpT2?Qfiw3DyI6$qO!d&P zl?csr*0oSl$J$C8Y{^(#$Tttxe&iu@wq)~Q>Zi+t@_V#vjM7rl)$Koi)uTM!Ll;@jq#g$>|@pbJrZ{-r*Mm);lD29m&jSrRSMIb!f4MW#HmBd2hJv-CSTWa-kb5gDUHmH~{rTg(tP1-<+?9Ld@cGw`tP7+36-Hi5r}pBl(TGsOKzwyihpE z9unGKQoGettMg$8iF=F3t(UD%_n#%daYE5{LieXmw_ZcrO5EE#Zl0`4*^cnC)l=I> zC@gRa>Fb8Vu}VT~?~=Ix=@ixz^f5;_7W9{nt|REL zhs}fDKJ|#lT}voD>FC=8jeDl*Ia@>G#_dyY74&AOJ4w*ElS(OIry;5KiT{sOTLb7& zypXBapL28#L7#GTlAw<}x}l(qnn-O^K^HnYRnU7K#Wu)1-0djyeTm-YD7HbO*dwI2 zv!K^Inlk~E7(XX*ca^yR;V6B0(&gl7;_fNvTu13uGwxN6(px7=pA7|ccB1HWP*xEo z`cICce-cGE5%(BD&vW!6g8sdu|5MPfIr@i!{;i|O3;Jb8KQ8DO9sS>ee!ZH_&J2|R8^X(l~UA=>&*9ry3L8Qu9J?S!z2UQAnZI9Q0xUbri6jm^Lp!GgL=PzP) zte(mcXEkWg5WQ2K!h9)3_(;|BAANx8_0aa(iyUAjpcLsNYZOvamj~5-E4u9H>`utTNNPUj z15_S%_p<$))X+>v=Lj0L*^lhhCF&oAZpcpEepocwL7z>wRFI)1qtBSlAhq+QY@7oM z`fWi!?C3WI4J$}xee`BM?~~M~dLC3#L!YUZ!?Q^#RDMIMHEvMo$Np9Mjnq`z2Om@` zhXt(^f|GSyS=-R?Go&iNF$Y+;&$P81=seSz%%G4nV(>L)2J4zuQwv?Knl|)09^dS7 zhL5YMMIO{L-^EMOWU{n?CXY|Uc!X{8#V;j$zrna@C+~txzS=iv(`;Xq3tj@{0 zwy%%Al#|TwQYY${3%j~*fz(T^t)*^*@9nASXfaY#8z#m9%zyT+E$E~_SRO6mbDVPF0-u|Ez+^9t{7dxG{L6! zpb_e~LFNiJ;F^*gb6peBpzx zFNu`{^%(_4!>_EfQGs4$@|JSeb|VyS*Jt};^;oNm!lhSGQ9T>H?b30sm2H_HPE4|AM>QmZE$kasbj_KM!g%8jl0?ciGv`8Gr2TO-j~i=TshFWt{tHb^%@ z&*(xSK(6A>Qv54hUb-eazY=lC)1l^DBnh?-u)Q2EJo;QlGhVG zuMw&@sK(u_FICf>>gh&k`8LWKcWS<9v9g>iSw6`tC>dF3Pu59LjL^clsL#l2gqFK* zBlH9>NraXgO(Qpd;Gy<2AUA}P8&Go7Bm^!r2}yZfauTBVxyFsHp+m~8$RW+2c4;0v z9ikd7auZtO6wx7ZzjK6^yLAV*%g_=Jn1rS7iWVQCXLghamy>(UQasyrCtu zB%v!Kw6uiC&BvFr4rvmCaEw<8A#bcRU|jy-FwyA6HY0JhXk+Mb=fKq6IXWEZk`_S@@v3FQlaD$(ocjJz2QY z`tHJq)^`^^w7$FWq1ls#4^2-NJ~Ta9_|WuZ;lo7LlWGmde5S5b+1|%*IvO9|o@7s7 zjuzY55JgI6J16H0cj6?9O9YM8c=fTgj*la*o-1;kr*^K;jk*{sPfnAlQrA}t*Q1W> zYrWKy6Dtk1jssPn&C-@A{P8=LhrJN zbnt&C=Z^AkBDAa_i*FAe6k5EO5gNIOZ>f_kL6km1ACb`aIOPXDSLMX7pnUY8(Bk!v z&_f4>YM%ER6sk4G8VtBGP>wRsO^%uzg_PChh!#jII>jE^*_tT2|Bi2H;e(|s+gg*Z zCS4&Z!%NpEO^%QBpRjL|!X)S9_k?cjm%?hxxN!>oNUZnpv7OhWfMz(} zQ>&jRa!$%Edw8#npZ zPl2nKIA^KJL(CB8m zQ?Jb#B7L{J^j#qQjrMk-poe>EbxEBrbnkGwtglH~k2N#(Qq)tc*YPw-?M_ck^AI;0 zYnwmDOHt3mWTAV%(>+&8ahy~5rl4`RLYX$D0-Xl-B!_{dUx?1 zj_zX14pSrk*wOl}%F`rn+Sd2uguy=*%Rg`YTu z$$~C$v|hHIB<>9!cMC!9ceGx%`hCQ83d+g2_j)r)EpA}0m!f`BPyNQ|dLDL=)Nb*z zZ6fG{j_TdeahLV>68Bb*tG7V^+|hd3>UVnADeNYx{m|(uccO-r!Y@3op7QjNqq_>- zYpeND@MLVj11xX(C+ zdfDprR;QqxeAe_inzmEboqfh%26iYTfz-5?p$=t)lqhSXp|Gl;L3edQpZ2)x2>OJh z>k0a(qZ&F>7*c{yCZ*&zRrFDdNBzDk zHNS$@JgAO0V=O(-LX&Qc0ACUct2l*`j7>N4xH)bi?HC87wGBFS>;xKPCmpfI$TIC; z;)b=I_U}OS94Xt!EIy%M5p~qz~@H}a1;SuO()xy%Ox48FOy_P#zsVTYEX57W2`fS57 zt|8U-bqyZ%mYxxEA0E!Js<(Q}>SwJ;-3NV>w4w0c_Tz)r8j4<8Tj5GxZ`$4h)ttqO zxcQQ^-CatsVpGGs*U@VPjkxLAqlTijjAUKO%wbbgDG7Pd{O;)#=1R`OE;&!oV?AzN z6R6gh?Qut<*W(_i^f|(ZKu6L;hPH3gS9Da9zQTtleT5HA_Z2=Yi@GoNnf7D7s+}6M zziRJn>l$49*s!3llb#{^!n&=j^Sog}Utgu5^T*M@_0#j#=Weyn3M)wathxmqJ!Cy+ zbxm9EyH)!3aQ@aUv3h@;^F#EIM>_>ATUh2=w&;&_p%)8+d7cKhqex+ z@S&{(DY~z%0~v0OOMSju*9f$AAVrd5twdV~QY5Oa11WrH>p%)07Hu5}t2{Wf37c*u zd&*y+tn>hVxo7u*uI=bEg0AK0lRcC#U$D1kW#+s{&X~iU7zyY27&PWv+G^vX_1kLW z!iVWJq^><8C2h5F;YEy(o0ZwDOd3eo%5AlAkp~?~gazGJ8yD`>M_;-|wyicU{ZFi< zUNkF{w)w1CgRFk+I%bdIBKb${W`>cX9;ojdCY+S*(H?d^NULHe%xdKjG(j@fFR{f)UgT_M<3 z>=(W^o)tdKYI4@Kvsh7nj%l#e_HAcKk&@t!uE`0s@vLxZBx^Vu&kC<%9mr_&EY=w6 zYN0n;tM0cq9m)Qd=uPK~)`-mYIp#6*0Gvd(SBdG zUnHR1H;31o&_6fV0HN6VdG8V-p!f~=<}~{+?{14bsUq|&qV(>>i`(ELwA{`Xx%p$u z29%$2%G|@2-=6NdVUDcJt5A;H_99f%J;5nQsHQvcR=AFGmu}+%-0zPIryBcG7UHJ9 zsO@rRQ`C0UNrdX1gP-h67W7W6lLpnc-X9n@FNQ4W{egev-`Y>FMJzvDptflNr+evH zBRurXv3o2ut-!7Pn_C2p5k!6EaN7k|_^hjE;#X`<syd{aAnqDa|S=8_xtJijt)h!l|+EHQe)W@;??4-;cZln?|cFFEs zZZ%X|_k3x`(YmvS@ar9GaquCH=I#dQvT|ay=^|0r+rw`^dR<$~&Q2k14f46YxeuYM zyAYyXpk z#mpV6=rU(<3VFT6X{SOV=DhT5@;LKcOB^Sx=ecK4h||#02Lc*rBWPX3ju1Vu{5G$* z`c8;`N?fl^-B}RlqicyH581LP#S!*U>GcxVYjYcslGw+fC605<>*tMgs%q9IC$2*B z0zqS6z!s8PoNhiv&;`!lZwQ6ob-HOOP~u}8t?%wlx+L&n`;S>FJrz05cGh!$!l*tc1dP}oq=I9FL$;>O9rGbHZq!&0d4oO_EW{tsGlsp zp5)lBoeaV~iMgz9=J>iYupgz9IV54u}mEt6`bTWwF~Y(do$tIwY=e%#;k)J8JK*~H`O zOhxRn8;RYwhn0)6rS9V`ZgxnW3L0m7=!qZfuO#%Uo`&HqP6W!{2{d}AI^EPp#0_nf zCv$*CFLJJ=RzFK}1?Ntkldor0klOI;G!~7n30|{Sj0f-`4(~wxA38jc?%!H{%vF4;WVv|)MDh` z#=M1RZOmJ2xurRl?q`g?C}X|!K`-iPu~7K`0ssI2|NnRabdXeU(l(x&$7-`QH2hPp<-$WeyAN_WGrH+kXR548>!TPfC;g)AST2B z3j;{}0es&%b{#5gUCw^*^M3o%B8NWLh#rkSz2*>6153-uL|*LO5~-0<5<)~Ygmnow z(Txomv&6+>G?1zAwMtoLuLT;#{^8^6fM1(fjBSdp(aI!hi`~W@g%}9~_G((2cX#XG z`E?enViSna>ki-}bQ#FO_R$(Az1YY7t{4eJDCh)We zDbdRnqKlT6?$<+ifoQ5q%Q$D9CAtdv?~~>;wwkCi?8}*l7oy$cU2f-~57zUHSz+VN z(H@>!2i`Z{c|@FDvYuctsT4u6#VW|Fy@|ffmPQX?z)}7&Qkgbv;miz>^A%FYRoBjR z2|ff=bcyo-Sh}0A)64dIe;$M4PrC?gqt!|$PoSFYt0U>BDo>|T0@G0PDPnsxR`4mZ zKI$b>44#pEQrc^WBF836tO>3NRRKmzU>ISF%2R=83A&T0wBMrf0kD`jz>2f60cO0P zHyE3;TWZ&!DYQ5tR@<^?cJy%ioas6-Fo>8 H00960s5c2N literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.cmp_merge.kpt b/YL_dec_counter/db/YL_dec_counter.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..c3f6705f04e412161dcc88983949928e10687aac GIT binary patch literal 230 zcmVuG0001ZoTZV$N&_(vhVT0nL+JSYXlQ%I9(4cpDe z$!wwE!8Cc zb_0*NgB$BOk)kgEmIIzun4IURA~Ntfq^g8_B=jbAdv-`^6FTvlf$A&qyM#&mn3Mi% g*-td0{iEiC(3kA&`VRhZ)fdV4{;|Gz1Jr_-Oc#4|IRF3v literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.db_info b/YL_dec_counter/db/YL_dec_counter.db_info new file mode 100644 index 0000000..cc29380 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Sun May 03 18:36:09 2020 diff --git a/YL_dec_counter/db/YL_dec_counter.eda.qmsg b/YL_dec_counter/db/YL_dec_counter.eda.qmsg new file mode 100644 index 0000000..307ca62 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.eda.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588508769279 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588508769281 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 20:26:08 2020 " "Processing started: Sun May 03 20:26:08 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588508769281 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588508769281 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog YL_dec_counter -c YL_dec_counter " "Command: quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog YL_dec_counter -c YL_dec_counter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588508769281 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "YL_dec_counter.vo C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/simulation/modelsim/ simulation " "Generated file YL_dec_counter.vo in folder \"C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1588508769823 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4525 " "Peak virtual memory: 4525 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588508769900 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 20:26:09 2020 " "Processing ended: Sun May 03 20:26:09 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588508769900 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588508769900 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588508769900 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588508769900 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.eds_overflow b/YL_dec_counter/db/YL_dec_counter.eds_overflow new file mode 100644 index 0000000..7d37386 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.eds_overflow @@ -0,0 +1 @@ +45 \ No newline at end of file diff --git a/YL_dec_counter/db/YL_dec_counter.fit.qmsg b/YL_dec_counter/db/YL_dec_counter.fit.qmsg new file mode 100644 index 0000000..179d64c --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.fit.qmsg @@ -0,0 +1,45 @@ +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1588502936622 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "YL_dec_counter EP2C20F484C7 " "Selected device EP2C20F484C7 for design \"YL_dec_counter\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1588502936637 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588502936686 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1588502936687 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1588502937767 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1588502937787 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C15AF484C7 " "Device EP2C15AF484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588502939171 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C35F484C7 " "Device EP2C35F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588502939171 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP2C50F484C7 " "Device EP2C50F484C7 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1588502939171 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1588502939171 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "3 " "Fitter converted 3 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ASDO~ C4 " "Pin ~ASDO~ is reserved at location C4" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~ASDO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~ASDO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 37 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588502939173 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~nCSO~ C3 " "Pin ~nCSO~ is reserved at location C3" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~nCSO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~nCSO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 38 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588502939173 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~LVDS91p/nCEO~ W20 " "Pin ~LVDS91p/nCEO~ is reserved at location W20" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ~LVDS91p/nCEO~ } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ~LVDS91p/nCEO~ } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 39 9224 9983 0} } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Quartus II" 0 -1 1588502939173 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1588502939173 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "9 9 " "No exact pin location assignment(s) for 9 pins of 9 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "rco " "Pin rco not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { rco } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 216 576 752 232 "rco" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { rco } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 9 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[3\] " "Pin value\[3\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { value[3] } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 40 584 760 56 "value" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[3] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 5 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[2\] " "Pin value\[2\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { value[2] } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 40 584 760 56 "value" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[2] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 6 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[1\] " "Pin value\[1\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { value[1] } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 40 584 760 56 "value" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[1] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 7 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "value\[0\] " "Pin value\[0\] not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { value[0] } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 40 584 760 56 "value" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { value[0] } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 8 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "ent " "Pin ent not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { ent } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 128 40 208 144 "ent" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { ent } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 11 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "enc " "Pin enc not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { enc } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 112 40 208 128 "enc" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { enc } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 10 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clear " "Pin clear not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clear } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 160 40 208 176 "clear" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clear } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 13 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "clock " "Pin clock not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clock } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 144 40 208 160 "clock" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clock } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 12 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1588502939261 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1588502939261 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_dec_counter.sdc " "Synopsys Design Constraints File file not found: 'YL_dec_counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1588502939444 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1588502939445 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1588502939447 ""} +{ "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL" "clock (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) " "Automatically promoted node clock (placed in PIN M1 (CLK2, LVDSCLK1p, Input))" { { "Info" "IFSAC_FSAC_ASSIGN_AUTO_GLOBAL_TO_SIGNAL_FANOUTS" "destinations Global Clock CLKCTRL_G3 " "Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3" { } { } 0 176355 "Automatically promoted %1!s! to use location or clock signal %2!s!" 0 0 "Quartus II" 0 -1 1588502939461 ""} } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { clock } } } { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 144 40 208 160 "clock" "" } } } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { clock } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 0 { 0 ""} 0 12 9224 9983 0} } } } } 0 176353 "Automatically promoted node %1!s! %2!s!" 0 0 "Fitter" 0 -1 1588502939461 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1588502939635 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588502939636 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1588502939637 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588502939638 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1588502939638 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1588502939639 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1588502939639 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1588502939639 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1588502939641 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Quartus II" 0 -1 1588502939641 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1588502939641 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "8 unused 3.3V 3 5 0 " "Number of I/O pins in group: 8 (unused VREF, 3.3V VCCIO, 3 input, 5 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1588502939643 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1588502939643 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1588502939643 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 1 40 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 2 31 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 43 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 40 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 39 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 35 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 40 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 43 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1588502939645 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1588502939645 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1588502939645 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588502939655 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1588502941633 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588502941701 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1588502941712 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1588502942041 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588502942041 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1588502942089 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X11_Y13 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X11_Y13" { } { { "loc" "" { Generic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X11_Y13"} { { 11 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X11_Y13"} 0 0 12 14 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1588502942951 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1588502942951 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588502943192 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Quartus II" 0 -1 1588502943196 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1588502943196 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.25 " "Total time spent on timing analysis during the Fitter is 0.25 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1588502943204 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588502943208 ""} +{ "Warning" "WDAT_NO_LOADING_SPECIFIED_ONE_OR_MORE_PINS" "5 " "Found 5 output pins without output pin load capacitance assignment" { { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "rco 0 " "Pin \"rco\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588502943211 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[3\] 0 " "Pin \"value\[3\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588502943211 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[2\] 0 " "Pin \"value\[2\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588502943211 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[1\] 0 " "Pin \"value\[1\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588502943211 ""} { "Info" "IDAT_NO_LOADING_SPECIFIED_ON_PIN" "value\[0\] 0 " "Pin \"value\[0\]\" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis" { } { } 0 306007 "Pin \"%1!s!\" has no specified output pin load capacitance -- assuming default load capacitance of %2!d! pF for timing analysis" 0 0 "Quartus II" 0 -1 1588502943211 ""} } { } 0 306006 "Found %1!d! output pins without output pin load capacitance assignment" 0 0 "Fitter" 0 -1 1588502943211 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588502943309 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1588502943320 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1588502943427 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1588502943677 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1588502943795 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.fit.smsg " "Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1588502943933 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4848 " "Peak virtual memory: 4848 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588502944256 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 18:49:04 2020 " "Processing ended: Sun May 03 18:49:04 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588502944256 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588502944256 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588502944256 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1588502944256 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.fnsim.cdb b/YL_dec_counter/db/YL_dec_counter.fnsim.cdb new file mode 100644 index 0000000000000000000000000000000000000000..7c6a38b6e7dc4cf4c6fad4ffbe09f077866bd7d1 GIT binary patch literal 2998 zcmeH}`8V4M7shqyGWA;8T52ho!7!ylj9OYX?VQkI##%`#CHAF3-l+x&N!l_P(lPC{ zWe~ikwWJ}^B4ddZMQbfBA(5)BDUoDC#ggSa-go|r_nbNRoclTVoO_=io^yYAj;N`r zHE8bJ>#2KnVUMubYpIEt6p*8ny@S0Y=mIt|DFy^_f`A-OgB>AYh=ZdOC^+_7T4Ho8 zC?GN#4RQnp`+(rFQ6Okc;`P1Dh^zmVQ&aQ#^nXyR)cBjNntwB6-&#rA&z4Z#Ty!qF zP3PeA7E{d&rVF~Z2h;-(XqOy6e!O$=th#@bf%fr;g?EuRZsvSFJ8)Rt(o!s+{X#`6 z5Yx!wij^4(qG8)-uzvW(ix?-_R=&~ zxg+!L;~7&IMA0z#m&NXH(9uKA2oe2rMPf~RM(u&gGtm(OUhB$FhPnFV$9W-X}|M5;-7G zDAgZUA02`c##Lk+>egMNyBw|Zy=g7oOUwP$*FRmWx(O5;S&zjP4LC|YexVMT z<%R1IUnm>j#jBe9{ZwX|lnDOa!VfZU%YufBImgU||ouN>_2hY*@a_|Vy zJw7K2mi%~b-37O6a{5owq#E1jq)z;c8hwW)L;>is815Vx^xwgpC$v{xl(hkgX)Y)KPkpsidN3?9J-d@C~(e@(Xy5b zn55yG*yYIzm((@5JJMT3-Bby188o z;&uj#I2_(vW%M^H!^^molHWV3u%^6C;#~9gfH#TB?451g#{32-e&fZzY>tpTaLm{3coKn4_e83zElN@nT6hzMhoN$j5k2q!llD zN$;~sn&@(_cSC1#wAh9*47t*Wn)_nQprb6$ZPU+et+#UusfdN!w=ox71pOTaY!`(+ zAXR#l8VDKb@e-nJ5=C8y2llEiwf?Ptk($w@p_nxgNm zT4xQ28`#P^(XuBIsN=pwaa~I5AD=~Sw(LnM8mHW{1c;pZlk%ejW+sAq5xorXV|Xih zn^`GcF8+ z_rs)*S90fc%SJP0TOpOTZHHUI9s{X3RPRPz+E9bEWE-Ko)vrl2wOW)G5S zWE(5Us|M5*s(0`pptb_Kz}F`U-Y*&* zlXkZW-lh@-@=n*=0eABosXBSxA3zD8Nrp$?`&9fHGB|5HagJzrTJhrMXf`qRZr_h@oG7VQ$ zV?%yEx%olqJ&rQSfz{DR7D?m>YKN70VC0iI&Q~^YkA{a9&ER`?Q^I{+;I|s3TCYL& z7RSyOt(r;xGV6hH#36!J zdz(UO<{PTCxj6rbmGqw}NIhff_{Ts~7l6O6=le%tSPP>kBE76(XJ_ekv^dOG(?0=j z1B*QC|GX3Yec(ay?!w$<94^h^&4jMohTN>MZ;|0{@f>wtEsx$A~O8=2r!Je7ETy5GYj3(R?!xLaPUNRy1_Y;GIGcphFJ;kXdj^cqzW W9`o){em2v)+9?r*VDDvX0Qd`vK=7{s literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.fnsim.hdb b/YL_dec_counter/db/YL_dec_counter.fnsim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..3f8c3c84454f0780572dba2fe6880056150c05d2 GIT binary patch literal 10451 zcmZ{KWl$Y3(=JflwQzvKIZ(XV!QG`e#ogWAU5iWM;O=e*E$&{57A@}X{`GyoJ9F=! zn`H7Nne6V&CYjyYEDj6|Of?$pzux6v75#_mX3j2F4)#D+HYOG(R-mYxm8~fd%mxOs za5A%knZYcqY(Nz=XLlSucTgZB303Qs25k=>xNeA3ak_j$FoTYZoDMTd1c za8S+0P36(k+pV90->sV4zcM|Q&F68}g9GHp7_K;5UV5;!b2T0hAH9@+2JI^=WeSX( zI+7~(Tq2JdL_KUu%V-XaEr)$V4KS=_cmbA@^TU(IcN#WzQ#50lBDgpeN!4}3Jen$P ziNpdz7tFT!Xv5Df)Ah01PWWuC-mWRar0VH?_o(^073(onmD^i0ix^VPAvBP zXA(K*mIImBLU~!|z$vL*1!6Ofh28_JVJ$Egx_`v)#h!NdR4`S>-Z8tJ~eISMIQgn8PlJM%Y z)*r%&8?D*ni}gf_j;s-EqY4-khgEKj7pPy`@{NeMl85FYcC)bYnBFE&o%G06I#o-@>c@JM+`vkW z-LifaEEkt`2{mf^-y!1Vo;D7t(=!k_37+#(UB)zgj5ienDxeAUeT8*tQE6OJrtY(1 zFV9Q9Tiy^t?M$mEy|iWW(5QG}w;OhF@9L4@dmZ7)k46km{&=zkt4E>bYR8b~O;M>p z_#yq{(_J=SE!T^cML+3f(?$G4+bxz~vvQbLbB%9^zWi*n)C+iQu(_D$*RcG3#Oul7mHcn73B!KbS=gQ_8CMvhK?id$Nwq9vNa9UsV5-{zI{ zXGgV0t#%wzXySkPR=y-BxX);%l91@Rj>SD0H2Z&LYN{R4^v6uV3j zK$)qi@)ghyE~`TEOEsB@IY@p9hfc>BDF=s4ET!Ha8>HtrAZ}t*NCXG2OFrN^Rjt)| zCAr0aX3+?{c%&{i=}IafTI7tgCEmyz(_~{P=)(=b@vnYV0o+QW5lE4Ro)JvsGZx$# zHS-j3Dt&hR?ewV>5?{3v{IwIs1)U~tJUp5r0x_8TCxUUZ=!}}U6K}_9)`0{7t(wYW zdnKrau0nUjfDfV(6&WCv{P3v2Z$^}v)ZK9S$DUt4d#K}fbg1JvGqzN~PFCr-jLME* zC&0JA3~dZ7d5c`ZANxlgS$;V@uBM++uNf|sq|ABDZJRRlDzLn-WCn$N&Xw9dF9S=O zifE*<8OkWsBp}p8DTEI}YpMTHP+x;~B}TXx!8C@YmxVMoV{2?ujbh{0zSQtt?r+$D zE4N3b1Yt*+++2^W?!@W+H{88X`?hY@_8WAKsnF_Eh5LMxj08sqA&bgcjuT~F7Y}P= zAGyBH)2wO*2jdN{C(APhdGxsYA>K!GLzlMlz07e%4o*m+wXMCP1PSjNbD_P0gNzAZ zR##$>TeB--^LO`S%YrrD49k`{>gDRf|1))lk}$KiI-AB5QQ7IaoB~^oyl0I{xGcRm zlp2rA&j=}uf-}~a3e~``e{Xuo&{Y!?y4@ji7jK6_^s%|{=ecA>m;c7~@W)Bl!Lns6 z$#rcsTNxxZH}nw{$C1=wkz{yc$@sGi^_nF;nxh zCa$ZBlLVBVH8xE`=qRVYo3n}QYs|UtsDj_~3k_P(85!9SlKkD`~e0y?( zZqC#LDz5UqBZrpFi6>Oi7M6y_TQEV{U0MK3{o5}+EMD}uDQ|H#am{9Eyo9bs!t%kX zj?GO0Wo&%x9A~Xwx7pgr&hmE*@dm0^OAFHPPKBxGbJ|1-Uxpn8$F#@m zHmP?wQW>Y_=e~S7QCfmsGl4wAO2Msi6-$<)C3pb&obW~Owgm>IHcpA+7xEOO(uiS` zIa1qB>OY!cHH;~kHTlPj)v{ht3w;u0x;~}X={WKsbKNQuiAZpnI{bauiOE+LE+^=? zkY9cWkkV2}lG9=mR)lngPj~a)2og5@G3O1HqBI)g*Efcc$M$h%X&qoYGtE@UugAOh zm?kz>cxr{b*dz=B5->MO5-ru#mAhJ*`eXb0uuz5a$Y1y{(fL;F2eCAQ^<%a_f41KV zVt24D9QG0sMz77>YsYsE(&qc-iZ+2D8?N+vL_Uqu!Zm=7Tx)`zt5lB!Lq)-#){Q>$ zH>XCmP7P66@2DHg5#=u@DM{j9-6sL%?Id+sru-uFGYg(C$v_c#?5WLYxVf* z(UELYoe!4Ml{s-A=quO!s?IQ^g|JxH5^}^cP`ELiSVE7uo>;B$*fbaqf7(Yp_25rR zFO%Vsyk1ruHw%1qFtIqOlEm!%04cTRqBdcRWSO+kUo9SOO1G__^kP}US}n+W>As=D zJHfzT)v>ucG?A75I)il@ZfxY4RaN%VsB$Z9{pvS9E+S)YAOCFWh zky1`*kiS>w$83DHGdYODP5c-@cw+&2_aU~JmHM060wmwTmeK>B?X;8Tut541aJfyv zMT=>@o+N~S4;h;Y>A3wh*${^=rC>q89ZVPvkf9i<>gOUlqTrC)&aL8!9Sktx=OZD( zk;~&H!>M%_f=TTJCkMmgMZX|l0wFQn>~}qLsWl#F z@lN(?3)Sw9a{m$XPgT79g-)N9n#xuMLL=H^WN6%k*wyTrux}tE7QdUVQgTKVuJ2~F zQ!-0mrj;DXv`%}jY$-MPb~n!(D{Ie++HPdVltX|!@t9*sx=#(Os#Z)J!0D0wG@0YA z!qMCDJ-~cEqe_nKwE7CYqSw)G1M~Rv!MBx%6K=Ki>Z6?`hWSB_sd@kE3)e@j9L|)d z+Dbj`-8E~k<#CJg^54F8_bS8OM>c$;NK{X9^RpJ@xfs5?sKh!9$d82~9Jy9;)VJ~K zFBH}WGG&V#@p8Ei50;4zWnqI#E0UwNko1M`w>OuuLtS1`kV(j{?skE=&1C$12((U_ zFuk;0Q8vXTw!11MelK~yv9vZTT74sY?n_x^gt*1`@*{RUyOZt12H4|^WIA1P&8gv8 zsmzK=Uw+EmcAw!DlH~+%$)*#*qe6+RhH;7JsglRBM@yCY!GGJLmq8tvf*J7^cum;y zg_zAVlVeEdn>z1Kf`>&M53+I)%S0yeVlRc1g9Rh zAXDZCR^J4vz<}X`S0$X;n;VYWu9uK>8y=JZ=p!}z#O%vC-dLsDM*G#xmE}uo`nh6> zC59bL6Y2uL!%W-|Z?c7|LZ=zAqy+Ie&V1HS#0_@a#-}Hyg0&x75z^CBsycN;jkTD6 zPU|XfZywVX2@TrP^Cm-?1alDVykA|YeT}I-B~PaoGjvUgyfH~}^FlbNEmmx)Z+>`f zRcuv>J$Xw{n&tety}nI3Acp8o{ZkB6m$RT(gR#*e1P#cJi})j*X2%x1WcMgqJ^K#l$P@3NLpL zCU{3orkTNBlJ1XICCu_rp^@Xk$(1Uj>NqzerR{xHE9F;(@hTtFX=Vn1%(t7u9SMKV z94)2-zkZdomGo1>l=0^A9AT6AqDhJlw^Ca%-qX{o^5FG$zXO`Y+85g0fF=h~#b?0b ziIlQ|x5Ajz@!_{e+o$c$SG;HQl~T2K(~Y8lD`?VxUW2u6^wS#=Xb8HcfR1DFWu)ZZb&qRcsb{%D;u_x@VWn>5v+{OGE8G+uf;rlP;KLGM@c zTB`Pn`)sk&qV^Vldlm2T{PmXk!2B&`t()xl&IrFQ@pXnsnnw>=YUfCra!X`0LsTMLr~FKDbxtH z>hVRZqa=JO@s3<61`qPZNh)})s|mZ68qx+rekNo zZ?`g8?-kMI$Eo2{%GqtBtF&>8c?qEo0`^oWoFpqoVocN82aKnG5k#?N8Ka#k`3Z-v z-Z?Q{qA1+Z|N859@nTVGxn1M=`5GVWtr+~ibP<10fSmLt5X7$Pwl&vJM`g~d!4}0< z^6RPLhgQ6j`9#y9ax9|Ee3${JNu+#PPN}$;7YV(a1n0)#_w)>Rl0O+Yr9`*R#KE$*SCL&jI2p4NLMs>c7|epEYX<~`3x9iV45( ziRCKBfoQosJ~WW8E1a4{C>xZ87H`KSd$7BTUh^~&)n_gjV~>Z+64SfAeUDOW31t=*w<1{e#}P~&wtzuc3%MgCY)4Sx*wo>0{vOUmUc zYhQ};%fFnu1~etaww@#+&To`=GO2$leqx%aO-cX$SEEfHSw7ea&y97eHcCD*;!wHz;+J44J924R#A>s- zfR~4jbqQ+q0cg>ETEw;`OTfzS4xX&(8`OzZHa2W1WQ)SVlqZxsB$v)2k}ZaB03aF5 zE#`MIy|C?havR@jIk@#t)Qs3yu&SFeHd@u{FBzIBSU?1e0tkme9r!~lqb_2VDNH^S zdg!WIWeHg`x;?aP3zlkRq%pXUUjJ1kPcEx(`Cj-#2_X8;?v$O$c$dbQ!~e(!^Y zbe@Jz(KSo@FN+sS@%%@I}QU(6OC(zLJ&sKo3P2nIP*I8tyL z22IJpqRnZ(u}Q@vZi#mE&*i!h0(BdC=g^tC{02pq5J|*MH&^@j5q+GhU2Jd5DKn>6SZL}XR1?cX z-(Mmwg0#AxK}^5oGQ;qPbd9rgyDuG+BUJF1I8g?Ct7G6&v%7xUnjt|n7hghxKphC# zcM1d~pGe`5IW%=4HrZM5^j6kGO)3cPdsPljL0#11v!YR#6%sNgifj1pJ) zLICG-L%2>T9nxLc$Flr>bomef!;Re5>Gwfk_w1X7fnor(gJ0Dx{`-AF=wF?HR1g;2 z7T2{wVBXQxW~Qo0A`f_n7US@Yj}5HwbZ;qK1bQPf^h}98N!!EO{bA}CH=l6lWyi!c zJesf#+iUQ5$Ejd%X32#yJsWI_0(?{V&6(J7fZdeB4M$=qzB07RYw&ID;8&{PWiFkT zg7r5SAv_>I&=FKRJ1oCrV!qYLUcBlSqw^QBa4cKxXyUJ%tFY3$YRYOQgrR9Q0ALID z95Q2Js%xmrgro#nxB25YiRWUna~=HX2sd@qP^p4&_P77WKQ@!khj0~+M3rc2WQumz z(cJ*#^E-k*@)sgu+bfmdsYh7PujhC5GL7RMC3S*Z1OecG4!qe2HgI(}&VHGTe@=*B zRhw5yZ#1sOH_xGU@lR>E*#ZOXB^H$h+{_&J<(4^Gm34EE*5pU-jz4hBBCuyHA%m5j z><_<$Z}HKM#0X03r$-t3Ct&rLf5vF*1BOzj?V5X}uVt$3)rV+N*#kg4P zEYLr|fQDjW+?X>`jtPE?h+PF*J7++q&8peATOS&21+{1`WuNP2ALBT+G~VXR%zD8v zZmilVLjSY%!-U%Z;|sZU7L3{ZftJ!~pI1i&{* ze-7-)$!>$fL2q;WTDy!x_4GqdfHN!b>hl9``V0xIvI=MxareyLXIeoov$GsXc+S{gT9|=ZA&m|xscI2N%QL)Q zsE#M&cEuRF>7(e12$Js2uk17%SF5wmhIdGkWvjDhBe38dAi()EkTf5We)sGs&mz>j zWa5|>;M|1HBN_g~bfg}w0IkNn+jabi+v@_$zakX&9PN>J zHp^S=^uE&gTju7YQ*IIV$Yhc|#P5Ynt2??1d5?hY$(7od68@-ruADfE3G@uo2@j-Y zJAn`9pa}=z4aiw0BXQBt*fTj|fBNDs<14D5-~$`cJ%>j|U)_%fWx4mhUI!=pWywv* zk0b{s*kk5oeQ0#RoKSHwyc-Yl(#D*4<6%*p4&s4H;}>)_R9F?^aYEW3_ykA#=9}Y6 zd*G_mLUTFG4wu|-IE%K=+D6_exPW%b#_uor!!@7_gBTATgEb`YcwH3^GUr zEsiIgf_EwdAAE)vO{onaAg+-Fhkp%jYw=D8oSX@>Y4`1?ag?k1L-WM34|vC1_yM{< zc|2sj@1T`UhmtM?8$)?Ik~)e)ex|Rrwb@#5ilKh`>H1^N-y{!lvR{cXkEgG4K+O*Q z^%f5e-QRI==uyu@hj`*zG-GoAEIb4e0nL)Cp0~%pqh9SENICr zab(91oIK86H2He-U;wjOdI8xK#Ej2rN2C`>SVpEAm)ts?UDpP}FTX)ERN>2KlNzx#j5m2s7n9cL(Rv{VYHQH? z%bDeafmDp-?Mz&I>9A1%5?p}1WYEYJMkT!orgWt5rzxq48T*%lSiDSX8`NBrT4|D(0=&ZE&?3ANr6qeKos(cAUG~f&o?N7@O&vhSajA zT>vC)F78f#O(!EJq@d%ykXNP1P2e5Z0FA^zsrUc^#g+^ZKGW{M zCz1(!sqsJqPS!CUsRr*b375%qxG1={M7ByrA6mMAy@bX1<0Z*{fC5rLSfdG2u$MZy zI`)k?do?KzqsTRV0`30PE`h*g_m;IixL^>AADc10S1|mNEZS(@&&_%);K_Vfil;xF zdfA0PrZn;buVXwI5}dOUZL~qWmuMCnGW-Eb-k@%*qTsP{Mf@_>Hh%(d$OEj=t#9gB z=rSGkEn@56fTdNtVmAzx>4Hf(QRn#fc-2j=oI03=i44_S+PjNonk?z}8-}XR6;n{8 zevjN0mM*C_cR`7r5B?kWClVez3szkHTC-+0dvXAGmXhtzP56u2lc{t!x>q7P07ksw z6v*NqPm+XC?JE3bHaneh82ldHh?Bah{ynmhG)hx$Y9ZmwXy0WF0@KF|uY(Q2^e}Q! zFzabE^pBf3D+U))i%q3~C<8C3@f+)%9UwjoGe=piGg+;ybDGAzkJb%Dc$$^Aq%}tGTc{RjNb#*0O@nT z4WpXSR~k$EVAxk+Z?$&zP)XB~Yi2n6dV^Nd8O-NGC?mB8Z<=PsDuT2* zlu@MHrLO+->-yjj?k$ob_y&p{057_};%I=Wb&S^u1h6WlL}gd*{c1uZ$@p909qVNV216ZfwxeHU{;s$SWp?H^5`K+ zm&EJDUZjww9lIDdk01GRAZ6CVZaYNOMn{r}4{!xyXI*E4cXYrzk^tvq^v7oOM@*o9 z$0x)Fa;MfvZc%YtMA5nvDvIRAaXF?G(D^@e@dKm*v!w}b^qxs+Q_7tRYo{kXI?^qh z-VycVBs_9yQ=-YgML=3Y<@NMx97LzsI7tiT!XXjck<_UuC2?!@=n$!0E=r=_bSpz{a_ciWK_SzDEju05zTYQ6xW0 z41A#2646TRj+7(A#leb>loB5(6h`Lc!>9~?tMNi?$LI5Ue(|y^?tOcb5`XHx3L1JbuY71;Z0&6b@fPIcyeV7rTk@ zh)uCy5WmLh+=^EFMDYom7V~A3XbU1-;RxTz#l+T<=ytsQxZ<^SBBIM~a`v5FcEj7x zM%Olf_=tMdY5uBI9lCFp&TaZeq-4FRZ~lzE98Z`kkB65Gjit<_UR0>b{<{t@c%uqJ zcY~V=fSG*kH{7@o)(Cri7?8Nh7O6ZVM~r%3uI%nuE#~Z+yJ$DTU}koafqgMj>_ zqsEmAZQmz?{#V&ccc&a&4bw^^E5G8%RvB3i1GBPQ2vT@O*Ll1zA{D$!z(;IO1wRYu z%~RIIHnA{xdDZyJ3+EC6$EiBk9Xx`3$r%3*3Zad zzpr_U3XOMVpR&1v?B!~v%;u76vN$DWzDCAQtF3go^9bKne!7IAr;T4!==hkt;!bs1 zw6`lL!<(hQ!M1s>Zh(SR?PB??r$T!%${7mLyt~VBK6mtXAxBe!)?68Cc+q9?7~tGS zT3V`kgsmDi8ftm5+@4Gy7#uR@u462$SU5rr} zF8obS%kRo(b?y}nrfmQGbhjI)Au10>az9&jM>Z~D z4Ghm}PiG%yPu?>fE8@vyw~DKlGx_^x$SB!Lj;q-zkmIma#|m?U`dye|a!@r{@tI9N zXIjFSF0PPltqsF#)0M2MmIvLyC5M1Sj;(%R_!m_U=TwpW2|dG%-mdQBj>T+|3rQx; zT2$9YX#A=bv~-hWmi0yGEvwJ1vtVpY!G_7QS=iwGLj$moj)4bc>B`4*l> zBp8Uj&1YDwWC3?he92>qiKhDvKvT1XrNN*8GSYYQzFsGC@)L)zWDf`tTE2E~Wo#`A z75_d-eyhy1(W(fQOe6XnJW8)Y&3SJMx`_mD7?SN{ZeL2Q9(4n(c2eBzk9)uBexznN zw~XcR^V1m0%2n1+)u%p>?NIL4vx*i~e`=qtl7AzIfq8%Tge}t0u_cfo%0YM461LP6J!p6ftw=S}DPie+o7YQASoPE! zU3GJtWJmn$qAY6u%3{X`ih~s_Z&Vi_5V7z@v$QJmk$lsl*5$f*GU5yRkS-g zCFKl$elDtS3d}Xh1N}>d$zt&oy~1|KHa8G_AC~qEqRKz3F{g$K^Bw;I9|Vy5=Tyj8 z_fl3J^K?Pt7_)|{KfL`;@o4`$NG(Fy{rLiL=9EPxg=tLp;O6O%M@>wEfkCLrDLH8X zQYEEI|GVECldUZz-^0v_K@3-4@a+9*v99qKbz|YL?)r{9bSC-;$!O>HYSm=Iy_c6$ ztE>v-(%=krVolU4A^zI_z{{l=!A-SP78000@2Jc`Y`b9$V2E4o!TxPie|CX`i$I(W zWj>j=Yl^dl=npXrdCnk3GYww^69 z5;wqj);R|EFH!1;z~oL}Tlk-)!eSdlebQ*9@VptOZ)O0YEmK-5t$(FaYQ}zlaXj&|giPlZHb6N1{D|w}}D=2}dfG3bzwbPzP@u6^Q%;RLo zB@7EivGO`#YAl9sG}<3xIDxi>iJ1zDN@CSVlL*kznf_5`%ShfjU|Av_Jg zmB)~4{|@)cNF^Hr7IraFU;g_W`t|h|ln$ zhJZsSqw%Nw$W#Sdk!PMvpR}`H70Hh^Z5NjjWgVtaPmFvePe!kY#3-y~M`Scq0l63Y z>At`%)}h7&?b!#!Ut7Ue#QRXiml$tPaAEQls6kL7qh?I>h>f`Gn2F2KN)|TM@k#8a=J} z9QM}lnPoClJS}8SkHBR9P1-Efyu$rs`?NSs7G3`D#!Ghg5?I5}{eYuGEdl<;nZXb( zRPWsbtlS=k-<1En)t4AVIU}UJS_>g2VLc_9t-!xs`9j? ze3tSpn6@E1Yup;XSYQ!P?ys)3Ne7hE75k3jPkb!w1N4A~md>jgg@KU=745cQKIF$|av)wKZq!-)RA2XWT!f7dZy7qfw2Aw{5s|2XVX( z3b?6ce@m&^u7;}g=jYFo+FQeJZw5zHOpt zXEAVR+N*ez+(htV*R!hxjO7o`xs(ozCkMK*zw3>^dbeZ1?N^f8%eJ(K4so>~;|rBu6Q*p2OrLKf%Kz3nK-`8tOKY44BDdTG=_#ci-30UCVy zup`GqN5GPIF$NCQlv$&6O tu||84ak9?z7hft0w9wSD{8fb?;QCj^DEw5Rf}77_6f3X)G7aC~{|BhO8Q}l` literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.fnsim.qmsg b/YL_dec_counter/db/YL_dec_counter.fnsim.qmsg new file mode 100644 index 0000000..848ee86 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.fnsim.qmsg @@ -0,0 +1,9 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588508947564 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Functional Simulation Netlist Generation Quartus II 64-Bit " "Running Quartus II 64-Bit Functional Simulation Netlist Generation" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588508947564 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 20:29:07 2020 " "Processing started: Sun May 03 20:29:07 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588508947564 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588508947564 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map YL_dec_counter -c YL_dec_counter --generate_functional_sim_netlist " "Command: quartus_map YL_dec_counter -c YL_dec_counter --generate_functional_sim_netlist" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588508947565 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588508948200 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec_counter.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec_counter.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_dec_counter " "Found entity 1: YL_dec_counter" { } { { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588508948269 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588508948269 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec_counter.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec_counter.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 dec_count " "Found entity 1: dec_count" { } { { "YL_dec_counter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588508948283 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588508948283 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_dec_counter " "Elaborating entity \"YL_dec_counter\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588508948393 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dec_count dec_count:inst " "Elaborating entity \"dec_count\" for hierarchy \"dec_count:inst\"" { } { { "YL_dec_counter.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 88 296 456 200 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588508948401 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Functional Simulation Netlist Generation 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Functional Simulation Netlist Generation was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4578 " "Peak virtual memory: 4578 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588508948562 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 20:29:08 2020 " "Processing ended: Sun May 03 20:29:08 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588508948562 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588508948562 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588508948562 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588508948562 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.hier_info b/YL_dec_counter/db/YL_dec_counter.hier_info new file mode 100644 index 0000000..dd0fd51 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.hier_info @@ -0,0 +1,30 @@ +|YL_dec_counter +rco <= dec_count:inst.rco +enc => dec_count:inst.enc +ent => dec_count:inst.ent +clock => dec_count:inst.clk +clear => dec_count:inst.clear +value[0] <= dec_count:inst.value[0] +value[1] <= dec_count:inst.value[1] +value[2] <= dec_count:inst.value[2] +value[3] <= dec_count:inst.value[3] + + +|YL_dec_counter|dec_count:inst +enc => _~2.IN0 +enc => _~14.IN0 +ent => _~2.IN1 +ent => _~14.IN1 +ent => rco~0.IN1 +clk => count[3].CLK +clk => count[2].CLK +clk => count[1].CLK +clk => count[0].CLK +clear => _~1.IN0 +value[0] <= count[0].DB_MAX_OUTPUT_PORT_TYPE +value[1] <= count[1].DB_MAX_OUTPUT_PORT_TYPE +value[2] <= count[2].DB_MAX_OUTPUT_PORT_TYPE +value[3] <= count[3].DB_MAX_OUTPUT_PORT_TYPE +rco <= rco~0.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/YL_dec_counter/db/YL_dec_counter.hif b/YL_dec_counter/db/YL_dec_counter.hif new file mode 100644 index 0000000000000000000000000000000000000000..8fa89850fe47de1b5bfcf2da0f088c9d531eb49c GIT binary patch literal 451 zcmV;!0X+V!4*>uG0001ZoSl(TZ`v>r$KOxdcd*o#?IF~5APIXbE3|AWY@=n`ljYc# zVKq&d;~*j0?>?uYEmb!jrXzbNOrzGkJBjaF+wl-BsSlFXIMn;V( zG~pp9G&*Jclv5Ux1=@{KNWMySOBh*vCO%FHRdaBHiI44BO8igrqENjnXgKfd8u?j_Q z3WRO(DlgMH45>~MDrGX{Dw6$NDVp&?O!FKwJ&XsyBVWX{`%77fYTcqHI~b+&PhZ6O zcrm@0iP_oJbanw}H`jA`@Y`1g{j6%$PP>Eq$Jm`zwh}e|Zm?7cj|lV&i08hJGhqK@ z!2XYc^9ggMnbi6W^Ih<%NwMlIHrzvmrnWYJ&<0fVs9{6Mn< tO*?H>)d@fBlTe*d#qEBA;d93y{b24WPgUKm3v>wY{s0!c2$!aO-WLD> literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.ipinfo b/YL_dec_counter/db/YL_dec_counter.ipinfo new file mode 100644 index 0000000000000000000000000000000000000000..fa2304dd52e67aba538ff1d8d0aba1434af3953a GIT binary patch literal 177 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.lpc.html b/YL_dec_counter/db/YL_dec_counter.lpc.html new file mode 100644 index 0000000..a83b27f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.lpc.html @@ -0,0 +1,34 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst4000500000000
diff --git a/YL_dec_counter/db/YL_dec_counter.lpc.rdb b/YL_dec_counter/db/YL_dec_counter.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d309050e8eed53cc2f10d514207a1d8637a1ed0a GIT binary patch literal 450 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR&Se6c0t7xFQ-NS1gkm@Z6MU-W}yZ=uX{$I>uE{+$vF_FyR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRvH%SMfqDqVzyzf`p>zdfQbIxki1YtH Ihz(%@0J_E;_5c6? literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map.bpm b/YL_dec_counter/db/YL_dec_counter.map.bpm new file mode 100644 index 0000000000000000000000000000000000000000..106c0eccfb1918ebe47e6047141d017fe0c17b87 GIT binary patch literal 594 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRMz8`+0fK8FQ-NR>gklI{f-ou=&jkDW zA2#68oiF53@SN#?!;#S$ z)$1=$Z~pseOXRfF_P)n^oMMifD}HA!y4atvm%DFUV2l3owW>91`oG<+vVWTIe7^QW zTJ!yw()H|nHad5Bb9_5t>FX4b+gH(YAj0U>m#7xGDK_sX2|P($R_@1f&#iBc;HPst z6^ek-JZZ*&O#g?0~NmOsL-~C>x!6f%0 zBrYouBi8b7^OTFIkNYqz|gcv+KdvV3nMR8w*3%2|Uk6m!In4f8$^!yo#(@I|7EH3zVIcH)wmPG7AeB8`m&Q`rj9ie-+;GFCyhTa8-ncy0O(TqK>z>% literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map.cdb b/YL_dec_counter/db/YL_dec_counter.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..92ead3dbba714c4177cc43ac35aa7ce0a7896cb6 GIT binary patch literal 3066 zcmeH}`#%#3AIDXms18y@F_x!Di@7z&<;+PG!a>PabL?jzTk zwGrur)5R^8X=5A+}BQ`}zLxd3}EPs>;d9)$ZG|t&!Vf zzfEuSjYupZ3}|X@Y+`H*w2#7uTmzb!n*mKAU{f=&nTe@6&=Y+l8XJTLx?K&z15JUR zPCz6&5D2@5C2l*v68?8iPVVZSzaS@+|C`c%e=~N+_vhW|0EAj9sCHn~;$)}INxhkK zZb87?S6m7*R3>~(sD-<=tv*|Z>p{58!+VwoEZgHR86HtA4{H+syy@7I!$;cP&My8R{7f%?IdAR z3Ji77X9wsCtQCC4y>+@lnf06Xl8w*g>09azJH0z)I}df?mFKSjYJ_k8S^X!0|EB~> z{Gt$v^o8{i3zspK2br_$L6FVtP~j#WP|r-p=eY)lZ^#kLzhj(DmPpQ(gEKf~3Dv1wh4C=v0&OEbup_OZ_rX@bV8_`(6#Tlmrx2IhDpu5*>W&-&k9RI0-8)Ee4 zo?=|!+50NKtZK#eu98T%X?XL@s)#($>A5uG&6#+gaA>ge~cFrR=#{UbwB(%mHA&C4O*56|;!L2g&YVqb}%Ab^v~K5w|_rVCt|cd2|@ z=Qz^3W>FE^yf&3}llb*6KZ9cijS3ojY0Pv*fbi}2#I zwfsvEzHwz{-I}zs70vW_KZ&9@{oeQyf#m&H5EOAw8pRx(7PRtKiR{r#0cL!O7=jgs zHOIork5kEApolu0qlCf$qlT~3Lr@#7mlIB2rrh-1*88Efp>p1PUVINd^%v$)l1x+V z$)%h&%BP3Xd9oNUzxDH%mIVWJ7OBAiyXvjW0(d`xNR~ntu4oM`AcmR?7C*9sbfEUN zdp91$mh>AMUt&6#(-ktZ<0*7}-?$O~F%+|z)#Uujp$}|CR<8ifwY{W*-X-RJk+eA< z*I+7Lzl$d8>n(VVi9rILYEg+{d2@U-Z6Ic`1}m1;1kC-L>Y0KmyWoeSZQ!`mJ$efB zj*nKe&vU9;4FwEQ(%r8f_K&aXFjV{Ube(-vOxYm==dpJz6c zI+Xwvu9w1YeZqM8@OPAs03KZKI%I&J?lff8AnT85YM;9_O|2n#v&X0p z^mQvM@oNA+Lk7rTwu(jduL7u$DT7|W!Q+yPrnn$UNG!#5r08%&Kl^k#pqngR=^?gb z{h!P+H*hXw1|7y0g0!|?Dp~#MjDB% zLXAZ|E8RaJImoXociLssip@9b@^7&9RhVtFI7F6q#w~+;{a(VY+f7QLQ#S|VTlkc? zh0C>4i!HCwt?hSbYb$og9j{*eLHGTn&;y|~th5-*!GY7AvfQ5BW&y(>;E&a^M~h*3 zzjvR#0G}&1h%`~WU8!F7xil7}C<3M_s2sYZLpxD;ZvEi7v_D`W5y5%z1H`M*WBHQa zrQV)=?jFrsSUJW*vhDr74~ly*J#2QF zRhe0%8QE>$NKA&)Dd{^}lxKOAa~_Nagq?8&VLTL9idIaWgX7TmPjN4mZP-2Ce^Ej1 z0Q`1Gr&;>obLSDtMnhWB+eLAVk|@>)hzx%Mb}6=)zg3%|&UH8lLxVS6jl z1^0-cXP+<6L-oT^E}O@kV{V7h4&?2zVAxk*h&Kq6)w?1QFOw=AI$$)GuKjxx(po|g)HL<=E${0=4V8<)fca?>c(?n5Cf*Tb1t8UO zhGB^?EX5g63vxcEJ}V24d3i%^L*zHns=c0YDt)JQ=)+sppsC#4@ZsG#N`IUt!X}Qs z=^#|Y-B16gis(AAbd&&Dip#O9z%16jm;b5MO@*SBZl3X*p+zXnQc^J=3bZt+$Xd3w zDqe%!rAw`JLtosJjMNJV0w(%k+w)Z;?rSIgB>g{j&QSvbM$1Cm?q_XQl`ZaB0RL6Y FKLOLm37-G} literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map.hdb b/YL_dec_counter/db/YL_dec_counter.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..583115488138b77eeb3f8ed12d585797f4faabd9 GIT binary patch literal 9719 zcmaKRRZtvU&?N*75S#&mJHaiu`-i(b4DK3Sg1fuB%itc|-5r8E3~s|__kY=a*}7Ht zRCV_~UEMFYyAB2v6jTi|^uOEX-x2+X8bD_kD+ha0R(2*9CRS2WH!E8+QZ{xrQWj2T zRyJlf7FKprRiLxGl_`)^$=K9}l$BIfhEx-1LMmZq<@)bsDZ~G!gMuHQ(wAgL5;AH)-Cuvd7DM^^yo4*+>y{(uV^F_ZyQmI;_ zJyo)mUp0{2o@nqNTi7-CK0KX7)Alxsn^+eacfTR$w(sn-Vm2b#Mn9bF2at5$IjJnWlD&C%`vEFhoVEH~B49V``lGo8+VDFI zpZLG-D5e;Y;I^`Qr%R+ux6w3Rp9jga~B#gUxL54-U|}YHo~B*;-!); zADS$w3X1n6y%RnPoP^0hU_&z_9_9ak3>?!ijX7&t2uiZFHb=D^++FVX?_|xKe^Stz zMQ>;|5GvQcTi8(Z{C^nCh%J*59-SOXHtfBH-$ygs_r;FmI4g7s{&M-=^h8HdQ+wux z>N>@REBuKJn&>3Q#LAdpz_LLMl-XeS;a~b8PR#T2}de3&0btJ%&mRz!@U&U5? zRFv+~eN6MfR(SgZ-S}t`13~>eK}g=U%Vsx0teba3R~w|{{Nyv&KJMg>FvN(MJ6)r@ zr?i{}i7u|H0}8=b(8_D8)|~3@9V6k(a5_NDro46Yd5Y5j$u)n{lrkAf4u>CdIy@F8 zQ0>>)-o_Rr$gxFv>22qv_2;+jHdrcG#|acSUOA6S;!0^+1+KWyq$OTSuF@QLPZ^}{IxQ@C6iC{i=wTi-yB#VS*pj{U*PMv&J-5qR z?S1yKk#RAua?hgX7{bE#9*V@0g2gD%S<^^RQtCT?H1uDZ>G`o(rfbB+qDk?~^&cXL zQB__%U4RS!EEx|8g;N;gb%7UcOqoj0lhNO)5C8qdBs}s#%J8p zK^6=vP)aq2btd@PSk5!w_MRCsFYJ=RmBx_4<;|2~F_j#Jz>cry7r$Z`1W1!3H6~gO zqvlN3v8@KkAf#||l}Xj8G{a?k&wuGO(r3*U^~71|^7_tG4H$B3s@dMOrnl!usJ5=+ z9pTrFP0yIKd_Rn)80GG6Rld^ zYRU(VAha85%Eap_3&(wy%7OU6Nq+#uvp22oWxklQLVsY+MX5Rw^%r^~ zi^tY!3A}Bu0p0_+mtE$hXh!B>EL+Vz?lI5sTEr!``k(1ys__J=sYl2*SwD%s8H-KI zaBtQ6jQz>}Nn!f6K{cYmi0sdywjC#@;KlTxHA1_;y6E?mxsNRJ2tIBNtZiotZ?hv_ zGg`jYPZ3VGUm(n9a+Ro zS6qUT_u;`gQ$LPr5n#+Iir)^xbr%ktC^1x}jupJ%W+=D*kpPLveZHO1_uzD!ozX*$ zK|e`p%%P@ai^)ReA!M*7wsLSF{%&o!$lGOPxxIShc$c~Sf}AxnEV&ZRpc9UBJ}&G} zc@lurh$Af&=9@F{6k>Wwaam(JWqJpQohGrfBeKIMvT`7>A-1wJtT(c3(+3WYJg-^! zpq8jTctZ6Q5z0!2@pW;DPPN~VR|=Ex5&pMn8))uqhyAoA-o@H=^p^)Wua~$`6jy{( zSz7hu*k2oZ8v0#Aa|x73lPp;hdp=TSI{fR!+;6>3?IpLYfGOrltlY=jP9nPs>lgpR zxJNIY7^torOjWjaX36`q3PY>v0O2X@_joWV)kS!i&(oOpy$RcvgBX3BP7PY-Wu7s_ z2qex}S|s}Y$gBB~=bBPeSU0iBYH5;8o-wn?d@ZLb+K3z5S)^jUuldn?;Gx>X;kCe$ zXh;3ge89eB$H*pcS8rg~r=j>TuguKTv~;w8TDrF0|EV?1{V(*A{o6u0c>UdsZXvdQ z$o#lAJGHcTQH7+GSD|VpIgfK~Ud~Met-I7Ik@H__GY7_=yjr;p{-IR!1+JV9P>O)O zK&f%*M(lU>m6k`-yKCk$r>puGO_?eWUzNO-mg%h)hP}+?<{|2Z zRP!nX-As9NJFCwjns`06GE{bZ`N9@(Ly7s}9et~@+Z*!F+{0{3UGTm0Wd{hg>secU zc!q;#Y5kL7Sv>LmrkE_(!<+Kfr=RXej{$@=C5ry(KQBX$RntV%$X+4puPr@*JY2HQNLQ4w~^+ zcNv#n{i(}{y{q?A#s8YTMeRbJ;;UIdbabAPY<|~Vqqs3|uJXdBn>e$_**og?#*Uy# z&&15~K3i{NnU&MRalQT)(_rJ^HJ~B*uf`qM1-WyNTtiQ^ys;U7xh|%rH3!+O*pJ+p zGjrV)K8?Ie#$O$|k!vSTU1$?(wa;!s=aUCCrSc<>IY08aBzu#8)i@CJ3iNJfts<13 zH%c!4mOpY`;P0J0)c0q~3`ecBTlX=S&8nTTed%(FtYNi3cD-9OTOWqf&71lpo04YK^T z97;QiK1*C<$4Z?tUToz3n^zoaAW2|Z2;@z1*2-ljB1(k$G+9#C6PH z#jEHt>l9++`eKvvY5kO^24T>jQ9QUsgUPEx5F(Zu8OdSoUWQP`#MM3C>wI)J9@n|~ ztgTH5EnKF(9?P{Ff)uU&RsLm5yGWX+dh>9F=v*dU%*@+#Lek!8mUgCdnNoU}UB`r) z#~oZDM0UN!z03EPmqI;t7Z!EDW}fnn4H<625YtA^Fk#;4$e$(Q;avc3GdAhr5;wdd zWbqgjL0lVnjNN~*lv8hqEyb%H9U?IsK%=2nLA5SFu3Z_iy;p?X3ADn#;Z0s}}kZ3RUm` zwV{8;Ivgt>$*9Y5dxS#B!nyn33}VB9R?@+AdaJQG)Oz=x$;fr!|Q8&?5fSK~l_h5=>ouK*Lp?kiKGF0K{uY3gl)wL%C1+x!_#NA21Wej;;9s&5k+7P z05ziMw_}!rtaIUQfP`nMgz&HOvBI`Sr(1%U;*=JFXPQ4)x3&Waw1aFKn0HHYj=9^= znQvUQqpA#Jn?yf`|7cbuS=bgu>0?i6$y!7jHzXaq^2NK2dZu?HlASPrI~8tNkCUf{ z=Vu>xpG>x1ExELmPFhdl2iUYZ@0qZ3u8rlbdJ^=~5ef#~?knwxi3DFXBoD5LVg>T? zU?8ID{s79cBO^<@RW>aoLnEg||C#?+fH=bhYOInb_ryR-j@Gv(72Vet@%}T>2L_e& z_!Z-W9fn1);%a@IrvFFb=YpOR_o!+gAx0;4G%zCP$P`(<0a`-f7moX^JT@lNrthz7 zK1O7ex8fb=f6XD+Oxb3dFIgOda3CxXGI_%F0Qeqsnt^kLnaHpd-V}gX#bnBEu&xC)DD>0T!;yYWZ z7gZ_C3NWZAWq!Ymm+ibm_h@Jsd7mRVTO;Dv-bBBAGZtNnN>Tch8IYlk1B$aquMChl zcQ1;`Qp0@e|5!9M^EZ1?sTncLGasD1ui)L$8F{GYnw-qs5EPL4XeG0GTNQL)6q}H< z8aq2HmdvZ9N3KF~OEIH5+f&sJ)lq0&FQ{#*4z8AwTQFQhgw+61HP!pra=&iLj)>kTLxyvauT zYwZ?>|7+XTAA+Gb&*7g~i?PX}usK4t)8oN4&hE48$}3M&G9T^ zI0$0{I~3;n<3^A~4f+Qd2`$YyBlJ9H2u**|KtW)Vu5x>7eJpB=%BosdGe~GNN7iW) z#4H>AQxlE@R=3{y5i{-i89B(*Sq`L0PA_=aX*~~iX-Vfj_bgfXGrScd5fOWi%)HIt zq$jeENOcc(@$h*7h!Db}=zU-O)GI$|bOqW-f%fh9KVXPnkN1DIRjc|J-!I0$-80>q zP_*!LEXk33IA2H&CTq*%lNRZ{>DXz_V;B%RsVbR}aG5=?;yQ*6%J(S*zt4hacZW(VS=&y9Lk zOerA@NeN$-XOg9DF(c@KVuJJ(hVco^U-qEp)U{Ly)t;IuV<@gg>flc%xuy%4ZX-A; zZUqybSrFfcYO}=vzWS0^DG4MKqe*PewD5-8eJk_477!;g0(w+5Sr{VJ@T;GO5`@q} z%xq^z_yvth1?2aK(nc(sM}7O^`Xdvf+vF59&;>&a zE)s?K!Mka2h4EEpWF&V9=67%tLQs31i>W4i`DzzeC-km39SGnYs)goaU8eIgAhMvT zuqZCX<9mF3p#xL{*Qf(E7TM2i5hOu}v;>L2#pl@CqlVCX-uv)x$n8GaW!4DrFsy_`sU-bzE^&S=V zAoU(c6O_etevW^^`S?df`(B3hk?ckeT|I`*p^kH)(we=iXdT5uJ!>y#)Up2f)`W{l za8{EaA7)#)@8n|1%I##RzOiaWS*A*?^~^&(%edUDXj!ew6c4{r=(1XZslYprp5hp~ zq4nv3+^MJ9Tn`(BsX>^x+3#!tKuA5FW;oD1@M87l^{m&_v~c~zKx{}mDk68nLF}s| zNlJ*D7%ek9aA5;SNAn}Y`lp^pADfZQ!0a&~SVV{#wt9kYzi=C9w{RSHq@J%dNuG~+ zVqj+mdsf_1K|MFFzOyBUczH=+gK%I=o2FHQ(-Zs#IawHZ@ZqtfY~~&1f3$1w=C5m8 zE_- z8vT)upjfQdX9It<|5kBEC_C7OLiKM+y_YO*T+7tOG_{J1Dt{$Mi~Wb?;k8czLHIUu zY#LCnUT1l&5$~z=9v2?ok!mw;)fsV1$(72)6W$>EnmIXeylBB)@!kQk18PJxkXed% zrMx3jOMJhEtt8j}4sFR0dTi(Q?M~miq1L7zOK7|1jD^|D@EdOd2B}7vjiV7wEl$L_ z_+KydAo{Ds1aGqgT;YqMmlB2pX#AJv=y&2#2HO+?j>S(BQF1#wuG(#(zgUbZY_v;g zaVCoR7@{<$pLm=dJnQX&TuJ!6*>}*-kfI*oyH$zRC{ssEv>ni9GCFBh#!D?a>%HyZx z4PSz1-}xlS^g;3V_@@a82KYQ;lCJ^MJ(mck4o)zj!W1^=((>a=@&*~oZ88Gq)Hqkv z?+(dcH0TP&H|*PqeW(oDxUbw>awt_mCyNQ`|0K7mD8z)h=}b7&uCG{_>+Aj-`&M=! zsp4GpqrD+{lV_J@&(*QOmg!fNGh8d`7-6J1wa1PDZqBgA^0@d655u zM9M@`@l{;+;>tC~9y{WP@&t9B79QW84I^&kpadzmKl^vD2IfLwAmIB}?*=X_b7p>T z?+C83!57auX+3hxYpjSVa;FmM_Zn#*aY{iXQC=Rsl%SXN@>49*VAX3H39`JzkoTNu zABjbmW`+ZJ{B{XR`;>$YvjcaM2D#B*m_fhLUd`n@3j7yM_QJADAy$fxtZinB-Y?|M zo1b_18-&k+*pqd>E`<=c=I+=#^my3MP=F`#V+f_*tR-vQbIYpV_Afoa-3YcxE>x0H zT?_16negb-FTotq6?RGej{mxhD4Rq!gb@cuuDCr{qnc)HtnZ8Y`th-BWlPh@By0Gi; zK>_kxeRR?N_uOb7LrFhU3Zdi#Z{UHH@P!{A?3nvsr37v{O3om3e(wz00~k>J1n>Zh z4XnuK{6&TW+tSdpiywf z^sBr234sl)O3MG_3eW)X#;m{yA;4MixEyJuqrZalt0OtMgj09*`-|43OW3 zOY_rV#zhR0i2rp;crKFWC&N^X98@L0rAc^ZM=Ws91BCEXV9rDix`4=LqC^d_BhtkG z0uz401@AWc!}sr0(a=zr$`FK7mo3ZJWbM{Hz6(qt7m#p&!f}j@Kyv$fV!tHaR)+6B zBA|I>&;0%?bas9@=~0g0C-Y0ZIM{pf1*@Qs;NwI8p~X$Z{_mMr;5mJJLf)w8hI zu6OL~I(k8Fc5Oz(d9a?#wGqDI4DJb{qs_HCGg#LiyrVc0HHcz(@Aj|v_-aqCn6%$v z#xAO1$c)MLs`F69Te9u5BRuy=9G8_K=J>!Uti?0jzET5<-Uy&v^elI5jT+RH_=}qG zoGI<2PuW9-nWfAmh_b@z;CJ`F{CE_?hzyvr%GlW!>}_{b)|Hi&)jjMMYFDy(M=IXv z|0de;%3J_|CWL>MM!62=EnDQ|Y@sb*Q9@JuvYPq4^G}p1sGI*gog0gD`v^NhFB$UO zmSBcF@@@K}6B))Qa?9&jjzzD$f$l#YB{E5JJf-2`L|B&CA~^pND_xGdrC+y7Hixic zF>~{me2aKfh@sypFi;51(`4jXKmM33W1uidiv128l7<}!O+XC&_RmT1kEJI%xWX!Q zpTyXIKOl#VR**`TVgGVEvjS4#L$)#bG2Cw6=cJdb`765gg7}DJ|9#&X(VRbyM|bI; z6mo-?HL-vj=XA9OGCWDYQzz=}^?yggn;yW6^Jnc2QRHNs731GAUi85C$BbnjX_=wl zXi<{t=1hgbB5A%&cOG!!mB0R}8Uvy9H0I$IAWWkanmjcfW19L8lypxuq^zKodN1%_ zC}S*GtF#`KfJELK-R_{(&(Ch-akVke7EOR%dBpA{sVT}0V|(A}t>f+B`dh~hO4Zw% z^!MCp`I=7(KZ7pznU8Y+=+oGQq!dQp zT)#!n+FRhBlgAVMC!UZ4{ONz2IT#-_KY5r)>f2CEx!pJ{U6=xVa#gRYl;ixjt2%R% z>SG|*N-yl~8*{A?LfX0Ga?@k<9RQPkNri*|RWj{NYF=;I+Wdf3ldS*o_08*QlhC+@ zE6Gmo8-2dF_t}K&(8ztx5Y6X5@%!`h7j&_vwk?i2o*)di?zqNdGeE6asW@Nd1m5L% zy4zzsXDFjR7`$7ayi_b4UrgDC9wcr`PAeWhXH;17H4_hqN;&8&66Ij{#P3i9Vve?a zOe_)<+51mO27BuQK&PM5qV!2<=ViJ#PgQdiT<9M7}kh@fSucga+Igp{n-xA(>(q$vwvRSrJ;a|<(2IM_hT zA9{LV#py$gO@A6^bdCby3Ef^@RFUu3#^+;cv_~v{68p>Qb?xBkCM1q!2^D3jO3l-e zvdlO&D zu!`D`UOoF$I-@c2XGUY`IW|92MtAn*YXO3>orlGW)1-x6mKHqHnC;+pXmZ|=9z+aH z|GHoClt+eszyTN+%;L2${X2xa(Ww%ZsB}I6WQo;HF%zXR=bgx{aNYX2m_0yFI}qg@ zTBPPoY5ob`(w0k+QZ+78N)4xr{4>{nNj+xz+uNad5jhMh;}eM)2JzGMxAbRMg0p(- zPe-+)blq>!Uam{A9`z<>O`;PU>tNx=sJSlL?6P+GPA-3iyjAwC?`VUB!z$3n-P`Rq znr1Ogl#9@K@IOI?@>#KCfgv;AgSY!N z=JNYN?C-MovfKV)Wi}yhcW;OueYK99t8mj(tRhQT`x=d&3S91`06Q zZ*XHSE#S8|cp_ut1?nFKqmOH>pkT^*QA#!og>a3l808T}s+VU}0NGUpMie4h zV_!#6)jCp!JJBwIlwz}OCNy8hEaC_VYc3oO>?sM*5O&!me z)6)O=Nlq)j=dI5R_r)(X>glwz1!RMp85mt~)?rP*1C0;s(zr>aSi9p2L+*JJq%g+% zHVU57W%(HmpM6xRk*W(F+;7x+T~RBRglqLZ?l~DwXZNszmD5CI6JkyHdU(3_Pd8k^ z5r24)L>w?O`<;AtI;B4JiKnx=vBbx>97KdOaZv$4(#x-KHSJs=N~O7%(tn!o)peY+ zw%>{L=|_^inp=qE+qR&4YM^p?OeH@8>v5hAg4l;zruSx1M1%3IK?u_jKckO# z6ceXzw~MNrua!;I*sPxmuG0001ZoYj_1Z-PJ&hVTB0$==Jdpy&ZOnDk)NLmQJeP16vBvE5LC zE{oB`A74I{Vx*B%R3 zel|)9H%v-5Yff#*36yyx7U&;h4z?V$*m84Nl(V$vq1{#!oneB>KlpnU9n literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map.logdb b/YL_dec_counter/db/YL_dec_counter.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_dec_counter/db/YL_dec_counter.map.qmsg b/YL_dec_counter/db/YL_dec_counter.map.qmsg new file mode 100644 index 0000000..bcbcf9d --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588502930002 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588502930003 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 18:48:49 2020 " "Processing started: Sun May 03 18:48:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588502930003 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588502930003 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_dec_counter -c YL_dec_counter " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_dec_counter -c YL_dec_counter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588502930003 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588502930767 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec_counter.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec_counter.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_dec_counter " "Found entity 1: YL_dec_counter" { } { { "YL_dec_counter.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588502930880 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588502930880 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec_counter.tdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec_counter.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 dec_count " "Found entity 1: dec_count" { } { { "YL_dec_counter.tdf" "" { Text "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.tdf" 1 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588502930887 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588502930887 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "YL_dec_counter " "Elaborating entity \"YL_dec_counter\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588502930932 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "dec_count dec_count:inst " "Elaborating entity \"dec_count\" for hierarchy \"dec_count:inst\"" { } { { "YL_dec_counter.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf" { { 88 296 456 200 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588502930953 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588502932260 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588502932260 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "21 " "Implemented 21 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588502933220 ""} { "Info" "ICUT_CUT_TM_OPINS" "5 " "Implemented 5 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588502933220 ""} { "Info" "ICUT_CUT_TM_LCELLS" "12 " "Implemented 12 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588502933220 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588502933220 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4603 " "Peak virtual memory: 4603 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588502933293 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 18:48:53 2020 " "Processing ended: Sun May 03 18:48:53 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588502933293 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588502933293 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588502933293 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588502933293 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.map.rdb b/YL_dec_counter/db/YL_dec_counter.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..e0bd941a61022615b83a6bf76abd8df7d05eb7f7 GIT binary patch literal 1332 zcmV-41c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KSr00000000{V00000 z006K700000001-v00000004La>{eTE+(Z=4f&{`KuA&d9LPsE#R@zP1yP<0OL>f|5 zw5b+00`(~x+hb>{@r*T>wB`kVGcO2n3Ez0UyG~kAUqP&7&Fwq4@0>HU7Z(>7f8yKe zf1}Y(f@#f~Q^Ol=-1=ZRj$Xm)4@mtUrGJFfb!;6dCR-~k-Jn&g+!+t^m9J}N+M)b< z{x&9^uHT?1eE*sS{C=&A0+EL(PPlbKRrD3}6}Rj7hU4fbtbRp|SHB_X|M`EOfiF-o zZz0MBub8Aq+KHUMDCp78XtG1yv_@2Cj&2^_qI7>hoy?}`oDQVphS8xmXi?^bR>@=S z4SCB+moGT}%u<1FtQ0w|r5%&hmB^{|Di6|@5~d2$Xjwy6m+mPuoWfQQ4^!bR9UL#0 z)G*@|fKf-XQ8Ml%m}*IT*LX*zkla#fbd3}2mIMP-YQrlXx+S?OR4#oH<^?|o6Vw=8 z8CKU}OT!mYd)WmTmEGFfNSL)c7tHa33Z46!D;JTF6AD)9xD282XN}?3%9gCpp77jJ z;&kT?h^%erc&=3;A{)J@JjD5XEryY31B*?4Clf4c+LAUQj64ZdeGCm zl^lTKW#pSRjTAY{BqxlW1-8|>VQ5UrQ{m1)Enu~Xv5pY~bJVVrkNXyZcY#?Ag^fpr z#G*)s)W+0B&MnnU`I6<%8*rJm>o`Kvp{3hOdM*ODr~~HVi4i{p?uB(mWZr3GcZrsg zGZ-|yM1}MmCxZ|-p>>Xy-gGrraL0tSyUA$hJ#@o~c4&^8*V?p{!QkZ%2`^NHG(v69 z-Uz7oH)rRo!##4iq(=*T76C5^NfySfk(mc zZhecc3##Z5tNUx{9c({doEAJk&9ztPj|jhp;Y2qR$cfxvn#zx-N(8<{paBq1ea0*q6LO zr-d6M8p1_{>IIuoB>b(}i_>>8L?eHX387pq!=Pe6s`y}Y{Cp(_SfAo;!9G{-1JtJw zc-fL2h;3cmR&96u7XSbN|NnRa;$~oE5MW?nh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEh zVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5&JZoEKm}|J0zkFBxe32P zT7;ll+#N&RU4x-&8QB>efZ}EwckKsK3=%*LG8U@F#WBP&-qpv|*VPYVDko5$l|cbW z#_o9dN(M;790GAba7d7+pF4^cHJ~C6u)#GaOUi*9xI-XXpvE(DG8h0|8OCPm3sNHp z#2|+t`-_oR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>IHy|V_?|E0;U+Up)?CSgkQn<=E}i7 zQC9}m3v7yl289n#9qCU{P}xx(z5n0_g~^egDvAfDg#}!$mQR0ru57=~d%InG>@0WeD=(=1f6>D3_`5%!A0^jal_>t1 zb>^cO`_H$>&V?rL`F?O^Wm5UojT^ToR{q>k^r`#f?`JkryBGhi-}N{C{9jfEhX4O7 z7+ZqRW(x-j%+D2CE1&smVde*grlz3a6<&^B6Y|XR&DXzk-}U>F$#VCFdtWY8ujXu0 z@L(0R5zA*?D97Tj^zF)Gk)xA;n0E-AkD4>H#N1Q3Ao1RrbAQtA?YTcYyn%BDbA$V< z)1@H=qNz6v#l_wzh)mSl7(0Ec_4e-A&vJ@CrCUv2P0g0J`zsc>#w#*9H1hI_*7b*$ ziLLf(+4nN*_Ln8MuV@FEZ26LJ4apmH}^Vwc`ALrcawqj~YYhO#yrSdIb-ZCW5eQ(mUsPMw2B@G(VcT#RN za-L1MJ<8hjeR}=m^oqvBbX5~^gM^7|VyZiBEJa>Dn31cg^vTG8^>K!6?EkM_Hiq({ znoh=BR-Lt2>KW)K(a02a@%h);PZY)2&$%%koA-F-&)wg1r|; z`Q^psZ#({_1+G}3ToXHu&(GuT6`fT=ewJywQBDOe3o3-{;j+E zwaSiVrTb+r#FkZAbH`uI>et=MY27$URgb#_rjA~nNF8|e*K&O zUhLtrszT0%$3$ul9GE=wn%Js;Np%5&ZhhS*a_6^Xyq;lf=iG4kZJ^jbRoAjHvJi+q*HoOL}mve>=a1+~vszi%+Mi^wa}ur2qc`;4yuq literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map_bb.hdb b/YL_dec_counter/db/YL_dec_counter.map_bb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..67b6f1a681bf01ec8fc6ae6367add1454931e66c GIT binary patch literal 8613 zcmZ8nRZtuNkETFzSRA&+-DPo#cX5hS9E!VpvEnSg#l2A6i@OxX1_6p@kQm6X_wHE4)bd5mT!w^CXJ9&QT=r9nU zHomzrYPR$dzwz{%t?fRmtgWc*s028zb^2W~abSjv|KJ$&zPY{eytxL{kRKQ}z0(w= z6N1aIqMMihykvz1;vT6{9DeLV;sK>m{hb~Nm(r-|}bKF(WswaCWf#O=6~5Ia^J*kN{JrwKu9kqgs;0LY&r zMvDYv`l7`KK6muVyyl22CzZ7@qBM`-DqFD}{nFXr`3oP_El!_91|<)t;rN`M*8k7@ zKZVp;0dXJV2E}^0@Yg#I?nzchpDK=r--H5O&!G_PC*9nr)YPlaC|GY?=pZwl44=Ld zH<1Vatp(XP!lSwZs+tXk*V~AViESd96(;$cpz&B;-a8$IRWC8R6`t3lzZ*@yI7*J# ze`GWXp@>mWym`QIKo&G|sD8$$<^=5aX~zOk%G*(wAp(0AF)^+VE^beZ_mJX#>UOtP zrgM^;M2Gnq@2q2WI&DzK`%t3e z;gCr0#p|?bD|ZYBY90$Ah8jf%Z@IWwGK$=6!A(25+YIm)pGg|!#UlT)8nx!o-w(F6 z6qL=55~50K!m*``rRiS3tIy8U$|-jD;7j5s z9Fg_(pAskJ(<&gKJGD>3Lve0|9L){|&EFlz%f^S_zI)Ww-15K==Ac)t69$v81r?q1 ztSXsfde8!XI@i^;Brb_25StErFGPXZZ{PdBYt!+JS_iCP|4p@>{rw#_c0UZ1zy$tFevcrC0^)Sk=mab?1e<#I|LRhZhnTepj6oQ>#cDyDd=(w>+E1iBnXr zexi&Vx!oetnV9&=EgX*X43rcD2;+_tgQ+${g}FcH0$+i9zh(}T-~Z4PrXA5o@nQNR zf>&g+Wsba!XE}ky^DD%+&Cz8u%FV=RQ5WG|SRKbq%f@p`)4J6X7C*U%;#!|H@Kc!J zNhz4zF2a=xxs6BF;rPg%t`j^K=;I78TpLtqymxjZ#8z6cVXqQrZugZ|0ZhFc(|VOn zN{Tj$@Cwp4s?My~vd{gdcsjsioXr8+5M1wqC34$SzMcj}!FPmclSlxNHbd)&5(V@qR#XWxd$6PVC(p1F#L@{&&qu z=Aw9@o0{59;S#W+f{gpraOR!=9L`{cz%xN1%0~vL@b1f}*zyL_`J1^}t{M}uz>n*3 z-AhZ|S>0>xcCnTI4oBAG$EW2D*jqM(i=}H)FIvNEdg_Uh-Fct)t^Ssi87YtmProDA zH&O|qyJwSd&fM|)Zu2Lr+#!uyS+sT{%MxdQ@%dInPp-pP*+O{qa9Wir^|OCIrHxXK zu1SxWL(BVC6_wF~_@7rQC+aF933e&v$%ghpKLSg&yEI^uVV^xPuLMRL;yq7@WhDY( zj4ItbWVyzgBDq~0x{i6}cqI}xuuAZ=r+3=YM-A9?i|C6|prZZTWa`*i{fZBwU7E%! zyPhYyF)GHAu~Q|D!%Zotn3n5)#g7I!)M|H8%r0&a;uB)JM}W#FVdScE>#|5?3lGy ziv?3ISv&Ny+5QYMWis__t?Bd)qbHjdcbW%USA-?cYext+OmeW@*Hqf+ql z)p$QRW2)lClUfNjVRYpE6?X+&u4Vtj%tkvsbDBEY!a2hKFy^W10ILi)Re6-tG+~50 ze--%(@8(=Ezr0a^@jWaxs%2lwX+E19{!H9t>KSo*@-g9Z#+P4^HF72#joUfB87SWY-m!# z_NyH%`6l9NjA44F)+&&*AW*RsV3Bd=SJaQvZ6@Ibzurp&%n2y@DF&54P#k$~Q=TMW zD$jDK+AL=*$+Puz?Yo>IlHt_**>|;0tCGbDfT{b|uKjfQ`X7_-nP;2E`QV~u?vs4_ z)u3Mz=MpwQaR{AO5pOPr>>y)KB$U@!a)+#k)`d-N>Bne~{&Ei{ z(Fmn9aniXd4;gihu8E))Gpk#PaARfx!s%a;er@yZtL)Y_)ETJEQQ8uhRV>DK}*d|zuK;fvV==5Co0f&Go_P;0^sMo>pspYr5K zt^zinNUfif$>Kob?7$n-6BEL$j7N~^1e+vX{uw~?kYhtQceVzFlx-= zx#U+)X_bHUA>q2|y??ZCGfD-&$ugp<)~NbaU(KLdmcb4oQcy^tdItvE4(8zS@bLyC zYPj=0=3ukLdVAOr$7i45fU-CTZt2@Y39c;?MGd6Tbk~Xo@f_E{pq~T}n6H}ts*r{; z^Gp5xjzS(S1wBVC`fPCOMZitl8U3g3obDd9f-mp~?$1ls>-Eq?9znWnhDBsRz_}?Q z@P?Gd9FX7IdES}#$X^Sbu^AJiqoG&*G7-qN0zzOIpDVZx-yF2MLwgrnk9UsbT=rYoqo~h({pB~A<={lU zc{qOF@AE>NnRO-&@+SM==N>ax{Y{1(t(II_j#Qh9{B$|=x#CQntq8u7 zVxj$sjlE9jJh>hxkFrH0y2oA3apYYoHoy0$#C90zArY*09OP?&tYsepTQtSGTfT_@ z;O89>X_a?jjEeIE$Rv#|VCIG_!MJbCw2<}&a>!xH;8;5xzCZ1L|K2&>-4w~#_Dh%w5$@UsE>hPQ*HapnBrcNi)Aw4`OIpg@W(352Z5pZOe$qZIbvNFF zJXIT|d%$Sk-$psgi(o8T>`oGnE_hFvWx?u%MxHGS$_9fhiw1c8m^EU>BP?g=mM?q? z(?&%~`9*?NAupmNdG&fLw+m%7$88edi*NO88IJh`>1m((B|fLH^q*2Q!s_H#2(3n% znx5<+1~9Yoz*F@?N_QuDz>l{XYH8xUe96=U#&K!rA5jZ~JRA9LCvPpV5w(dF^^z#UUFt zBBMNY0gORJ&NJyhwSzaZrL?DoZJc5Gr-^6Sk#r^VixU+O4cY;cG>sN%N+YIf(p*-J z{DONn!! zWD>qqhqlSXMl?VmML8xEUflyDZ8G(5n2XlV!hjR9WL`7*Mt`KZ zFlp3^RyRiG{=Qy7!FOROFhr>?DG8d?6hR7`8OAx1gZ5Qj(92ROA=t4kz5Uux%Xam zeUiM@jwD#ThX}$9-s+K>+=p8^Oc)2d=Fh#jAO)Bag4RF5mBJu2RV~;sF3B(2cREybX)%AoA<8Rj2I)% zQuoy?%?|av)0-}G!2v$5E9sTV?7n$qZ*Gz3eVW~B7^Z(A@(41zdNESpa?|^dj!1yq zdGHg|oes4Hr=7_Q@Ss+dZ1hpTMm9lTQ=kx#dw3iLE4}=$5e8r;2fh602r*Cu4FiFr zn-yQ5)CQX3h6C{-($H1|!JT}|9dyv;dm0LwtSQ_~)hCgrI=3D3<5?skzQ%p^^!5RM zPPa@2BTVGr5O$k<*}IVu3(#eFTqh?zy7~Dkuz7YyHJA67jfLv#c z_nd{+R0Q>h6eQj8??d`rlhK(W?M$OG329J^kjbrti0aJ8l-oBC!hdqgxisGO>;B0( zxS-R(l{p_((HIe^PjWCWG6?Rw1W4VpHpr<^cv@v0El+(o+)L1IX8+l=b7zU&%}sv? z8wr#eqr41)ZUBomu0(;EoOzzPG}sSsY5pb{+W3oR+N(7e?|WNoIsJ&6@i_Sw?>h3N(OOl-@V`wkH|8p1$79s^ta1JE-y@revgY%8>1C^vbNgF2< zv%Z|K(v8`Ae$s~9+SLM1+7%qrikn6hvf~;@70~g6ej>f|QnrzIu#vAX;N;8iI9zE? z8@E28T40UM0}P0vav^Cwkt%sWkvRj`; zQke8mhpZMHbyK$!sxsWU6Y2?1v1C`xPdIYa`JLH=U<%K0xZ;GiqJ?kFJ6P>3gDxq7 zZPIcQNl?JQHRPK6!-Vvb06%Ycg9YmBFqiKbfRmt`CNTS}#1F1q`EZi5fP`f%BRPAI ziS!rfh#+2+dFMnN;fNffwFIV@=~WOi<0GHT!3j(FE}+p`5xqk0s-BxsJlpyntoSap zKmYL2m033vVc=<_Kf+}|Yad6A9f}bAAaeR9WRVlOK_1oG2-SK5W6Xpg1>M^4c3f=lumB`+79Zp0zfF@)h1H1z1O5$XR-3?6vtq4GUao#O#*6g~aHcG4ZT2=gNrlsUG+>TGJEH76@u$Yvo zvNVuO-_W>nm03R?pKM%1lL$fu)+p{3Zkl_rrtCApHRqkiRIV#bf10a0HWiqh%|4RI zuPXlXb4JAcc%9_FxQbES_&E%P9sL36qb)fYF3f>9+vIy#-@Wn_AR%OmoRDsnQ@-aB7S-O#tlQ5o+mn?in2B-o1MdyZvQSf9VOZ%Zd z$r@+}g?Wj9tS@{3)l1EIWGQeEL#D0XkGn!0OK+vi`9jXYSS=TC zM2~o_a-Fvit!;&sNqw5*Jb#UdfTnQ6ztfHHY5u->#JrUyXv>Q>ask(H)3pfG-O0KN zZ>ns_=$kGw5=3ymwmIYQMdD~IhWa|A?sa0|tVt7kx2Mv%bt|jrzUa|?x@JqyAoUeB zE~u0>mzn_`5H=vA2NdrjLtF7tC)OJyYusdK#UVO7?BaPGXG-9$Ax=!CRWp zRuzVB214D~0U_{KHr)j${#4&)7RFdpVabscM%3DoEbTfpB0yIzhy&iHL3*hLY%`WS zO@h9&+TkMO%}J8P7zhXtR++mp1o{~zmQCNj%+T8l1uV_I4={)(j|BSV!Ip|zC3zXG8dExj2hf#~6XJl7A^E%0HeS|f`h&X3YE9q(E$3X$OT~! z9Jin(IWgf8L&Cu30vLS_>9I03Nf80Yay7}&;4~;}S-g6I+oi7`1HQ?|`0#Z8Vp^MV z2HNi~+V4XqRm>r~Cs7YqZ|aQ&8f?_Ac6Y%p&>IOl`X2P37HWdxlDV@c05MFgaU~UT ziDA_BA?5lC6k{D`!ELLf}ekZJN9!DFo;<)VN_jS0b$wSp}FfID0`l-x7A4cxEdfn0mLpMIBOkCOMB4cF&@` zwSoF7G9ZwV(@;-?9^seuah-HLEul-yfT`>jC)C%FVT}OdBfBMz zFLTt*jrAbKAVCbdsi&oe$OuLcFwD>$Ok-XEKOIS;z2Kp;YDQdcX{((pJ=_Kp45 z)I37Z(Dr*m_b!UMiW{mWeme%R{Y0eCB$EGGz#9{9m4s1yA^gpSD#}|rAzUmvsUz+lky-?Ni zun-KplfO>w#FX{z>1k+s1%S%4x_Pt$B`RGAAcn@(aGl(mIc-Dvp=-*-{DSZe_;N^{ zTjRco&j{!gm2hgW8@9?wC`ktCkliAqb4yeZqap0JxBGt7`tHvaLD+A3OUPj7qCWks zWSi;7H*JPuwpGJD#T$}oRuuj%UP*6?v`{ZNn*W%{>xfoVU z&R-f2UsWVax9qFg*>{g%hf}N*`OHpFi!2wKCtgo>3Go`&N@M*8J`=jQcO+Ie#q%vL zOJdq~l=M0XRMXYP-^er}HgPv%R;<>%kmg)JS7KJLv(U=Hp zvK%I7EO`pwT{z(mgLwM;7pmPEe!A z76Pc=BG89J%|{z@{By7<$v#RWUVT!6Qm0b_5!ufwf*;4=#WPhkpN}F?kW2J0X*Sop%!L}T60@=p zFKK4H^EvI(62<28z`W_xV69XPp;1(HrA37dfz_k&EkYq_Ol7oeN`+t|VufgebaY&R zn60ZcBa_4r@XJ}g2%n0fEoO2KpU?QixDVnvr$c6pG%Ot7FlZei&;{mfk`W}@@nWB> zE(Gov%mjS577f|24EnR(m*sR~C{*QOI{mS&;Q5e=W4n}TurX=qGsujgvX-B}tD9=3 zWji~0%b}Gq--gWi1HfHQSyF*o3CeX8tKINnxY={`%y6ZRb??y-RGDY9!`Wb()5JR7 zZX%+?Nml0EjWN{g;&r{e=xHK}u}QBsAJE_YIQKd3fShKaiF)s)Fv)Y84pYa3QaI?7 z9*I}fXW;4^{C=tR9ZWVaI63wtW79w%+iP)sB-XrS+FDiuXS@v zqgf|hidhBo$~=uk@%C-XDso`;q=BQ8-?v4Gkn(_E)^el&71?zRr-#;NUmdwhwRW$s zi|6O-crsLux&!v(`w5PF4?ZVj^@T*CfzWy z=Vlz49U7PGRQzxbWM?}Z-WeQLv&e0XhS!alMf$eK(nnvoPNUxT`Mj&DCjUxTOoV#T z4jwB)tfHjXAT!UZWEVmjsv8fjO49R`&Fir8q5*>S}A3E&-duBWg#?fq-b3mES-kvdZy5FrH~{14_ejGiMyTm*6JscqV;|PP zeP`tu;%5Vs44(cI7Q!I_rt#Pbx3!Xs`<$=-HSz2t!B#iq0=zRqFv;w&uAk6tS!KcqS!g3s%DRCcw#I)LSMiLtRuegZ%G>q8o1#aS_X^e2h!UdaLM`kek&_7g+cNq`l3_l+uaAe$gpro z(93IyBqu0UUHB84&fN=bjk?rM9?>IoQ%Y^RGBA>pA2ik7cOuyDl@eV1NU#H9P*;Z; z(l0o)sDm}$B@)=3ssF*KJg9Opd`PD3Re15x^J|COM|^6_ODWzQv#qK@pi!k%oCk7d zzj`G}KfwFBw)$Y{b;@-CyxpFC{e&D$%js@JDQVhsh!(kHh9$~4aZEod=+ZaCW6zUS zY4A|DW!Bhhy{=^(MaNw~^YNbewZTS{x|!oZXzSlu;p^SQhx5?#=~~uC;axgNQVaI2iVS_q)i%jupl>}`5RA+Oaj`to)K|5Z6hh&-F;=S=Ey}YTPU;RDj zw`%S(e|kAyRCO`BR*9v55dCp%q&c@pnI#>~BV)LLZ1W;q_lEwG<-jS`+`ZfDxH9*Z zZ3V)vdABl>gps+Vx|?(P1i#H=bm_U_*!YYub#!(rc09hIXpzCbB)#;ddGU+0FWY)m zzXyJd2cbxSb}PCM?XF0v7W={4_E7-tU}I}K?i1XmV_mNKC%V+rBU{fC8VpPDsLd~G j%lwtC5O0cqYmtiWQ?_`-H9AZoU0u85<=-pC%gcWO?hLdU literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.map_bb.logdb b/YL_dec_counter/db/YL_dec_counter.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_dec_counter/db/YL_dec_counter.pre_map.hdb b/YL_dec_counter/db/YL_dec_counter.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..135e66881e9f5ac6f6755dadde1f9174410190cd GIT binary patch literal 9739 zcmZ{JWl$VUur2ORa9b94cXxs(cyL)#-03JS0ZcZM6te3r$HGr3o7r-sZ z#ly?R%gw_F&<44C+gpJES{7E003Lw03P2xZ2~e=M_x#rrk?DWv;NW6u{ukilaQ`o2 zGX7umg+Dbx&!$o+xImJZPBn7zg_kh^bMtjGHp&jp^?ju7^W&St$6~hPC~yWUY!r>+0<}@#i=WcSn)Yf@-u3ntACD`Zx6+Z@X^I zS)=p}9IdU~zOTI3hUog}>)$(VbU1J5IZ^*K`p&_IjfIVigO%iDEGF9b_JjF1f`bHw z2vw*vs#8B5J zfqcDAW+Aau5SR;$PZ(6Rh|KBb$SO8=?Tq&bi4$^!h!E1A@oTOLtHK#1(`*7dHY z%|e+R$GY(~>b2E|ifdoZ3;UNh6k;vf3eLGe3{c3>ME{nru~DVH{k{E3^!&7U4k|CM zHT9HuiXY%wdOvIq@&9!UA^8Ii{))RQ38=U1cIi@}ERdSE8vnq4@Q>R0IERw3CKwiF zUC;ObC-}#RBL2OzQ^*FbYsvScVTvf7A99HOmI({t8s@O`Pzop-axtP7+>j%k#lANaEt!+ucQGP{!)Tkm(zmn z(w_7-IcLQpUarV!fZ8>Bd|kqdmeobHUlDtHRvmNyQBG*5y)(qDEi@iguG0U2Z*-jP zCd}(G{)>#9H2~eIv?7lACeue5NBa<$`Vo98vRr19T&fXTC;MD(@^C|ZIBqPEsKnPD z{wZ_P-iT(?J3O)Du$XKh5e0$Zaoo?}s8bePoL}NhKN#K!oyZFYJCub=y^3$WAO?HC z1|b9bF|@6o>6dh*YR$l^W_ObLl|lD99g0!{f{K4d`Q~rBaJ!Y)YCC_H2Ad(xOrE%@ z)y6g{%W5gTKDqMYP^0gq-i9w#&O{ZD3@akr_>2g)x&6q!-rZ|H*rsw1Bn@y6K^&@E zbs?=FK|r@INqgrkz`XCZXd=a}HyQ}`ZK$}&mX2^kl#W}Dh@pc6$xuOIO zXZjOuv-JjMMNO}fr7!=U)ps5Hs98mpOE`&5eDdR304d;ICZ<6LL&HCA*hLG$~!3S$~z$a>c+MuL`~c%#P_Ti z+aZ@+TDQD;U3p;Mkqw>7I8n9G8X0X(izuTm8r-Cb$hdtQLC47~M)=r@h}{*6#M8W% zSWjW6F|v;){Zp;RNgZ-gvKK71XxF&4>!x|;WeGuYTj(lj(VafP-}ngDyFBlT-P?2{ zob26G3@A;?0_dReq&o^{+mDa*Hzf=3$K<8T*rw=4Bm$P@Krf#^&Hkpz`EK|kk+QvY zk??{ud`V?Y;AyVKkNELqmSHQ1?!;GlUCdKtCt>ca;mzQ$oL7>wO_3cLi3{8XqJXWr@cv3ir+QPK7P#tx zyT-8RzaA?IPQ#m56l++11qc@GQqOzbV0%3(RFckfvUi=J%jJr0*730SWojSGIQ!UP z@Z=Mi#J%TyeoEP2`Nk!_P+yLCgH`RVWJig{iRi+ z)z*EUHm8VB%Ie|LajzH^)YS^384*Ca_`Vf!W@ml=H%iQ~3$4i#k4mfg0G?rFJ9HP%hGNP7+)OgPO7dpLqi92DjIhwLWEMl zVR#d&NU63|uS4A3heUMhybXbvbkt8tmNZ7X!1L@{0_yJ>a?%WL50-HYq!Cw)8vJV= zI-$7KZakVl%D6nFLl=|)9V$al>(wy}0;FnNRfC>qN9@+SW2Uzx(!yDDFzT(SY>a*ZZPA9Vb!NBvG;o(Xmj%#B624j&vOS?bee&lucH`3u)32Ln$BDZ)X zGDfe$GaKX@IN0*^=1sW8UArnkU4FjRUh^}RC0VPF*I?X^+PDY9fTwA*Qkjfl!6>S; z(Fo_^$wHf=ARL9h9?*siz|Di9We14PK2mcvu@mQEThwcF%kEm|Hn?wzoU7?QSWy>o zk^X?PRgAAo^l>@vjsmnD&p%Q{Yr*TZ4q}@LR5u^k1=m$> zhHE@7p0ho1Ho4=ja-K7Xz~tvNV`r*=$DLzj703L~wwhld&SyWFFBDIf%{a}HGWQ*> z-`f+)8avW0wMsQJ3OL`p0(WY`OY2_>7mB#a*7$Ch%HNihjgih&(N}sZQ;*`XNjPG# z1*Q+82R^~-Koef21)KxJ>q16XypJt~&c+#biQ~;ZsaK9(seZN3Z3Yq>52_T#{9tjg zl*z4A+p%D|jcFzoL4m+T?XrAZb9~hg)V4qRR>D%>3*)Qe1@(%$eNlMY{Tu_-DLyZR zWfKOLU3oBUM8nKn@VMR8`;zA)~AvAh__`gn>wD2?U5SE|-l zMU#?tc;#X0^-4l;;LiH8V)+5}{UGuw|EyuPi6F%1a$23}0wb|IL6Hf-kcbG+Q1)$a z58cS|S%x92E7bADvc{&b)*<>#u9s_RKpMPi@Lq1#kz6<(-l6u0Ks9Z(MsX+dg6;ZbDrU%-H(%OrH;T>{>j%2ZxM}<8rnn zwga^ddJ-Et;g{3Zgcx#uQM}?Bz)d1DaEnlw%uEAgQkyA~2@$4WLg{XL$*O-zDVou~ z9qf7U(bN#$8)nLuXlb?$&Y(`Ck0#8Ayomdiq8w4ol^a8;(03}!Kw>Veu3#v zw*GG$O7C&OR|m4cl;fnP-~KVaPVCcse8+f)-W41^CBncr3x|YaQo#0Y&nivN7v;Ac zyT?S>_STasjG{v5n5HIJVbFY&T&n%sMY7ZG^o!aXz>RmyRuOyYmZ;iL*OutDKT=tx zUb?_fjhaXPrkSj+(zZlWoBnUZuv-PdhH`Lc=7VnRZy+17T(#j@sO!!$w^?fGtyZak zF4Zq`TczrITj?a7wW7vYj5f?O@d-Ix=U}?e56waafdzjgTNF%0zI~8_)R{yst|}t` z*HtauK&eXzlMI#x2SBPwN_5;eWyi5r^!u?zCIL?Y8E+iM#Nty+21~M*i`vZ*Z97if z?~$f&JPMpT3)Q@@iha%pYkz+T-zf#)E6U;KpqwSJ#_ap91pmm$SZ5 zKh@RZ%NkJ-&DA!zt2xW4oswd-NdcR4QNKNw$hX%a;)~_YP%m3reJ%}OA77~{@x}*E zj5_4^&#VA)yjVi;|@m^C;@A?)NJHVmRLC$U+ITe4T$C)n2m^gv=n)skSLz^BD?p%6%KE3AV#Z{k`_ z{z5KVYF|;@@AjCh7r)52>+1kT^L0zn_K~9T?NI;yI6m@d)-(9!6yHIr5x%v6+(C9M zNr1gX4Z2Ka+CVmW1O5XXi>xl>0a@$k%G@cP5^FWWM3u%k8z=rV%g4U$a!@W~VJb%) z|03nx9LqIamvL*fP({bc^uR=4&Zu-dol1adZ0K~FYvv8J)j6an(8}V~#hVdkCcNE1 zl>Jc}?za3Z19rNadp(e52^?Aj zHTEn!Tbo&%uT`B|*odcJMmV+0C$X(9$?xF?9L>HxIFY`7%FL!tH!yebH#*DQ3--*3 zeX!#I{+qDEATnpAxO&}puixx3>VOGym7v_&Uwehzt3+0BhaWyJancX3>3B&?&Z!Ya zCIKoaLp~q`W z$#F`r_S%1SsX9beui%GrlW@ zqLC+yadhj?yrl?aR;Xzt9NClfYJ${3k*7R4(FrLMj74SEEG--@ntz2eoef7AG1Hs) zAZu>zx4~tFuF#V|w9j&PN4@~-c4c&jt)miAeB7XKrPFsl_g z$-3EV&lc(suw6V1KI&Uhw5CGGL19ZP$F&SGq9K+wPs+G7F=A5_=^_cU)BV+yBlT~| z|M!-xd^ePz^Zet+8*0q-_Tv?XO=BQ7%2&m31DQyD={zee9C#+v_%(MD`{7(K^sG)W=;no*PWvgV&?1Xd*r?4JND2PGl$Qu=e}xp zN8|jtCCj#cTXO6|aTK0WNER&@+^+X^ytJ0r$)$R2@e~%ByD}P!R{?=A(^~*D;3r-P zDYy76N^rt8nu>diWUH+5^(i9-coZ~+|8L~2Fkx2crwtG6oCaQNTEMwpVAUMd748oc z-ctZ(cc5!y)%UK!jEiZahN@+s5(KGIJiWv7qc4#3i&OS2l7pW3RgcHsPx0H6M`yF( zjcbXss0!WRd)m7ia2jZX;()-=<${Yd4o(iJKc>WZ;4QOVj42X7$su&($@;62N&Uu& zg-Lb1my*fh_$_lwIp^wl&mz<6H^~}KPjow4UQzfz2$`1M>q1)W_g=RhWT{%29J7!f zYvp9DqfFYM&`WF*&*jFqf%CTk;{Z)J7O}0}!p66jcFo(_dN9H+wTcFOX`|b&#I2rz zp6fU5lZLNf`iZvUF^nxxl1XMgea$ql46rPMkn38v!Fo{xD8l{0WQg{lL#^PDexj_k zreAUXAgof^SEe*RE!D#@};abET!@1JUWl_V_|rsey=>X~s z#gm3Hx)JLBHJml2aN{pYq?q2+1=P}klM77Q<|MXG%o7{`)H%;|tVeDDOyP2zw`>;f z6Iiwf8&;9lZBteIL(&xY!9DcKcCgMDOQ*y~ic_vIg*Fc~mCg&r<%P14!6*Ue!kdhI zw5Y=#y$Bm71GssS+cE;3o$l8Ah`?Ib%0A=1}tu4k$FYFhCV!?tBPs;*3`A zE`v5;HYEb?588_M6gaLw3IEtUXN{H@szv6WjWs=;V#o{qO6DGk<(G#g@;Qo?NSsnbjfV?-_4n$szovndPHDgR_{mPTuqNvn@A64u_jXnHENwx=iX zXlAXrfEX^N#M}qq^TWq0l|Wri_XaRM#2ec zW)jyCRdYrA_WK~uCoQLMX-0lK@)Rr5)8qTUL^ZN-yK02}=0M)(VBzkq8ahoyXl3HI zx3p`@=<3(rz55uuK_dLp4LoWn=5snr9m5?!Q@aDxqE4kCf~I6bzXDn`7HP2Hel!=^ z<09_b0d~{f9^D%UAsZ%kY~CL*&$_pEEhmR^(lZN)jxBx8Hb9J%Qz?UKv@{sHIksL9 zWLnE4BDa#5hwS>P241K)nR_%=w{{eSL2dvurq?G>(*_nq=H7|*7>3o2ir3j8m5~EM zMRQFrCDVoyF2C|N(affpA&j_+xEe_Cf1Q{hicQmcU>g$t$+;~ox;r94rz#F-+g31C z+YP$wqjQGgs3D4?0Xhe9QNmX<{kBb6*UPC8NI&=_E-S^gB>l^dNmL&qo&%(_zIWYb z&_!HN$JRT>F2}a@Nf>_#DRKw=2ux?QW-P*>Rllp6EJBT>>A4sRqNJ8+zx^PJ`F9}D ze(3IWyD9q>*)W3Z3t+-Md4nBKZt*O%3lWxN9Z9<{%nsPW^mabLZlpF8%V#5Iivsur z{+*i4G4R!R?w@hU^xILrY56&{c)2sQGd0m(HhRJaNx5cIqC|saVIib`pon6TBaTUi zaTkP1DE0pF`1fQ+Lq%Fk9g1aI2d6?n0{i2_AqW$-`f6^yci59?{FISBFIzKo5-9xu z7ms^F0`4KUNd4$q(uBK!6+mcMdg<6Bj36c@Z3#S2@`bObMymJEgj(5d+CuijxOFHRO` zZ{Nb}CmAVBo!AGEGfkuAnoZ#d?do4(=$u9iTAS$vMQZ&aHxWuFlTP{Ay6MUXKa58@ zNVXJr3V`><`bO_-dhJUGI9+MXc`!M3($cQjWXF>#x0>Yo>CSp+0>XNp;7$fwQHmo} zZ)&xDh<8^piATlLU#+lFLYRejJ4(CzDSbWi%_Buq?E*!M7v^gosV4!S0@Yjj{0JMQ zNCl6xBSii;@7OY_Xf#zh9z40idHfj1zyX|x57%eaQ&_+@KwNvwl#aW)H*8f$f%?_Y>-DWuDTZ$fA%ycFKdG3Mk- zM3X85g(H7$wLB6nQzO!sv?s0yLPp9*MgtI1VA`v3Hq?}AFtGvTFb6{3VOSHQyUGkqK=gq(K)zvmKt5g*g5 zFoqk77k*7m29qOmZ^G)Y*6^G^1?2+n`r%)N*H6U*)4>X3Y`|Sz{AT2T1z^(Z zd~s7iQxCrv^(qg=vKRDmc-)_(( z{W#N=DP||?EFZPJG%WWVmRYBVt3N2Y=U9Fq5yw8+;7~Gwy=DdYd8l{N0uBi~5H4?` zAtR$Q=nQ;4tXZ&sqCHcA3^bu(JVQc?H319l3#asBJN8UciB4lOD?r&54 zlQA}uzQWCij1q$Y?Q>?LtKGrPDMzhuY}8M^8&`L(x#Vk%bryC(1u>M3LD59`}@t-YL zO9E8!rEmu~$b0p5y-n+e;zUHf{wTj92voUlmXbTz3UYp8H;#6&uj`K#uk&e?= z(8Zv=_77r$&KBmsuhaiX0`_@ApHRvDlI(l(Nwh^5PK5WHA1RG;CK)Ceaq>hL;Pnpf zxnYz8UXP|0)bAUEB`9dLAcx~$|*)(Nq&OT0h{*Lp^ALPbP z3Oks%dIvdCo?P*~MnS`hItPc>pbH*E8iBf2KMigg8(ItBa^VKZ7Oq^`rmGTYC{ThJ zOWg*>qWESgB0L(iK#-4xl* z7rEeD16{AV-%&N$(e_m%$-H(UZ{o>Gng2z>nkrxJmr6S0Trz5CByL7~WKenB)vq`u@; zqvaIJ%TdCu!+Di|iNnYxalaE?%DEKE6z!Q$ml*&a~Yll?(g4o;E4xPHq5-|wx- zlg5nigbsOpDP+}rpRW^}Cj%(*ff0vUE`ukE;wlkjb0U~tT z_MzIA!wBP=hHhS4kA;Pxp2nAVijjOClnx(q1rsZ{v`jZoF|pi=S-1+N%fu3i0qv}% z`eBpWIbmTzcDfD)x{VLJRK$npBgn-1gL6a8oXD||EEVtDMb9nREYvI~ErP8yZw(=`!H?6g#i?UwQbBzxCBB?(kAz zSP7qmKeo?*8!Vm7U@XD`uaQ)eC&O{T~vn$R2n= zSIyehl`UvKAE8?0X1?n>Xf&c2L6>LV0eqY+sk?D9!U;VLPJ(l;G+m1kswzL)SaPdv zvIP32PcsiblRBhNg%zUfgmZ?p>|wS|>X24f{Sm3qvS$7IX(xfnbs^-*6T3(AC~88P zl0YVJdc*00G0jSLOfZX1HZzrv)%Nugx-!v|l^Q1VfMsO`Z?t51CH|x^?t8)UA7xxo zi-g2_bhfCJy)ED?^fY}Zyn0WrnWy^JW* zsShHwUwXw9`!SivlU-EtcNW{8iIRN)ijPGjGbE5@O!pk+na?6_{Q9~*cB34j?cYlN8OqDefLkTjLo*Tu2Hm^2q`Wy z9&*o3ycQ{io1F1mt;qxI8@?$SvT?gFfZUD8Gm5qqLZY4nbuj}t>Ytq&Btm$sVgovm zDVe-*z!gRk!)nvXew#{f<#<{(-F_TjK7Uz1PT2Yafr)6>BykkB`Un&2tbjQV4KyTK zPM|oONbR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.root_partition.map.reg_db.cdb b/YL_dec_counter/db/YL_dec_counter.root_partition.map.reg_db.cdb new file mode 100644 index 0000000000000000000000000000000000000000..8320fffbd5a8ea3eabb3d2d6aba0701638e9e203 GIT binary patch literal 219 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR`T-3Af%y=M!5T{6hSC*`zyAOKU(cqI z&}_KjLXE>lkNH2QTzT^2$)8JmCS6fVag{y9!=u0hRQ>;d1!Gc5LduMT2M!cO>^LIp xVR(Xh?JF;sgtX^L~V>S1LvhO^6g}hvS<%LWulM`H=4gQg1(Ip0|2!Cus#9A*Uk& zA=Eo{E2NGouvZ7T6_a#V#Io;Xl)bTyQt~xfal5!)=C3P4SAsM;tY(C>;yx^<<=0o% znjwaIGc>Rryeir$zxFl`f8ZbBsd{WwSQx7Xy*$Tis+>w?sB+Pq`qT*hny{s^l~p=@ zCHGY|{)}k!$ED1rCEjfbW*~$w9h@o?J;R%v`AA=+!D)`Pp;D?I7_TOqrk~0kgTFHC znWOwS&gHmdV=Bkn!Jnl$d6qgSWgQD0UC9RcRUx*bci=*YWdkf}ogo z)!WnYut5li^+|dGsS4 z@ftxvJXjN4-&A;|t8c}%KD1bgh&Y`w%v+rSd5i5_FO>!pD&pp8^${qZgVl5^Qh z8KnI>$qb$V%Q>{Ozz|BTu$=G(>!~Q(4?*-r4m5dNwXY^DcMqr*t3^p z;P`OiFwn+qq|?DRrL#(h%RDezn>JGujGc9@C~d>0M&y-un2piK^$(%W!p{#*2cI~b z6=!1%J(nJpcYs7b?a>_vv-QlHG*ik;I2RzF*xg620Nt8&x0MAV0yOgq3HP<{d}4W_ z3Te<{4sL;kzpbe)wy2T3-F<_;)$nNSC)R!;^u}^bSqolwFf@q6fzM1QAf^05N*Y!E zC!H@;vBo}huAP#ApZ`F8+EQk>5AN9O1WVlV1s?cIm7dsC_B`lw&D` zWOTupoBZpN0rL!VsCh-tyc%e-kFG#fASpObt?U9WPP5|2;ydFD7egWm!5CZ%%GAsB zO|YI3RJXEv^qG8Vwy!RDA1PzdY3@Sh`H@TuY%WcT<^*r(SdI^~#YJiqIqY4Xit7wB z&KpdDJvqsGvya4ywysnq`TROfF@~xtSY`!8U@Cn8wVCd#zz9+)Refw)|YhJwOY*D> zWW>b5sD7$JDnR842WpcE`E#tj-K~HQM7|Zb`RHeZh-OY2_3UVsNx9h%x;5_VAp%5T zwC+D8?5((c&H#F6Fp8{9x~byP6ASae?(;EMx*u`puzX_Q;3t;51pw(YQAkxyJ^3#qq2{$#Au=&Q=_j{dz2+^P%h%XC5>; zIBS)82AYd`v_v&0W1G%|>H*XHi-+`8Nkg*wi!1VIpQd156(RVqH`NRl%&rBuVAhVI z!d6bp#XNpb)!{)NbQPLHf6;>MHYdA6=y&B`W3t{C6TV#RjfJyIh@rDTl`)Xhk(Bq> zARW&!{RcJ+yTOey6RAHm9SIK&nq6-otVVj2oy%uzh0;nb?gX*=a*EZ_VbvRtj^xhYwbi0Hb;|tGeI96I;o+1*_;A{~ItkY8 z{vp8BYv$zViwpP#DQcFge=)K$qZJYc57Bs%pK7n_)kvN!Amr`WpqN8Zig`n3WFPhU z3i(6W`=2l7w}qyH*@^L&3yj|r+S=NPl!|=m@ZX*t7Xrn{66EFg0PP^dD9^GI9KSd) zksaK#ufQRd^-O853pKPJxlEMh{zhA_Vqc|l^7GpxmPlBitGrRIe*Ekqb_d60LW3Pn zW8!E_#h#@xx=yMq#3UM1I;@`I789ephHh#C{Zr9bd`^J4VLAwv^P^n~Tet|3a6F1t zX*(91qO8si$M>T6s<Y(3U;ufb-BZQiuM4?!iFsYNXl)y0h-^WPnX*)7R!@Qc)hOyR2e zp%Gne+O`qK#p;wG!_%I*^M|%@g(KGQN1NqPbd6wFJ5X4cW6q}4WL${Gm`QQBe;1`0 zVCY2Qd%2!#`eHpowawD8h$Gw5ufG!R-2oNgU&#bFkrbO{y^;1`k&WLJZ|X3AbKn1+ z``#!HcmLk=w8Xe^AdrHrimBaO&$!-R1imQzXB9@V4X!c#{p}UsXtAknma)_*G1r>n&8p0OL~@9AUycZt!bMIIS{U6!?#&6nn1ER`AUvy1h^_ zC97IlDh#|OoU_ZB-%N&=BzryIz0_j`R*!;h!`2&2jIJIlcGG3W!+d$chu`fS-*+jD zI99x1;vytj%8hI7G?TN^n(3N`sLxpUMi^2}Q{!6!+qB33a|8NEJSk&Z#}fnqc)UOX zS5Ig6@132UZ>pD`60#J2dt7Gpy%=~@>K#~25ai-4=;|S~!$>GRdo@S6?s$g$_t(XO z%C*CnwS`^~k7%!yH?HagJ<~QQH35hh9aI9e^va6DIV~r>t}2P_1kNp>Vz7&s7Xucr zd!0*ac>cT<&}EN$oGoLb_AddoW{H~;WCtTnp)L2!>w5nkdcxL2P@y1LmoIRdzOIJh{t0a6||_7(sj4-mk` z$H@)k1afin05mOKJ#EY_0iVB^+X1)%nu-9Br5Qlh!p8kyq#EOY(!s%b(f%*MndAN+ z9x?nMdcz+Zp=VOd=A9wQNF*6JdItlllrpmn2|-0PJWk2->r`V&WS@UqSkWiFCrn0< zq;iqGleQqPebIUG>{jz4CdEe*l&L8P2X|C<1RBSnGJVBEl0CJ!y{kMuTsZRpy*zaZ z0;Ss_2cWr|x4E~ULE2sml?yyVLPG7>k&)LVRHKS7-=q;=5c???;<2iOcx;X!?-FRrFRiw~lg6CzZIn!# zVZSk?SXo?Zwj4rM8*^GZ@&|*bqCEVHwFKppW!609X%x*EHgl0wwtTR75oc0-lKVa1b_NMQy5+h(|BTv;!9cGqrcGvF&@#T(x5P zvUx}4zd;3{mg>?gf2T8Y|5p@}&vexsWBwE#K?A+3R3f!CJdKS$%eNtJ^=wxXyCGwU zS*So<3mT|Mq7UG|4VefqRU_bXa`{o3=ejxKo&?7+TfoWtWeKV^7>_I66CJu050;@f zSn@H$mU8NZ8(9e@Y-kIOA!3u)OpSM%4q8$w1Auka_uNiyE!>41{Kx;l>))9rj zKIzrLKrG7Q=^Vl?C~WW3MT~>7FtOGvFV_^`lz#PJSGU`KEk<4yA>;a(WfqbH+DAOP zCGGkapQ`6ZS zm*wUmIko0#RY8m2R+K79Kf=pe94Or{EV&!seM09OC8-zw;!R7sy1Ibx};6+feWo`N{zNtb=Y93kacd# z&n;G1G3INeuK{d;XlbIrfyI8x!lPUg`GEt9%3q`BCh0GBJ1e?uHtEL;-GDp)*+eO~ zk8C0ZRq0}7dkhy%wE6vVlwM1#U%4V8fm}kMOy$vk^hlZV zOAsLuOOwTb_|7k@RUD2t+b-UFRnsqpj6ZOd$FbaARzt*GJZl-aPR;MqDeSoA?X^m# zHakTahCxTNL!oRXR|f#?u1dVLlG8Y&=rIToSXljx-C&^*L@ma1k6FgtIeE!R5(ki- z_Eg)lG7ee;$OGC2JG{K9)zJMSD^PQj+PdayL1J2tFcgizKd+)_k+&m+9>TH zabq&Z=PdQ2%8DNqMGmT0x#hK+aX9byUiU z9_NY5Q>Pr`mST3!O34{k^ErnjQSrIrv$(|Jqp+mmujRg$v&$2^kvT=07C&TNDk^o> z2_P01L;Q3(mBx}kE8ZaC&7D3#2QOL48R=p#-Rn-A`bChWM&x(Eg>Tn%$0lXXvW`iP zlLYmdL1;;h#O95apVcJHP#P%yHSqU!(a-HdOb^|;&)q|!C?NjzZ`%Syr`sVwTKN|b zrO9^@cEr2crOui_3a)Ef!?yLllIySMn*y5&+0rOsATBhu@iDJF0B5 z+9>K9fzL>Psd}&l=8MLZ^nId!U$xSk5{z03Wmp)xbM&_E8@gVI7{<$2Nb}>Qk3P4_ zz&8Cr3Rv4pa}>)i(Sy9huiQrqqe7j_a+6N4h| zKR6HiqM?q4?Q0hT-@Cr;)g4R!>amXe)q$#Wq)m%n0`e0HR5G|xdeCD<0Wr?TW7QyO zO;-w1ebx&amv?)(q4vxD0)^6zzt3f%QdSIlq%E~~oj(lE9Bn=@$v%P~6gvN4HL@oc zlhVosby7-$QPJSP{*?)^vPp}RDPLJ>9FN>|FJ6dF&R~JZfP1e>)se`w(#sM@2mjtqKKbmzp3U`r_U&AwuiKTmFQI? z=QLo%l(s*9z9@v`ZSYgWglGc2@rsZynu3U76kF>i9HsuxPVQe@&w6{cdU;pPvibdn zRT7#Ocf#$_(jPvQ4o}Xu{#{3^MFX{qk&lz&2MrmiB&O9 z8zet#@A3cnP_-tJvUgkjqMeBwr+4a4oZ^~t(2=&oBgO5snyFd4*o2qnqmpyv&P@8P zX!tsoSxl|?-8j9{U;^O4?uUc%do@xAdzT-y7OCn;ZCC9^ zr}8hkBz9kOf|e#^|8c)8tIUgI9E_96cwA%z9S-?EwXS=<@#{a`6s^9o4Vo`nK6&50 z`*?0{JK8*tWaLlb$5T@lv%8YGI&tR#9G{zztpt&hs*#SLMOsiA@{&$cShMWoicUV; zrgGoE4>BX1Pnm#JM`t8*O#2&wqpzwmbUyxOad)}MwWl<^H1wUfD~Q=6D&^+OB$Dst zl$V$H@%HX^#*54*D;uiyNjot?!liagzx7Rt0?L@Nu84CsE>uE@Psn#51!r3c+-!}` zY;e_@BX<7t69QNdIIxD47VU&@uDLGe^Y?>#bN-gAdAx6G1ShXDPyV7g=G!TOS-yPl z6IO=j8*E?I)`Dt02_@Ygei-|3+p zRz3m(6SN?n@9#P)X${Q>gB%ri4~pAh|5{);N3qfOq_SmAtN!JtP^s&MYw5%g;u&W{ z>QL{HxN|_O&ZHV4nO%J4aY@Cz!VfutLDse;i-8j|Vmr?VLpOn|mPCL45MM!RX{kU@ zuqNp8Q>`sE)IIz(($@xJf}_^BR>rWQcF$WgIuLO3bR~gW?YZ*O1if(ByYf#B)Otos zzAY1{!BJ|Iq+#u5U?o2PV`+Q(#C8UrRI{~r?XXx0$p4eGJ2YA>(|r$t@Jw?CcEHPb zz!uY<&a@&s@9*NTA(K20<>z1`c{Horn!$vDt>vJiU8m!AgZqUhea zz3{PQxvqtYM{zuORbAJ=)n9yr)5e+sRPbIje1B4_7yq8~lmffB@x7bW-TPn@xH^4c zeskvTBtCz^vv#6ZD_(2WWU?`HrIx=@a*>t)c01i&W%BGtXZwDzz~W8!!1CqrDxoAO zE6DXt)%~gNGUIx3pYX|_?PkNhOwIjS;Wf+p!F;E?>m~!1kS3<{rf@R)`0zA;kHOvv zvLNK#{d$EM6>|}dEGoO8Qv}k^QyF_AkYcXG2-u0b1k+vnIuqglxu*J@CB^J?GdW6J z$LLSVqPh6qDyB*os|eH-0D-7|bJdHUmX6`m3P6Z9la$-vn1mz$>1h0kP=|~(xnL{u z6P;8#{kzx)i<(%ZfaG-&$iVn}ON=pTm76?G33bVu2D~p-*sQUKU&4J%WOTn6PtoWM zWGgF#*D(-vERyM*)Zu-(27E@g&QsBoQRZ$7MPYW(KF7D~^CiafAd!y!VP@4M2>W8J zLZFlB_zRSaKdmY|vZKH!qTv3{OasP97z0-6^%qYjvB5SB7=-^v-LQzKI zu?`d~f0hGjQp&nS>rlgO@Q}7<-$3|Hq_cmf`P|-EN7F%{b9WM^JYGJVjIb+&iO>Dv zZFb*ui+6shj&WBso9<7kEzPb&1zn#mr4E(e8tncsI&)EoC01OjE&?^YLZW!WR$`#0 zw0~^$^bbQ;PX(SlBGATue~IEQB>CZtZGR^>yqT zJJ5kDm%!Kw7ejyDJ{>sU#K{rfpI%ZK7D2tJ-lcGf}=E|vg~{fykAjCJ%s z52)w7;!&q8)d%D&@#`kyNGm2oJ+GFp{ZKUE6_p|-m6@v`qM=_a3U=Ww zlvKb*&mX^jdo0)xU->P9mN6WkmqtzhvOM*L&W=SXE0>ICl*9c8@OAdX)MpShdjxIC z9EeL!=cf#j8`iKeuqYUDVOgnXnlWhqKIS7pVPUZDviooc z@hE|n!#3ILisvNT#tRjxZTh$rh8qWWe3jlE7Z9|S@SCadBsKhjY2ugFT3}D&TJG+? zY8un>3N0RAmWev0pdgOc<-WaL%&ZO(2wsXNj<_i);QvNWsS?j^%h8HB#bD>ht`C)%a10u3@4gfKh_R4?xlQ5Zrj z{tyPy7@j@wcYF9!INiq>TEHp&Y8+w6BF%v~;Bbu%OnI_|1~H7PTjsn8ldGe6i>u1X zh&+i)IE!Qzct*wrk%+{+aKb$mH-B&F5H%TTa&Pt`R(e=34p8+cVGmn;jKT83$7{(k zK?wb6Q0An8ENcZ#>_7AW&BM1!Uov=mA(I$>h zrjthu6`vg0UJRDMfyRMt)9EnbT<*4nEg6GQ(M&?77Brzdey(fb;Q>GVy=ttxav_-5 z_XOdEk|3|WzEgiczdG|THI>#~>D`po_kp?j>;Cd~kdBXLHnJBbsjVp?gngX2Xx%*r zBX`$C8APt4;iqO0SCUw;7_znF)Nsr7$lvsA-In>j_RoYz&Dm3!cIp=DDpfHbi`1&o zyIL9D(XHu#%kY~hX=d_uE!~ULD@%U}qQauhBFCyVBiu(5X~<_V(SkNZzbr2;tjsOs z*qv~2Z1xx|t}QKmq1Frl9x(*BmL>m?nB`ULESpCewRLdzt;U`xZ|e1%4i+B!dtru3 zy}n{30P zQgG{bKoeN^U5p+pP!JXTZc1fv!Vm=UQdh&t7^31R9lV9y8ajb0P3#`0VT_*h1`m`2 zD0;*AF>>1a`8Am|V+D|ckTqYm_15~3A-x>T{>kg$LNAuxSj zDqx{O)h1h0gC>5kgp!b7reZyO$MVsS5WxittIAt4!T{cmUJ~B86Lo2JthYMX<}jE_ zj|&?=Y~Iz9k-LkWOmOiQO`t7(Z#@~I;R9Ewi{Ef!mn9SegyBgDNPCc8{xC~0j}X$@ z^~l5$G>o94lXeBd6v$muu>|!aI#nX5-bu%&(K%(%4Gkx5!}k`LJG81;)qjp)gNNxt zKeaB5v9sTDjIguANcY-*C3;DjfVMJacDOSCP8J=5!HZ1vHAqrq@dbEU{u(ld3^5P? z_%~ubla6{2#`sbu`FstLRlhi$(8JtuvNh*U&9zz*Sh^1b2!rts@$Wkx0% zg(TA8cq)Y~i6ZZgGjsWs^mH;HTTK#Wc4?U2qA;ppoum-7I4Q0MGP^t>Mv8;pcM-cs zREs9x_mPGShX7#!a@Sv2f=GC(GV{of((r|Xx2z}l5>%)%{@ffJNk>Qf2P}<5E79Te zhH5TF=38UKBQ)guW?m;NmW)HAiQP$bv=~}hb{?y;@Mg`#E_LAh%qr*!5T;7*nt|13 z5MiHAw}Ct$Dg^n!^8mqWlaF|oh*-r08?4ZdD4(K+C4Ab9W*&(;fX`Y;u!M5LZ+7JJ zSJ51c+ige7d@6q?HqEukaH1mj+{{m7pb2AL<}jUG;=U2FC*XfGJ7Z+c>3+Sd^wNCxs=ai~38nC#Mu@UkZ0$#g zLTe|)^O9Ikiv@!P@hQ>n5j)Z`QFL%?sYi%oETumSRrr@WGmt>aUW|QOMhwpxeDx}V zoUIFkOue_4lZtLE9hoXF$v+^pFd$02ACwzNmBf@O5>xm}w`b4q{@T8I^X}za8sX=# z1(x9C0c8Ko>Oo24uh`ePPE>j=Nd^T3e+0{ky^YZRO|-3y8%(9VGSie#YDF!i8|1g| z>t%M*1g1LHnIG~)vE8uI4EfK7TaJtnPXZX&!r1NV)KpARm)GzYqQOpJ@R7{GjRcz5 z3Y+w45?wdafWvvM1tacoVx%QBCHQli+>z)K3I2r^ptPcQ1Qrgrb#DukCBLl4@{x_O zM;Bg9hO z(T~~~pFm;vSnfwqho>grP#FDD=;dL$oS=MF9zFI=90cybFu|5Mvdes&tf-kOeaLhM)-DUoqfL!Tc$aPJ%$T@BpYxBrg zcf-dMsdO(u*bC4?5a5qCxTXvFJ_t#(mQ`GpP+T=tT>Y%LI+EIo3pez^vMOpRPL!3Q zLA&RmH}uTp-KK{6vnj^d5;NC*TRhkPd=%IXVSwtYfQE&4XZR70Tew+eRe~Q3##bNt z4adFV`{r2#7QjVYuh1nH6*rw0m@GHWGr{|nsj0%XM~k7m2omNb!Y7SFdqrfqbN7t` zw`#~de+S=(f$!hr%?xh-B+68aN)|&5b3S;fg2LQ@DM86}3t(3W2T$AjzuIR-&T>c; zV6I*%$Qo8#Yi!bvNpvkJcta_DcknLqCjs@js20!!3uw?X-EPwM2T!oex*GgugH*+@ zlF#)MEZuO?R+B>0jg=EY>@knnfEj^DqhOb{B=J;)E9b)a@2s@wfVl+wpZ3e!!SAm< z-}4px;$pr!&qsPceGD!vW4EVI&abPB0zVRRp+CyuO~TWiIe3eOS9R-KX6^eeMRQ@q zA<&I^b^Nv6W5vvH`l|lnc#*K8ke>>9({3bt=QcQJM%wx3| zgBLXS6zM(pZxyw7-K@yFr$m|K^+Fpey}N!rtxuaz^jkFe7l?qczn!3n=g$$VAEZw+ z=y0KlwD4QED|R}zTK^t#ejqXCh1Qa%`(#DBWjHajIV z{a$jd&8xUin@w6$8G8|Ggn#YA?);yg!miy_$@Ku4%q>QUokn&R+CvPTrCxCUjnx~ zksB!X`1mIsto6A%it1cEcaASss$ZwJ5||7ym7>|hUz`2?>Kc!cuvCUhnR?p%dj*b; z580bZ)#UppP6J;C(O2qM%m@*3&K*$h^&IZ%U(;nD%uws7PEH=;A@{q&e1*2`5Bcf- ztf7KNgfGOZXZ0E(tD8Kv>>GqnjuZPP`_KXngrk|Qz|N`Csp(>e78yp$5R;#c;kuWf zvUtT9(tlx{dCt4vsD-ch-n;ARhj_swE^7^eRE0I~VkrYAmcT1nag;jM6j$h0EN6q$ z(<)EzzLFD`3khiK=2{*4@K}y?CYX~)Zmo_09l7~jmU%5zf0cHEO2!UWX3q$j|Lj!_ zAvGURLpg_B2Ii+#$~CurrsUknAiE;W{F(Z$Q3*v#BHslx`P!j8S~`p zY(~td?~DhaJV~#-os2?5$b7LyQRyG&?!|+-ZQQeY+@4>~o6v$fy*?RH=5F7pRs_5v zH}>W@rw+$KK0WNcyTqbyandI-E=tuewaMXoQvi@oq7wtpe|>{qYoRK`I1q!>z1r@+e?EHywzsNBdaiLI_q zz>r-iAr*UnU$luT&U-Jx!1&5SAi8%v!QqG57^Y%%dpgGdb;5kYYgec$9osC{;nCp; zbZ+J`h0#{U8^#`PRBr)+##ybo{-8ZCg#9r+Nsk{tns*mj%GX=CaL45M{?$=&MvCl+ z9bMkltgRyEw;WLbkI!8h?Bm+!{IgUMBWS1IapaT_bCJ>$!B64zqs2a)N1YZ9hPI1{ z-pr8^{F z`21;1S^q|^isXq6NhDO-JHk&)2+sifsGT1rZ^^Eo3`~|1Ob83gdBT+qekrKLL$j*!1xq{1YR@x~y&uao%aW{Q}nl%D#Sjc#-X7%#N_6Ph~A z>;zS`-asfL$%Iu3T+qQthtatiVNsHa*%Em2B6yok&=qd9r}mv&&Us;-`jm6Xw%IJq zwP>4Z7)c!0;`sWp0wJ)jVhRsTzP57I8c`j_mqZ=;I= z{7o}$S}XI9zUXN1jr^{=E?0i$G;60mq8ohTTWuq1h_$dI+=oT1WsB)C-&MO54*rx@ z4*J>etSwz}ta5U!*TvsCQ`%F!FL69^cKME%?*%)zyp8+u1;$XMDM#9ig1YQ_Yst7R zKb0e5g%!$axL-uny={SoXaqw9*_4{et=}=vP8>Fu)&+kqYM`D}ROO-3cHm`&>lrl> z&+coEKC*hDLe5m<2+n>$cNkNa1epn(7Y(VX|#->>|cCS zeyYp{JKT4=d1l>zy(Kf%+t^g6=tl8wNBK)ndMJwgRolXRh=oX&dtn)e;Qz8 zv&Z<;Xfj27vdS~09E+SJOAnzohWdmYk1Xfx5L=99ze{gBNeMS)71{>7)*S}z14-r{gmu(9E z_-tublPK6&M|9#dnP1a?&b%^3{z-z~8dEmsWKG65HfG?ruS_`xhTP2uguH~mxQeJR_&08i3<7YeV8fwfy?>MDwFhR z=qRGd=_XGmo1xq0cihijgF3?$Qbp&2u+1UX4W~gmWu|Mci_)JibROohXFrZc2u`vd zn6!7SOr`YDqVVL%bI|MBIpxg$riObb9>Bt^Pfp2hXbMwB+EHY|Sk?r6TVt6BE(jdl%68%t|55bDYYd~{3@OHqhk5WX+ z@RRr$a^CnO6^>eT!@b{q%yD`Pov7c@kJVRTiPqNKba%Ym_Ai6)a*5T8E}p7tX{gBc`BlaRD|6oA z{Ch+Jbo!o8#TRT*Vz;4zIP$68($ep$N>jQ{wAI|{PnpNMXRFDGi2Cyr4P% zIDDo&qs>(hi2eTNhcSxZ%MFQA$BNA_yWgY7p8JsexX0o3lA-jnNlm8ZQ hM$EAEiXv^oAIjEmG4$aF2~0dHMNrQ-#Q(fG{{#0|_y7O^ literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.rtlv_sg.cdb b/YL_dec_counter/db/YL_dec_counter.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..c2dcd8548cf80b5af553f0919db3f6e9cf9cfe09 GIT binary patch literal 2500 zcmV;#2|M-?000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*BoB00000008p`00000 z001=u00000006`Z00000004La)S5|)T}2efU$;{nzzHQP0fQofW6VMb2zM=lx)urX z*^7|SEwQ7^*I&X9;1>`#?gUpNf*>fmP;lWq&(S#L`Ttebse5j{d+)oqJ00y4>Q&XL z|M}Ocx$1W2^ZERuNtAO+6H<1vs>DAjzoN9|iB0}iIb}gQ3nr7lB2RU(fCS59OR)SU zN)v(@v224RwPF91l3@9g>n`C5L5$1tImR1fve*hSGM$gD98c8)vp?j;?}~-5ET}Vb z8lBmA9!p!+yq~|^x0l6;B&`Lo?d(6@RQkQOv7t}2X;zuXr~1C*96Qv^*z6F>cnM=v1d;2OQl_7 zbd}2eoTf2jhG!>p8r$i~^EIP~dd&#clPV1<#zP6f)dV}9R6Cy5+gMn*Pw(5RRx+G( z%;mGQIHPH1xsxq)Q|_}ZDB8Eqi~$h$T2i%eP}{lzYf>rNAsjKMLI zG<*fri+acFYfBCkf_lml0i|=KYC~pxxGD(@Nfs)(5DAXLmwaO>ABq~!4x^5 zpzfK!p=$I|i>fMxFdp+eNHs+yK_E+nRZ_J^yN&0w02L=+vJbQ=XI!ES?;wq&_rFol zk=bqhXRf{4yc*-x;gYEbjhBHH|1a>@B!?JZrK|fCP+32x;vAVC{5$HOM@HaRg}r42 z{sq_n_CYv1k`f$7B=IMyLew=sz5gEHmELVUyB|{eAGM3WOZ>6o1w;6+RJbkmlg6S~ zz<=oazpFou1Ux}`LK0~@1gzc~d3{A#>y{FV+W9-kr3TL-b};#)e6N7lC%)Nr3E$N} z-?;hqgY!Sl&+Z0@SEofKCkR7iSMWEanrZKk&i`87bj@Dh;2#{|zn{-lL zIPvo=ku2=b;tgW_k1p}Y<6jp4<0J4d3IA9Je&6lU58esMrACB*4Moa~&yExq z2l_Uy^QqULxEAVFGg|8%p$}u)<~v<<9`vG!Jn8(Hyz1HkKl2RCs?x-W*IRk1_v7I4 zfdB>x`jE&!p}9ey_Lp2j0(^RBPVQ;iR>ozq;qMiWvy}Tkx+K*{uAQb-oOzs%WTh!w zeJ7in91nHzAwhovon2q(Df?FX8w>ph(iPl+-}`Ol#!p^v?aK6uE3NsEFZ=&i>EBl! z@vS3S#w!{u_vhMXeZdTWxW~8oV|ORd4?gDYx9%T1 z>pfoS%8)Ux@x}TH#qfWT{At=vs`XQZPJt24zF>%R@pdDJ#f z?3^ljXmg<>8~VAqCS!XUcYl@wwtibJxX`C}3otL?OyKi&G4qTt3|0d*?2k`_8vd z{AiYRL9Mix6c&e#R$d^Dja8~NBH>U|m?Di5ND3P}BX;=%0{&)&TlYvF{AQS$-^`nt z_fphInR=oRgSJ69&wx2y2&{R}c0?}lWKO)ab5`Kbm|BG9@aR}N{hGwnh3Z1|LAL+c{KB5cz`wIx zFE5#aF^TGF5%TMn^NLIK0#TCV3S}zWxxa$h4OGHP-X2%3VNfc@_JroiI1pA&hC>{_ z7^tx42@qAb=V_vS$i0P)EKkq@L^-ZBT4@)q)!ks7Yj(!MxqRM8P!f$TmVcgc zfAN*^VA8|87#;K+(c7!r1E`wDm{r?Y7g){V%|q{RMxMfAPt+K4ETfxBaDM*fl%Z+} z{4I@kdWXQ#$BP>iItfG-B(^*Y579EvM$?cx(^Dtg&~c_)Wu7CJ3V-w1<)<|m)%U1= Oj=(Z~VcY=#0RR8J*#mR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRPGSa{0tBHTQ-L58N*gdi_!W#t0xkIt z83_37Sowox(ZYu>R{Y^_2}p@iSmeURsr&z#k+CA5nm8+~a&B398o%|msO;;7bHv^> z?%>T=5V&)Y_aSe;3HxuJ8`;l$-p=`@yL#hKzlD8=bNA|rrxiZ4Z2z45x@mWlwp8>o zgKs?v)~ef$e3~k@^7n0gTx9>g#__$m^G)_&C#noyYU?j*lCN0if3WwGy}>ad$N5cr zxKti<*{yq`EICQN;N^9`dB2|g?2I~ZwzA3J?t|{R*+*O_@6hQ^sg$$zt8q>&Sefs`UTW zpa~r!0?IOsLA-@#0qy}R9*j3;%J9fKTsxL6mmt5`>dyK5N2gAls>r+|;rJVF{fI`B s6CXL8|2oGs*EA~e@XT>IbLats%5Pbn@0%GiL#ECvn5qyAioE~-0pp9?SO5S3 literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.sgdiff.cdb b/YL_dec_counter/db/YL_dec_counter.sgdiff.cdb new file mode 100644 index 0000000000000000000000000000000000000000..048255cb5f7f93a53c5b3c16dfd89a971865fcf9 GIT binary patch literal 2968 zcmeH}=~og67sl1bW9gXXRyrn3%QTba#<=C0jbo+^xgahnxu78`DM_wSnQOZ_SuWV< zq^9DA%ZLIs=8{H~xge-aV_*tMMnIsz>-)}M@t!m1p7Z?fIrrS>!*lM3yIVs;gQ%yu zrI@Yq-eM>s9fL%t0_{N7w$^q)?+j#eJkTCw543f(v9q_ax3vQSgAwUiWE=u`CMFIA zv;zj82Eq`rK%aQz#jVXz#DDEHGypsP2MyX+|L}p{Kg`q=Jn*}9$YEC@sWi*5I4eO@ z>&msusSX!O<34&NRvqW)X3QyV=7sHTS9aa=>hNma6+7fsoR!qT+f93WcV#)Vo!op- zD?)L!U+{I&khIne&TE-Y>S37`z7w$sJy_;lt_@!p!RIno1KZVimTYhAVF94DnGh(A zN>?=dcLr8Pjwgp)Cx^mP+~a0FVQ@*`G@Q?L;#mP` zYa2Ks<-^ymTmiW<N><38WtYqqg~@@UTMk8sa4-^M6BqmRnOykRgdYG)+FlMQhzg+XHdMhZa^Ay z>`jSHe6g?^SHx^K zq#CD4dQPT7+u8Q=v1X6u-3?$tj;r+(kH`IOlnxcn;4-!^l+fEUG+(B;LVEr>X8}u9 zyr!Bh_GXK1Z7s#Vg#F2$XMYAaFy_zg% zUz%jHwq~Pu@hF4#_s2g-7il$YDZRa1eVs;2=m8+(YM=V3Rl&oI*K2BhabEZ8d#p@6 zBP>qp=N3XKh7J3FTn;3U?T0?rT8A` zH)oD9{$Np^|9X!$f5pITp9hz#+n}J9)SK;gCUSoOzd7~TxR3rgZIPYUf&l^X+@(G! zfb!hY1fTbLLpI3#(brKIQMp(u!%EDRz2!=#2l%=MD8V3d@lD- ztawu1+1uliyqSgFlNbS89!wLnmS9-RiAKdOcL7`$FbZ(}gTm=&{H(dwj`=ac2i zfMt%d3*BDkD<%Ez4$oq&xb$42%vE4sG*pY$FfT6UeX|JNtXMvy0z6b+iLHg23)K;b zh1)3Cn*pK;8#<5Ekvf|L6P0fGS4))4S=r{Ri+JL|RwIty<4YX~1#};$!#9Psw{t{c zlmyGF%tLre3qO0&c%LytKPiJKx~$KEa&r*(Xv+395vnD{f`40zCUla1{bCu(Q7e@} zn?*CrzvfX<)O&d@?INEz>aAolT4a(}L5kmXpy&{mT4;vz| zYMr*2eI|Q?X1<^bNE3aAr&XTQ&b9ZQ#kySFLgJE2*t%QJZsZYOVf!SBX#T8-HxfeT zp3QDds=?L5Dx*3#adS7;LtFfJMZPJWQMP{3&wn+q*vPAZ0O00w^uFQFp!5*V`2|d% z^oODqcETjsuCE3a0RhdNes?w9Pr-Z$!vaDTN(3znW{UdK){7!F=xsdY4_EWj!>TsH zCXsOb{km!RM+ke^P4d}@-vr%_ZL}prmyaF~zfh@?v#YpC5XQ%`l6!|CZn=V~b>G}8 zhv|wzXw<}nu=~4WlZ#$Dg#1Cb3#Pwi5qP#cNA9BSIOhVVz6yP+8+NyLPsjIgOqdS; zT+~a~Fk^=+CLLO#@0YcYj?Y@mJUbq?N4(S22YgPu^|FaPOY{0ChoX#*oioFO`96V0 zVVYLwd~nfE>vHKa`&#oe>_q?C{u{#o%_Rgt7Hn19G&I!eOwDV-bd*uxuG*9!b~7?5 zBE_ms(_hcAXv(0~;k45LngnqiSXuLbTWxvzx;Lds2Xa-Xp!t#Z2|Y^Y$KMaT7Z*J$ z_T4?4ucOvjIdLr;R-IKvk1$G$Sqw(J3d73_=~jj=m;!i3@(Ekc^giSYVr`1+mgw+e!ldZz zBRynAX%P8hPRWlo^%rFLz@R%24db=%K*or@Pbl|~A$4LjPn5t#G~}4fao-UKxbB** zneDfKM3&9>xOEr6&9ehDbHIk%%)@iae$h9Ikg(ddG8r;85WK8WlYOXL_tCSXek*j) z?|ugYwdqKV>77tbBiqZ9^kI?A&xk(6dpVmo)aGlQ(RHNAdhdxJGW(qU%qwFf(eY=P zH^`N>5D)i;IUnek0CIq{+b-Qx495o}#8!m?cYUX29^HnYAKTxED%Jbg@z_4;&!9_9^@C11e@k4Zl6^jz zellhK95NXahpEY}Zw_d=ny=gZORjT$(t0qQ5Pk43py44jF~C%E8A;pvp4ICA09p0f Ag8%>k literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.sgdiff.hdb b/YL_dec_counter/db/YL_dec_counter.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..8d7fa5ad074578adbb26bc509882a2cbb53d5242 GIT binary patch literal 9742 zcmZX41xy^^vNcv*7Ap?BP@uR|DDF_)-KDs@JBz!!6?b=s#a)UPcZ$3Gz5h#IZu0Ua zbCQ{ynPifalSw{IC@82Z6zG4^>E9FnhiaydP8N2yKo&MeW=0mEu#1JY36PbI70ArV z#KOwN%FMzBR5o>VwJhi5fQCLPzbt{#1xYR4N!;#iCBHBCLq!L(Au+xZpsPDLhr4E zjY(CwcN}CA$Li-*%b9wMYeBeg?Iba=Mqq*(F_z#u_ZU0~s5GF8xjnigj_dGGKsBEL zHqv4r8n)BNWx67{sutn{XqoNYipobM6H3>r!Prt0U$TPo(0Szp%mmxBscGmVW<9Xa zV?+>Pg(oxE2^))^79UBDzPDApEHJ|8W9PLZPeT#Cei9hG;^G~{c#rBVabV_*P1C{U z`xND&g6UwC@^f{NRag4(DW@;-@eP(9_^p_6!W+iJZ?I2;jJGBk;v~E5z#x9eR=saA zH{r|>`^x(ucoDD;+lJtXu}2Ewi}M2hcOuOl11In;&_JR|rvCLYnJ9FMP_s*>3N6?( z~(|^pT2gdCw0 z<3D3uzajB)F65idc-OCrc|Bxy#WT(s+!XGjH{lHxQHu%`=)2C6Db44!KkPiIc5JjV z@9`M^9Q37?#RyZidW7)X+xjW;n1iFf1jyE_Vy5R`%K@^a;6%2JRCe zkqhlu!gGwijwrWAFa%^*Yk~41}6pC&NETc){WT^+f%h9<+8`rbXs$5dnHOH zD(dE#%y-%(RNQaB$VnDx>XStmUDL`F^b6H9T%S<-C^@}wm3|W?|7QEFMyZ^waPaBeiMa#%~qxPT6+lk?lKgaMyifj+dP^#8Jo1S zU<{+e#eMf=5wB<@57)nMj7F}X+6fwLB?2aC92*vodDKv=-aSR*d^Q!gGyO)xo#)i`Q{dD1`8%w*K8meDxZYCpjM z*p-(C+s4&w21As>_*`TS>U2H8U)*Gmj4F|aq!vYGD_D3lhhMD7qaT(<3IEn0orf1u z(dK|PLo$kyNHp0@ZfZ!%zlTr_Ih0Ows4vo}Rgv^(iK>BMV(GPO`r5~fnHsdF-X#YY zu`U8`n>Xz~yqw3${>;q<4r99bR9WCSd0Bs~y6}R|WEC0xM4BgTs@~oAmHnn{okM1U z@b1RgBi&rVmD`@Cd7g_4GHly)gvB{2%_+Y^oU{%bCzqe1jGsXBSyMtOtMNJ&cs@ON z%XUQ*>ljS2WL#8+R5^syJzvUzO4(E4= zt`H;LArLPPQus1mG->}govZS@$!y4OCnfqeu4Lz&_4K)iM>VJ|*Ga(m5z%U`FB~$! z=laMq!Qx)?#z{N^bilwU^57w?^7{nMqO&%$+z2@S5-f#`G>ydc;|CJ+Zo4aO8kUes zLl_yf4pb}B$oC=-vGU4VIB2^g7lU@;!-$C3DO?<~Y&t5TOG-!)dKXt(_2r?KHh?~c zrNw`k{jZ+!o7rT9My)$W{hB?qt|1ExZ6E_3>mS-a7A}6gk~Y`8ltsWuoL|tRghQVP z6vBLPsaO{BU|RPl83_RWW-Ca?iYVf<&6hZ>N+g&m-3ooIoF;Gg5K1>^_fL7CF0EeZ zBT&F9F4r3+j!i?Oz7Bop~k;a-$v($ue&aep2zAxGf6Y4bx&_ zmecF~sd^dUUje8Eq0?erE7FNv#>?xw!Lxbv4Bgc_lQF`vxn`7^7o92y8VSwYTUpK5 zn^vJ{g&r_uHW-KP2AkXyJ6ZuMzef^EJrY%fx= z&PLNSV4V9SjOL~0*PNzhcVqLXr-mv0u5y5CdaOjqFRdlJw; zMcb2k-@-Gmn21pJFX*9Y9oAxcrARW^yvf!dP4#^jr;zSR6@fi@VpO903KAq72HFR% zZ9^ULV!GxYepOp4z-{FCx9B;#`txSFly!Q~4`kOHFxiL7Q`EkuM78Hwe{SJ66}Fo9CkO z`#q1fnJaa~A1%0bet$Zdm2mnktUIiI@iBbT!!PNwKkwAZe{&VJx^Pg%km5=>tC4xk zc~&TPeYQ`ozh=?x$iM3Da&>h(Ti{u{_NVa4eMtyq_gP_gh-qX6o^1Ex<4dlyG@0}f z7Q8p0H4!SvtB+}Tc-S58@z!D; z9hXH`UjL?iTmXf%e3E;tf{!?#3QJq}oglwc>#mA+T8;GSN$n!tZ6=``su8)|Z*f6h zF6e{yeLctLKBcObe*q1qZX1vP!+!SUL37f*Sd7+VE!4GUv0E~AN#&zxXiZ$cqoyQ* zvHNPMq@-ruWQIxvk8C=Nv(Cnib#>6>GU~)9z{NX!uk3L5KU*8t?U<$#kx!>KBb>4l z^o$XmG|h2+@%Eu?x2sRRnH{c(tjUt!R4I6}PD5Q)seen(8#h^)HMzWfT3s&jX&jwt zV97Zl35g3bB>r{eZbL>v)X?RdngOxTI!MqPyEq_&jl$ z{IsSp!v&~|TTVJG9r@~5x_A#Z%as>puO2V*A$fB0!>ICID{O*!u$R)1t|w)$z*+E|MN? zA#Ysx#f;9jdRto(8$KcH(%O|YTzIV9$6I6EZIyl$T_^a_z1>(^y_C+#6RCexCCSIq zDd+oAen>fw_WTpu`}hk6p*cjHFpOFH<@e?r_3zyIyVt?Z9=+pt3uo_y;gR#~%~HP- zuP5=BY3CM!j`z-tML(bMsV)9)sW&#B51T?iv7TEekmZ*qfzfyIW8AmlLo%rkWIwMr zIoBugC+X+I+tDt8loPx5dFqZg$@g^Yr|9dgrDy4DqT+8SWM$rBJ?2}4JqjUzeXVzf zUajAO_ALA+!f3O1rlqQ?c9?H`L83osMRYx6NNIw#FgP)3WT&B%LGjnmN1X}rwEeNs ztY5^GnjsOz083S#9ik_8IrSoQz9e&5RqDK883^LtJ8E3`$#Wu$RH!THDdcq)Bm_}1 z3Fge55MdC?Hfh;cD9p+Z%itdR$|J0u$;vo7vlS`Hdh6Dhh-!1bXJ)RAMMG^hV9A#P zY&3#foy@faC!IJBi{evDJ5V@F%K@I}zx?Z5&$H`Hh#96pjb9Q`D#K(%EN1d68mJ_~ z+@oKF|DCA1eFrL`UTjJs3isuaT2)lMD58~(s^EVAo@F1?HkWj#Jan++^#`6vLViw( zs7HToGLDpP{M~~qR`5mg52XnmF(mT+yJRVs0cnoiw0BmWz_j`UJN<}aTj&<1n-N1BOGl2)_Z^ZT(tSWk+-<7Vv0&M% zye#Jn1qZEX)PS)^{7HGz8w-u>kIy_lfU;1!ikys_ak=-STbtK0E-p#}0dz25k_m)# z9L!wI+tqZ?UgMqyo{sqqIFL-ms_03}E@iCQhoD?oB8{q?QQU2L9)DaUeN9o~OO9{P zmIWy-r!E+&ms8%LbfAWleoF|qSbt+$aDOv)IF*kmx^*XDlacLF<#Ae%Wn{zrTOn3N z%d^-}ljjD&vRV{S=U`Jp0hdK6*hTzk|rAejy9D0E>zL zC9P-QfuIEymjavLBakKv6Dt>O^(Zvo#t-Pm*ws##6q_XfxEd;q^9r^n6kj00W(}z9z)bx4f`o|o54IT&a zm)#M%GFvC@@>8|$&4aG|RpT{v6xMeU6XuPd@XE!M@`D@U-aidxh+8Zsk6m=`$-PiA zz&s3X>SOP59RIAA*G7h^qt%Djik8A8c9hp7_>AR=kWxC{Cfg|4MVS+GHJG;0lUD_YB+FOXkHKf<7 zx_TvvcaJ|S7{(CrKl_pj;QmfwF+G&H2u81UKrowLw==7ySpI%xcadu2ewaZJ&_Ggm#C(9YdvxOB z*+M;)U@w(`4Aadn4viwOrNUkxBpq$_!QUIVk)`HT5)qDm|0$SoqGpUkE(SW8w;hj> z-LWEp4jP2fS!j&01(jSeyP@mq32+Xem()Z+L}Nt1b?aEkqG<470zTdxUGt}pV$P^4 zJV+8;!xK0rp>h)fB83cxB>oCx<*DFqDyCEBocpV!!Bv<*ED7p`LZe`eSPVVzm+e(-ltj(4>Hq2wn`NGmztw89wT9u46%4oz+02k z(Ay;4QcW~QlIb83^l%W#MhW4kii9v2a3=z$9By^->rVJV@d!%O@*-ipz$8p*uE zp~Ogt?=aM{g~p^!e9M3ZT9MCCJ2gYtCR86#hynr3GwQTBFUVcRVz4Abo5H_6xP91= zFDZ~60&+Gl#JYy2rW}_GgynyGFnmZP?L0U*%$4tj6FAsG%bEL%2|hxV zl&mbP>nYLRCVP$#ohZ%#e6VKL^OUVmQ~PXO>TGMmT*?@iP)>!J$|d55T3-1?p}hsar_Bdk#GBKrwp}~zQrlabjFCEPI}_9LX?Cbd{a@m zi2#0H`jq5q<3>whnJMTUW)07{hL9l zk0=`Y)RMm^R;MvNalX@@-YsF)E)}W+1Lj5QRxxw_1hk|rI?Y>|HN5!EvW^KyR*K+F z+570kgvTkR+zZ1^sE$h2AF4@~Nn;UDsEQ z9UisvH`ceMt=t}q;^_R0-&S}2+3A{Lx{DFk%*_C9_dX8fH5S)p3K8q}M zSV&y#c-%)EC^rR^n+Q^e?GI>bSkNP7e%Mu0ebP4-_k;a6=htQB#2&Yx`)IGMV_D01 zm*+LF{X%;pG(~jz!ng6WYDd=gHFJGj!68jB=278Ost2CQlV+LWHQJzDx-I9QeY?S z3NPHwy+R3Vwv3v#Y}R|00)5ktnzq4aa1ZhSjh#ksV_p;0+QqhWKMG#M<9dD4nmZNx z+2GRh#rIOopuPu{TQHRPs|Y$wFl@Y2z`FsIdCbcXFMPlVRJ>jkohvSU`?Kc{oBfb| zC9nLNP6(U*XA@J5SQm$m`)JlgV^hf1Xq?+f`Gm{&ivoO>weVIA(N2A}y-e1<&jgMY zsBN;L>x?4ZXy6{Vbr4&da_BmxNH>MZ1^i&mxtl4~15E!~k;xE_g;lHk!JP=fHHzRP z!y#HlD8lq=>pCq`dJkL_#d&>np_NZ-iAgxZpNM8`4UU$H46h{V%>Ye71n9kohd#lX zcE-&XwV|)HQ+qZoJ4f)fA z1uw}^jO@XDFlVp1O@2( z*J-?pB>%5gn~Ces|{tKn`MGx+`##ZLI=nSiF4oCnTb%e!|?)#A6Ww( zEd9B~4V9BggPF*}>QMr!N}TWrI{?%2j=_J$O7&)Y{KHpN@v{>aaKY6!vBRcu$XaXN zP3OFTkrK0RCI+#oOQ;qRvBE0)J42+-rlo|+ARINUVFjs(Ojve!zI91ZIH+VCnq|+K z2MC`^O5hlQdi-hdL|a6OjQRcZG82l{jz4}i&m!Z9{rK7$qR4VF`3`#+Ieg;0vE+#V z4fp;U^frDV1Na=)W+hAc#Dy`fN5sr66qO(e^m8oE)c=wSr=iYBJ=2SB_ByIHKdRM` zjIr|3cQA}is~?%Hx}VXV+P+hHI3sOsrGb@I8!79A-u>MYQu?Et4))*-6~D9E_|(}@ zY~?#ozx^CHi&4zGJVtWAgQZVYnr@fudan%tv#=m3n#HKw>D{#&%!PqCrIHnqL)KuP z^V3{Exf5u{QbN1T<>5Bb-?i$I4{ntdy(Cl644tnnfOwsOk%6sqG0Z3L`e%qiBM4%H zVg9vx#HhM8j)thZ{MM-^_D^2{{lyQ)G|{x$3ogeW^aI(YXYC;nr~4LKJVMKdHSTZQP$ejqKHOc5BbC zp$nV!1PP?jgGy_mSrQ~NsNAv8MjUH^+u)#R(6JOA5$p}M0sS-K7%y6IXUjH0D!s^l z&#I{q(Z+L*8+qfHu^{5^hFt5arpz2M;4f-$bU5^JJg5W`M`QwtMwir3JTMcahbM5k zRYgcdj{RfBx_8RDm+60r)K|8D|2`Bnr8(MSBG&?CWV0JO)neAWo&xREQ90GZR@(-P zFU^OKyhTHPfrYsREo`3UHXiqPEY8aW(#5*RPjJmA`0BexW+Jcyh3Sw7UV#e!^IKYs zDs@zbF%|UBC3E9J`f!7FmOt6YPFeG-r-PrBs20%D6~37X`*35Q{E{iHF%c#S;v{EJ zeDFut%ZX;o6dj}_-*E&!E>t!|rE)y^UKzNhddYvNvIsn|Ez3t>2~d*Tf-x^fu)Yl= zKA0uczy^T&d?Y;a7*Tbsj%l}xml9q`+P0t%YeKgo!T(%tKv2+l3-(z65+@{APPS)S5b|AhpV&wM*UqOorHSz{w6ekk_rfQ7p~v~CS&=b`yybo8SCZ>DdGql z7`iYGGvwE?Jg;c2Or#8d^?e7Z$9NC}{kcA5IzO)1*IbXd8eX_`Z~C9j!;3BsbUxi2 zuuo>!v{kH>?lXa(bx`2k#BNiP@>jg(n`#TA@IE%geKEyacMbDONbEk$XdJS2(L_#9 z#Rk#pRNfYY`*Muz{J8IY06~YTio*7Hvi`M>?HIL1YTVyX87&KX-jJXuG&LLPYuAxPb8BPXA(r@ANQAF%Hy9Y!G2L0qo$8;^ z<}5%jkx*mo1aTHubDDM(n$`mzO_4LhDRZNQw%Lr3#;<&T%Bp{;9FsiA=N7BFlQI92 zb@9HdWpkNsojuHoPMfk`uXQvXpvEr?{5dkrc^;W&ftt4+Af92IF?DG2ql7!wm{0;z zmuw-!!s)QGV1Zuyy(=vK;IiuGfpzYd`-a8e#uIyI+<~~+)EfJ`Kb+URke^-O$2OAV zSB}O`;W3sxcFFvA*W~1*YR78tYc`9yw(!XWThXnKS@9h96XlzXGkm<2A~f0N%Cg}P z{#&W4`K)y8zhTovuU8wzm#dG&xo%@;H}VCj-ND!=8mCr~0)~)zXPK=edT(Kx1{qyW ztJh5p#tZCnlRx>f-$(F_5??C<<3F}#S*AVM0^``O3Gk&^ zLc@AQvWl5v5JcX2x!!yHgN*sQXV>C*FH!ElJ!ZUmRP~3{SjrH_ZZ?@N&Fd%jemms8 za-n=mIv)uU%9S$LVRG1>cy;f_&hXHj%gQtD!!$k_Lg-Oy6ETh=h1HvSM27fs@9)>o z8NWMUjefvFL4AC!AS>uY~tDP$S#DYsE9?GktZk|_4t^-jUd4OC`d{5PK(8Ncs9l8 zrEQLsIdQhZy_LoNmu=aM!y4b%dfaZUClIe9D1NyZ_03s9MFj9C z4t!rD=aBQ0pp5F8v$eFwd+*LtG1s@vIJO5~k(LReE1jer%`GPR>I0aI6R|z~$Mcxh zbXh!%K+rP;yWDPNsaI=jln`f_Gsn#>(B9hd%l3e{kaAnaC#sfKMxCNM5HqbNUT3v$ z&*RUPA+x^Tc`lOAT)qYxfS;e;=b5u%6b24`n~{Ctw13VY!lgN+4$1ava`Q0XUBZ14 z=p_w#5?rH0xU@n>9W=5R{KMTp7hFu(FG9|Tf%SL(pq|_}CM>{xK>4PBk7jCM#W1hi zb9mOX3w7xeq}qFcxI(64@A$6zOB zjYBR)GAehl>7V7<5LtY4Yhu)O1D>NMgJK1TWcR@AFjiNyT^Z<}6&ZZQ!Ke!>m-PX) zfwVEX-q(Z845!vIVtAV|q8LX6O~Xy5t!yzRF;QeEt+Jm4Gw(-%a@b4Q9M7%l76#~0 zjvu)C!oZUl1VK0=UoQpbdPcW3*f64S{Zy=z_(h)0VG`p)63ad{b-40u4aYA$7>%-C zoPOvvE4j;yh&pBihDmj#Y5ITlUgeaJtPFJ3X!B10vXI146T`-lp_z zt9omj!NO9@n$1>Jvr+lwQ9nJPTHENT$N%t=z@Jznv(c?%9dYeE$v<2UnD1p4A+gvR zq4^VfI(~S%Q!+L)EaLM2l1x&DBZc)8>CMvigX?3B=;}OwHWate{Gt$Niukfm)Zl6u z8^>9q)89!!ELXVF!0hKL%i@DpadH}+UMHh0)(j(i;y zFJi&FZPWtfZMR^3`m;u|q^6TVK2+>)pN2MRO*-+HxN{*=T4}P8CPk5j-p(U_MS8lJ zdEp}tmM^iiS?A5<5HC*28Xk2)+3nouE30aCt>ahh(Y2=Otg=ivt}-G*3I|Cz8<1Ut zkG3RwFvXfi45F&sRB6V`K;kk{g#ZO?&?Q0NFl=*u$MvTq_0NZM3_m!Al#cf__Cj={4i0O>nBWxguR;hOVJ{wVGi+JxI&N2m7z(bMRSA4>!Urgj{#ez{ ztx#!f!#tW&+c8qS6kB?NhZ&b*RS!>0$K*cJ zj_OgK7zznwx z5xd*yEX+GA_A7;y08Q?z-I2?PeXuQp!-m+WUv(nP%MH1fb$kUfDzN=t!Tn{PxvOvA zKI^r9Dk{~RKn$NR1ErF9Tp;^WRw-|0z>tv(JxUg_=1?w;2aMl`yWng^?@%(WC= zUoj3!ekf~r1a?^RYk(5!RfLG6ITrN`5sGP@n<64890?|4`Z}A-e|{?{!e~3ac5M#@ zg_f6!FJ3j=Wma!TSpR~^VGjCO4;?T_5`Kb>K5&%Apd+n5fSh?%oDyA12VKQsDCWWI zK_eTp*@DOQqha-PW(GR){CRL5#Ml@^r)GlaA4H|8+8R$y&QEl8t>Ssi=pCk;19oAO z2N;fAcm&&_2`ZvkF`|4xi|qb%Kgf1p4Mv%_Cy^G4aQU0s|^DUj#>Cf-a{>+*Dtv?EC=|(5!f3AYTKl%(KPbh*{jeGr1~=)IHP|m zP$!uD+7GMZFU84#t9TW!bFes>6P~uSRt|N7=Hd81aFdg0Nc`eUnBOo>i=@A*b$tB$ Jv-R=u{{YTw)HwhE literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.sim.hdb b/YL_dec_counter/db/YL_dec_counter.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c3ec17c0a51e305e9e80b94f3cdbe8663dec6bf2 GIT binary patch literal 4782 zcmV;f5>f3D000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*E?I00000004dt00000 z005B*00000006EM00000004La?45gXRMj2FH$dg7_O0lQvqN9Dv?QDG9)-K?E@5S} z8}^Zuwsr~00%=1wYCBV>+ERH5 z_~0QZq~G7Y_bhksx%d2Tc9OuxJ(IiV+;cwXcYf!0p7*iYfq{X6i#U96*Wg$l%eLiO zv#t5Q&fZ-2B*a`ms+WT#Ov5o2#|OxBBmDOb$=Yc1&!b*+P+iZ#7Y9xYZh~*3Z%pCL z=L6P%EzXNAOhA>-r+Ftq`<&$!8p z=QZs1`e}7Eokr{7U}f8Rw|bmKSsxW8L7$03P?jhgdsGb@Ax*6Kq8RAXPrFppX|$IW zd4|fzx}fjBAzBmVm_B*>E^GqypfK&w%E=e{A1z<~eWwlGQ5CDB9ZK;v*^HHKtFHBp z#Ws-AEISx$wnCULFC1j_k_>XREw@~e>2dZClJPMd&?B5{4H*~TGuCe~MbM=*9gL$+ z<$FuN(xFhH6Y61#IjN^zuSj#wcS6s1n698S%4|BlJR?n&Z}g_AY<(r!#c21zx5IJ0 z-StZ&O*A@uz1u0pzRLA<2+O~#0 zOKk_|e1^<}j`cHac9UZrRQ5FL_o|SonYJSzZjuk0Xb4yjoEG$}#24F!>;X6G?PFB4 zX#7;sy*W)Jz_-jDPgAr;AEwjOjPW|1tfh$r_A%)9Ax=m!%J?#+WK?IQoQP7iU`!M|tHUbF|w< zRliD_^LQv1yOcV{RCCX0Pb<}C<&v+|zFRK!PuDjTKcs zBW>jLG|JO&BujQt^%qtBN=+-Z?kYW1wjPdrrOH(4SxFoG_*1n*xugw8yQ}Ix9OacN zbENfDl~-z7sdZQ7GjyRx+ivUFlrp);((HKsZq(0t{ZqArVYAy5cF`ZxyR31}_XJe; zBaQOtRSCCtm^Y;DV63Umc2V^gRa&X*v?`xrv#ev=8fniv+RR8h;CO#m)ecu`8oe0u ztodR<4ZTtfM>m=@3h2$KjL;W6C82jcD%&i(MXIfoMG`7S zNP0+`n(cH+bzKHM{zYDmD)l$K)I)wDLJ}%P(Cew>29ZV5LlP=gU%Z4=C`Gauy$C|C zKJl(JBN3S)`T-?y?7FXPp5A`4k0)rTTNs*7Z? zPm&UF?s`ZTlN6JTED^At?%Yd}vP@>P@Iu9VKZ7V%(qkwh_SyT#XW7aPMX_ZpgKt~s z$|1JU*puvYkR)_w`8I=87iW8*f(3hAvBYSq%N1*6Nik`1{E#<;c>Q{K$IDO{nP0P| z6!DUX9x{s=L~X^_WeQoOOJd1DQspE< zAL>@cR@koz9~``-C5-m_n`DrHKXh==#RI>9i1b%X+U9d zH-db+Q`5V)t4`dpG4ko3cU!{MNT&j7{GC0PXx}|T79wYYAu)-?i>-(?JR9kBgEkSj z##+O-@Ir3okp(=mkX1!vi6BcXVu=t4{Hd%KMmiZkU^BkeZgE~rf=8ACQMXSMFQl0j zaytmRXBdq)X42V6xISX7iZ#5FFK!hOx)YdA2IHy5L^5s7Zw;^Z3a;Y|?E^wh63nC% z)-tT&4IY>P7_!9TOgxm1CgQ=ERf2E9r863#`13V27mu!e#w#oYwjq5f{LuXBOi!-6 zr@F6aO?$pN(U}W(x3AAt_w@F)ZLIFgcT`7Wkx*?QP+j~#U+A>>U$2lpke?umg zj)sgxQH7xEg9yv`#>fRHMI z8hkjqqli@#$3T$XYHM7DoG}r_NIJ_S=Xm5ik6Zwvw*Ey|;;{KT9&JgOu0lH$=VFAA zDi9 zq=6R_=aB@DH1fzY9!Ub>Y?}h3?r~|ppe7z^X3P01ODt!Jukng}-9%y;*M0IgfY2P$ zm`sE-p|n38Nj8X4VfSz6#eI`UvOKbaM_Pc;ca5+c3&ul{uw7SizS1Mt>XB>n$mKk8 zt2}b6!O^Qrsm6$VW!HEV)(%b|EXhbv7|QN{%LDUm4-9TrFoUj(CDxh5%(-?g#d)_$ z)YXa@yWhhSy=*CcCNal#Az9B78$i%b#Z}QeOc82)I z$9QBXkL==+-8{0#L=;yF&jC?y0$$|HIlv&wwL&b?6p6Xc zDzAW|YR{+^`Rx9`_@Z6~!i}SUn~Z8l{+b8obyFQhQyw%Chf6k5xkEtIt$mmmas&uh z?c=HRI_IBwc%mNnMD6rM?eaw3jMis5z+Fg( zEX6bAewMhKCGG`5-`Qx0)+dAXWGFVu&MHLN0uQkz{+uPYn}quV zhaDzS=Q{821%biteyzD5Q$F2AiARD-e~0Z?VMNEb$IYybFS9-BYZP(=2g@CC>7SyvGVT z&l2ym#0M;K0R&^S1-L7y9-8!)01fg$-HaN4ssEjB>h|px;dl%$;91dw$J4 zzrP{4++S1oWq)l=t?L{^?=ZMZ7oy?!;h-DM#^dK#ZvfGdZ_9P0+Sh(1*nyvSZSmjSlebuco77RriBJp%K7!PNYi447wpc4)s4M@ZLwOJCc}>hmZFdg~$?(JPt_CE|-?QM@<=_u_YEMkg+k0S?T>YYHSaf%14ManK-#%>@EZEg{= zhb5k1iM=fGBuhNS63?)NrCP4RLo}So@*bVedt?b4E&OEECA6`%11}%=qtW3wqv?-+ zS(F}WQ5bz)qlr?4X{8WhnvF&H8cUd_N{UCL>c6f>VN5alF9wP*{Z5$((~S}lo{lj6 zz}VS~1O158M&dB*H0ZKu9uWFj!}QC$B7UTO`qyE@QRb#$k~(yeL#2LJ&7|9AnURZVOaRTMtMpDhL2$A6*J2P(EwEV?Nc z#NIdWzIjvL+!<$X39#UTDPlEwYLKKPnn;Wi-5E)AV`5AM65@tGS(+Hx7!yrgXu4pb zF)?V2+p_VTbLXc62qjK(?tSl^@1DPV&bh;|0Tuzk>wld59yi#9)U4NxCzX+EA~21X z@OBd?2`6G#nugz!qoc%S`Ot~>@u_3&qm$LC_8WUh1AiM!O2?3QPo-`cM4^&O-(PcI zN%QD`Y8FZ2dn%Zh&P^qqBX`v)+=dacH$fVWJ`l-!h2K99g`89AYClx>kGcz{7XaM) z>FM7b^sX=UQ1EFJY2&Lz3x(I?2T=>9_Inui;}3IuGyh$QD;ZTHK%8oXCh%el#I*{C zaXmBs(HUZ`MItQSwyDcVs=8FJFB1~-x0!Wc51yT%zdcBV_Y=1%15whv2tGsU$1{H| z#|<(sMB=Hk7Z@OHfiYbFTsp(Wn0ZlP6CE2}Dk)tR)|Y2-gLO#cMHycgT96dT zzyb^u;KKG@R5{R%L0p=oxBmRf+#_kA zB~yHamL<@G*vi?;2c%#P(%rms*1}E{b)KH3zJ}E)tQZemgd~EUsO~ZL)l^}DL8N>=_t=rHi{r#vg=CduCuF0=HdrT$ zdSC~x&pB^BMd4))rhRN)Tdv5pKCjA=G|96F={mX9E!wH%D9T5sk7s;}Se+v(h{#Jw z$G-AXo6edXL)V(c>f=mIm8{GGpU*K<3$aF0#8yV!yf!q8fV}!55#wCaGIkz^0pz!5 ze>_j}E+ooQdbpSG=e#;e*(>bhbkcsqmv){}`W8YJSLfO^99_Sv1}@_F(YT^oxY13VmK<-fQC+ zjBy3dW`4@^7hou&nI=8^s7ZV~gqFLBX@m4kO(b$pwRqTvIF+XdN2rK^>Xc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F@n00000001Eh00000 z005=|00000001=$00000004La>{|J6sxr7`(YY5$`=v)1CK?bXm0*m`#iq<8_55{{ZHH&e}cy+Ao68QSt25t zN2K?)FXD%g=>7+8l7hy6$Ng7B96Qc#wzm&}5(jP}m2@%M37KpL$vQZkj zqzuX0PUJD6u6V+v7^!O~;fy|6a2onbvc2Q8GZs6EiU4S6v}Z)tHHH&SnMhTfDmL;1 zF4-s%A!hJ(#K3@!M8e0ykH)Nh&yU<7^=Rzz2{ggtM2r(B4C#}AEct$EV)t_%ZAVJs z`i|lr^Msp*JW^(7f#*ly5}H?93jb4_a4CZ+lj-n}+*Pctgq8-145b#_6_Mu~ZCFXI zn$L_(>>&hkI?V z@YEcfoaRhJ>#Tk4t1GA$V4-i;x{ftCicPc4_n~eOCN)a|f^iB9F-FlYs@80s(Ya)y z6Qv`^RcQiU4yV~VXwyMOe`;G@kZxfIP6|m9|6|g> z+%7C}wlpp1C{47@d0aVuAUE6k7`%srMrY(O5ZCNH4MQiH&Yur@e+~BkU~g7h?I0StV)V4Gd?V zjGf=2itmtor0Y!0TGe+SK7D=UyY*(iTNJ#WI1^4DPMhuz%X6Xd`0mX2IDsKze!q{0 zH|%`y@Y3V%r7O}1{%;drc5`w)q7(8v+=JcDBgqrlN#&I zD|qCQ+Si6A3{lcW&WN3B@h@g_6K8x7kH; zY$%i4C{RA(DnJRt&QZ-605a6=9vlHmX7go^eirS`B$X44u6Mhe+dJ$R=If8}xDbkJ z{4^Y*7D7#VkvNewC8hzQT&LfA*Sr+eNJ-Lf6Ye~VQ70=a1Qj~gz-UQ_PUr`=ETUZ* zOx-|4oE;q*ax1Ok>ww$mBPR_MD=41QnSy#Kn=}N8NmkKjStT)nrs08e7_(KmiJ7g6 z>?+D{KLA>R8ESM$qia|c?}jAl=<$<7^J0oP8q=0MMj=lHX++Hii5fU-rTiX~uQrQ? zmnW|J`Za#{Jdedb8YifP1vMI6CqQ=r5kuxJ3)=>{E+*@yYCVk%DJr6}G403Tio4Hj zzGW3g>pvaoRJzqFy-;drx>!Y~ZYjAgGANFJ1>Aj;-!Zq49KaDE*|meZbLeBgcJ?1|A&B9(r~Y3Jr(o zuW9^S2y}`B9uM|4lJ32niEEymK{BRCY!9@jA9LH)EJ;y%xla@m0j&;G$=oX^ig+M# z3X4`~Px311-UGlHV0kh@V~|525YdZNxv!*Ch0aup_F%|EkxcRSQR*kU>t?sGXphBl z9}F(gLLGzh#JCGGcPH^Bd@ME8OtUQJu0Qh8&MN!6qm#2o7ncY74-U*q$Oq1U`w-u7 zl=Oz*Zf!6GvPtsp(3Wvq=!GKspxUMYG4wY)tV@GnqshS-zNHN)z0d+rQBGecJ}e~b z3$P>diIP2`1?JYqPIY5;5z*YeQO$P76KXH82ioY#W*{P&@^Tv{$G!(=Xap|5&Br2& z^@Y-%fR`o$KcPt-NM}yTf!k#+w9gaQO5d)WgTG|C2S;;_Dg!vBcB zl0T)1CrA?tsVen8jjh4CAn(j`-}#w*-)XC!m($|sJaX|B*R7LuAh2s1a0z6U$r2gL zKj)=Eg5^FlTvkk1AjKW)X%~~4O_xYf|88C?Z5k7x zO${yW|&=@D(3W8O(Z!wZS1lo__A}u0F25u6_{fL2l(_ z06C)OWJx)YVh{pikc%N&plTUe84Q3b!`Li+Ie_wlC~6?SVPs~I04m{gbes&N;7WX4 z-5q^kj^hF=Ir{CHBTxl6Fu^vtMufmrFfnKVbsPJ*MKc3cz}({I@8jYc1XIJ!zyVY< T>E=-$kSVZefVu(z0096070gP< literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.sim.vwf b/YL_dec_counter/db/YL_dec_counter.sim.vwf new file mode 100644 index 0000000..6f96b9c --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.sim.vwf @@ -0,0 +1,348 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clock") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("enc") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rco") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 860.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 100.0; + } +} + +TRANSITION_LIST("clock") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("enc") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 240.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 460.0; + } +} + +TRANSITION_LIST("rco") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("value[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 825.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 125.0; + } +} + +TRANSITION_LIST("value[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 175.0; + LEVEL 1 FOR 650.0; + LEVEL 0 FOR 175.0; + } +} + +TRANSITION_LIST("value[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 75.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 550.0; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("value[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + } + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 25.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clock"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "enc"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rco"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "value"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; + CHILDREN = 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "value[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 5; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_dec_counter/db/YL_dec_counter.simfam b/YL_dec_counter/db/YL_dec_counter.simfam new file mode 100644 index 0000000..37dc84f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.simfam @@ -0,0 +1,2 @@ +BOF +EOF diff --git a/YL_dec_counter/db/YL_dec_counter.sld_design_entry.sci b/YL_dec_counter/db/YL_dec_counter.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.sld_design_entry_dsc.sci b/YL_dec_counter/db/YL_dec_counter.sld_design_entry_dsc.sci new file mode 100644 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.smart_action.txt b/YL_dec_counter/db/YL_dec_counter.smart_action.txt new file mode 100644 index 0000000..11b531f --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.smart_action.txt @@ -0,0 +1 @@ +SOURCE diff --git a/YL_dec_counter/db/YL_dec_counter.sta.qmsg b/YL_dec_counter/db/YL_dec_counter.sta.qmsg new file mode 100644 index 0000000..6ee9f92 --- /dev/null +++ b/YL_dec_counter/db/YL_dec_counter.sta.qmsg @@ -0,0 +1,30 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588502950991 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588502950992 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 18:49:10 2020 " "Processing started: Sun May 03 18:49:10 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588502950992 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588502950992 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta YL_dec_counter -c YL_dec_counter " "Command: quartus_sta YL_dec_counter -c YL_dec_counter" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588502950993 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1588502951253 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588502951630 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588502951692 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1588502951693 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "YL_dec_counter.sdc " "Synopsys Design Constraints File file not found: 'YL_dec_counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1588502951827 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1588502951828 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clock clock " "create_clock -period 1.000 -name clock clock" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951829 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951829 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1588502951832 ""} +{ "Info" "0" "" "Analyzing Slow Model" { } { } 0 0 "Analyzing Slow Model" 0 0 "Quartus II" 0 0 1588502951850 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588502951860 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -1.250 " "Worst-case setup slack is -1.250" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951867 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951867 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.250 -4.462 clock " " -1.250 -4.462 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951867 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951867 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.445 " "Worst-case hold slack is 0.445" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951872 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951872 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.445 0.000 clock " " 0.445 0.000 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951872 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951872 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588502951885 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588502951890 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.631 " "Worst-case minimum pulse width slack is -1.631" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951902 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951902 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.631 -6.519 clock " " -1.631 -6.519 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951902 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951902 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588502951936 ""} +{ "Info" "0" "" "Analyzing Fast Model" { } { } 0 0 "Analyzing Fast Model" 0 0 "Quartus II" 0 0 1588502951938 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 0.125 " "Worst-case setup slack is 0.125" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951960 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951960 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.125 0.000 clock " " 0.125 0.000 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951960 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951960 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.215 " "Worst-case hold slack is 0.215" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951965 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951965 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.215 0.000 clock " " 0.215 0.000 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951965 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951965 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588502951970 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1588502951983 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1588502951983 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -1.380 " "Worst-case minimum pulse width slack is -1.380" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951997 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951997 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.380 -5.380 clock " " -1.380 -5.380 clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1588502951997 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1588502951997 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1588502952040 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588502952076 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1588502952076 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4558 " "Peak virtual memory: 4558 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588502952172 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 18:49:12 2020 " "Processing ended: Sun May 03 18:49:12 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588502952172 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588502952172 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588502952172 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588502952172 ""} diff --git a/YL_dec_counter/db/YL_dec_counter.sta.rdb b/YL_dec_counter/db/YL_dec_counter.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b146d0c61e09fbddbe7485a6e1a5eb61cd5219bd GIT binary patch literal 7969 zcmV++AKu^*000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CFG00000006li00000 z002<}00000001E$00000004La>|I-P8_9Jh9Xl80W+%?gxwU00UO6Hb06~y+QqF2A zv36}q%Oz#6oy`_K0*2&x0St^8ESHv5dCNocD^itI{!boKm8w*pQhCXnbI;mVzOPUB zbk6`7fB;N!y+9R!>7Jf*>(i%CpT5lV=g*)22;a4}wO8<6TVG%ME&M%>y5XC{Fi91z zqTZ>x+Y34u-wg*WQ~a#|B65BRAV0wO1APAvUjpTqX?y*@xaODl{|DcHfByW>*Vfm5 z0gpcjPlJwn6sJ)uEW&4c{rewVTic8K7lY{ZELAV<-Bz{T-P%UITH922J83uw)LuO3 z$Ach^;@*vK#>0UcCZUQ?#@zlwqS|2+o%U23$DQPk>cpo}OPvgREk2#78$qwF;`A&W zs3bl~&x1jzm}QFdGD;J5_u;{T>IZ|ghk^p*Mh4`Lej?~ysCbz6hp9S=I$@$t25}d6 zkb9zlK%K;c@HD23Z@d)sTAg8=*X{5MDpqOVk~9G=l={w!?8FNRqe} z1!>q;?YK4UhP_lu;<=~?EL};GmGJ%kAWV|Zg-V7`z8$twbt8>6H4tPs(S*0+UON(L z7)p(p4}>Q500j0R;TuQ8CrN7%^;uZmJspH0k>9a$e~79@)r4x_h}S*o6$X_e@1WoB zM6KXSCsg>?7y<_~lh7S?<2*{wAX*gbM#AeI@DQZx!d89+aRV^LoWuawA3{S!DQ@_p zm8uh&C#oCth9^NQ9S$JNCl{6vRyv^QtQ$ralr8ii7=n^P^j*q6O432}WSGW-q@vV8 zCk%ja5S~CojErx1EM}}}LQjSRt#j=#4Wdp`xuKiFD`=FT#nr?{sg8!-ZZNperOnT8 z;=eTrp%2F?8uNG9n2!@z?q_g+9c^muztIr+U2X!vH6f(^)3`ba20^D2cF;(>{YZ3} z1^&Xn*K+sNd4M(=CDeoV9R+!^R@GVXUZ~Ip(x}hs9Km_aHD9uBiJxtvqO! zg3*VH8bGBE(Xw*65Kx8GkY>e;pTc)Wfv`A@=la@D2XWn zR2%)E7j}+&K{r&cJnLfpQ~0qJvdI6^0D+#5>x4n zS^rsN(casy-v`)!;or~?Ku-v5KR}-|85*^=4AQ>{q|c$)LuyGY9?&%Vomc{+Fs8lW z3H|v5e%D!(lf?fbZVG9T`18->(&y}6kOs+F7^dn_>sT3UnsWBXl z4}x9-tCdsc25$Sxe4N@+Ijw$L0P&0r==NkQ@1F$S&m-Ru{&;Wih{f#3LzT8#iP}ig zHm&`}cZLzz^&8Ps4}_q42q=$Y^rCHaCF~N9?jPQ}e`0iP z$3i!0`(4;|I1lLLnL|fGTQeFnkK)6luM$u8@hBc7?{;UQm>`7WuK>(AiVwq97`-VjLA5=>xk(clo7@BU~jnG>9&1L;cGJw>4 z*f4J5dU6N(5Zy#@8s_-6PyYrkzWLy|9kz~J@vsNgs3d7n`A#=Er7^&l#+{{{sZ;yi z;QeA-+lL8%dc)@D0>lQ-& z1{+B~Y(*y#YE7Q%hl40?)34A#fhz97iDO?jq?Xg!>9c;-Ib7G!I6lJx`a60rz?Mcm zaRY%7o(h_`;xn31dWP)*l&wdvM>|dIqBLr{G8!;^!FF^4yTAscZ^3=eyfSqf!rtl@ zXYUaz8W0@7HtEhNd=jSTAy5T|H|tYJJ%}lRD|shlGUE?EK_p)DAWG;a^Pv-T^Sln* zs9;5ONh^TYpn^_}Y-BM&+WSFat?%pfA3fMd zVLI#=6`p0kim&rCQ4fwxYp@gjY|da$g6zniYC?dQ{8_IAmuRNX3(fR3`s-tQ``4#a zea1&85$(fWl;*YH1~HyMVDV#ZDaO$K=5)HLn)>lcv=`xP`gKQv{zt5bc9UroD$?&k zH9k`$vKzmL5&IY#-Z%AxDvKVpPlSK{kK+5$!Z>$dE!o0Mf_%AJDOwoR3@wZY+_wa{ zlYQp0FcaV|Of&1=gI%-$8PT|y+rpH<7nPtZI?=*Fu(U8aAUPZ0(>Sk%nGAg<3blpt zV3f3dpW1mW%xqXLrx#-^jE80s3-b@UL2C=+K`a^uUnbKnObH;VtTGGp_il74W`!ez zvCcmop%*fur=i!Do>32NM6Mr=BvI4&Yxv(|@QNYKHzb-pkz73_ylxSc`RiBz0wuoL7W&>}eT(w8BA&P!SGUkzedO!{Jsi5W9uqg|G_zrn@* z9s>Rtc_REAa@ctj15)^D^rUaf_&jLoz=9s0>+#%18gJ+e4qi=J86)=MR6n`#1iqdg z+uGY0d!h&ijtK&rnD@c_1pIGe!T29x3yxDS)Dz5_#ODYXVVK)9qfCrjz0j1#dzrW$ zeW2Ov??2&j`d>UugJ6egS|8A2Qxv->91moTqqkTL?77$C2+6?ubA zt=C^tU(j0d1>DO%e}^kG2uNiPcq6d``Dl&VljLBRxX;(Onli29jAqmUUA_4a9) zO_n^>ls$V#ZS-VpTB}yohD?wlpp(se(H!Z?hN9ex>8#LyKyLgN3oyMZv=YILT3{yr z#u+x|K`qhjo)^Mtv~y!y-QSM3x-%1qT1unKAzrmPw)K@A_|S;}jfA~nd7E2jcjoms zneXTVf@7QDU%MvQje5s@7C%1M@xw*ByE61>i1{83z1=xOeu}-_xb6@%uF6G zFG~{$>rV`XEiONrviUWN598}bBYKH<=8p{G4+ZIP_!uQ({KA_XSZ4gf13NK(;g!jw zUX7*LGFD@$51k0mo~DhZ(CiA6^|>$tAY`9Q$1l7FHA|z*Azrn)Rh!d;-w&JFL35ej z=5+kRYaUsLy`=bs2YQy4SB8ESzd()p9-@DJ9fB;^Wmvunvglop(_=}{r(*m9i`*BA zUwG~Py2dZyXiQ!XGkqBK;oxvd@r!B9{^Z6lpe0L=UwouxxrF$|H2Ezxeqn+DnLn~v z{Nl<;=LqUPc2vujPway=Te8whrNX5zX>fjw?P_M>!>`-YvP|gc-X3@PU}tjs9%4E9 zvY0I5KAopeZ|%MD;JAA0*|R^!Vv37?sB}i{)|Zi%twWMi*E#3dON;F%T_w*oZpqE9 zThCa&9laN|!((g&(m=NLBWCCAMV7szv$^x;xP$FP22`ykFm!%$7M)}_>T;utU7q2< zto9Hh8yZrAo!i)~WLT+z7EO#~AIEyHdSbCO4W-z}b1eIKG^nPA)IY-lDxrN>XJCU3 z)~`rTt$ORrzXJ*H=?&6KrUZ`k#+=u{hTe036Zg%=mV|eXv9n9=C|SFuH}Fn_63hg} zaD=KuT@Jg)Pa>Q_u!(gp-cI4C1^U!Wn%nBF+s{4;B!{y76RR?@2L~$kd&ny+-^te3 z$U+|4&^P+nft7ny-HWhq>QucNzyEa`fZcHaGx2p?Q>McrYD z_1$IzH0b3a5CfehYQbL86gk4Ox)!&$?Qsbz+mT<;Dhu^~T5T++PJ5tWx@JtQQzNNd z)oS5gu7Y{!My_U-Tc2JarXJwmqn{|XPE`at#Kia4V_Ah-ADZj0cdB$t_-_8>ai;`Of@iey} z!C0YZ@6dL+MGHC8H3pqyWz}exW`sQjS~XhR6RsL9w>~bp(Q?pm1b1p*uV3e8MA)2P zF?IvGcdQq-A9Km>=S@+!)E%qTW$(8*x9ylatP`$Lr>V?8tv2+5NjYBwn^3HWh)$ob zGD(qRG4y_JLl3v=S%}(o)n1||>b7~vWy@0fv6Q_s8P0U_)CRVRt2%u&O(nLP>#Y)y z7loM72P2C!RDz4onS!vo*sza`@zUBH_w00PGBR*{!B{e|&pb~C3eHM~eRet-bmAxt z?a?V5E)fp6!#=%$R5-(HrJ@z&80SXW`+sO26wUE&jF-|MmBE@$<~Yat^5*2w5RvoN zGLM<+ZAL-`CC}$#OvN5HTLk}UKs6pft`Smv1x&6jeik=!bu z)8CfR&*GwqfP-Cl9(+MOA`$7XY~6V5NLTz`L8N z(4PX*s{;fwahy_LH{t?Zqp45g_i^NBtb#U9dy;b@B@k@UlGl;?x(n#m!F2w=>6lTG zKxr#*vW_yQRUj(UI#Y?@cD7RAS|+=ux_RIfj@h)eoV-0d)1$S^ir1}g+%S?=uLohq zLKQ623%1gK3BC(vNcTs!hCjMS`pjrVnRF)Wf4&=A*=RZ>s%QaLHu_Y}XQN*!Ggr9= zJXu9&GrqHx`WEO}T8}irM6=hM*e~UHp2tGp0`yS}Jti_gbHP6Y#G;p7oGb9$ZLu@D zH}@QFJfM$h)7vcV3EA+raRk6O0N)s=B3Qd!@?_ZQn2uWx-F!NRAv4v0>0;xB<7(>s z$X(akxb`M&&9mwLKh*APPM3MtV=@y@6Wi5%%}p*7L!KKj4l=KdbPm2xqed* zXXv}TVT?CqvB&a?X*A;>Re)LY>b#oYyXZ-#*4mV!gPM2>IL zP>--bK(e{=I{&g0SNxv5b8bKAMx6`EW-MS2GdXcD1hJCGmD;ALgUeMh_YdlO_3D0O zr?Iy!MM;F2u+;B#GDk3T@9REZM8YM#_y|C*bn;&O^mDke7k9A|K5Xk!Yg{~X7$xD$ znCR=s;w1x*0KV4CUI8Y>Nji>+H7^L0Jno5lH0(aH?=j?(V@YF;Q!0zhA+B!FIs;yL z=41Hd(lmTH(tQ}buT2SPz&WdiG?uBc#F~CT(4L-ZbHe!q@*iVAhAyCwLp{(ha*^~6 z{Ou3;hPGbIh@B6RRVly}d6pFUy2n?SuwG0Fg1DzQY~al;Qj9XuE8_ZiL5$6$;19Sl zLdsAaZ|H3ik|vn@*vo+z^d+fe5u46B;x-P+W7WLQx3pkQzT^AVN!MS1a|}mfZe0{vN%2Hgs~oK=5ha;hBN=XITgNu*(e=} zFqf+ANgp+zF%{}vvK8^&Tlm9=nskVlS`8w-KgiwC{ni*Oj2Fxr+s6`(2DGB#tP1ls zcc5`QE{`>=EfxOp`7*xxeWR*9#QU{kmARJMqGLggP{UsLCB7r<^_Sm3vC~t$Ws2{` zx~-w;(;teaf}70bE{)iI%-gbx zOyx;3XLc`vp^S^1)!C3Xw`4AA^`&r8BB#vA{`lks_4I0ctAe!DwsryVvL4;`rHE?; zY?YEnMJn?wbald$JT=XPEllzb`3hUODCUMJN*I_oLQ4|c^8^i(jY_>~Oh+M^C!+8u z%`wn8I4!ngmuysOTRUTuGk`TxD4-Pbsx{-a7Lui4ub0@3NoefUML@-LDJx}yH-MT| z&o_XRk)>w}F+W*V9igSqhsXQUbhM3Vhr2wIm$CZE{xNwKCvaTb><&ZMVr;f!E7`?`#{L zw3M6VtiU%*fzK;*p0ILNrg)lK!VuSuK@iiHB}-*AlUi;<tUV(U z4qMCPSjKrS=kU-U(y+|_NY3H@NSRy?B~<8Wyd-x|OVk7JK9^ytpwE6KhVfyfnVfr( z4H^wC_D5K7A?)eEQmkAChm`aMBITqDsiSwp zbC;(Ly52DZjX@`n~WBDurT{G{7 z)$7LP_)(@?u6Zm2of(lC?rNK+D{)91;>FR`w*9eFtx~I*v=gBf<;Y-r4EAYtS8L?! zu2wZJmO}!mnkG92zSqckcRD&#S4Nms>t+3T&{cQyLxHLd8@jr4SBrC3Z5rWO?lw)` zIT1QOmx0c&yDEHdFBBz+ez>%{t5tS4{kj9XZIhNbC=oh77v&DoI!B|y$vq~tu zH8;&@(8Gh3JKWkWQ>!aYYWT3=CwDk@8!VtuDt&DExwjc zc;x`}5UWU{0j7?G2=fqYo5KXqTL&JO3>Eq-B016*P_!ib>NP@6F8;lcvC)? zL-$EM^dLUJBsPmn)+B7e7q#S*j-@l_)NsXIrYNhLgR14*9M#f!^#wH?NoJnuoK23w zH^%9(74%6w^ritvFy-31*Q?E6g^S^xlVJsB8S0ICtSb4` zU+~P7nEfkYn5J%Sv~#liuduY7=(uWL=f^oGqwG=UM>>DIoge3l(Q<$5TGS|=#H*Yk z7ng9gSm|1cUHhn;m70y@7t?v4rQp@mKulUCW&kzpTAX5f2Ed+|;tjS9plv&VE~ZNX zU$xFtLaW6}Zm3K7o0U){t3tfhNz^zmIkj>P@RC&_Tvt^CRD1+@mYfxM$*K@BSBBY_+mmH4$h@);7%yjl*-9pzSnlJG*AG+hQ{|!3DkZHC14ykerUq3x7kBTc&$) zI2_)^CAs5Ir2$*huZiV*hZ#zIhGNO%fOb?lKSX$(^9e3bM=-j$aVGR zu9m=?UFbwm*b8WpJC2rGZ&q{$o1MpVT5_3b!f7pF+b#?;*z8gkH#z9ITwId5DNsn# zuKjSewaoUmz2!|eRV$lS)7~6Mj>{$RX4e*qBscB2%wcm}E&-bzL>X*$*3ik%>C58S znvI-QZZt-wK$qD9wsxz|EM4!uH`}u2_;**+n{`WV(30ZTZvcSXyQGcsLxFX`R!wq|iFI8b)cHZaAy3IB!k6mQz$T z!{#`;O#d{Mw*of1Uff~3j8&b6EelN<-fVB^ICA>3xFompJx0@Rp>Vb3^koUy^1Wfx zt|E1kTqd@iycg57drn<#IW8CHZ71)cjlt&dE*;ypwIz4zL-Tb*>-I*R&ZtO+`KI&j)U@r7R?l9&T~l*?VOWiBwju`;$1$e>!F)}{%;;^ zb28`DaK&8abF69(8kmpUQYEi$(F{B6#TKv3Uxka|owFG#hIgCtNy- zS2m_#WqjFP|31Ak}rL2?*-T=x@^eLui06bpw7LcvdV!9OYRSTaIDqA$usBGHpom43= zS<1#ts}sC)JP6xMmcpiprl0TwX#PeDFF7mll0`G#*(pMKb|%cMz)Kd*cxR^w@a$xj zS%H@5uS(#s@DW0yD*$g7t@DBVbmC=l9nbqc;m0PlC#?wP1f2*aXgnK%_QcJhQ z?FB8G@lM8ZaYJ{-iuH`4ldFr1s|(bnjJymQK$(l5#23l{X(^~Gh-ZRB9ODB#{o-AHTzy^rpuS>bVBld; z0IJ*kVB3vJKw1JtkEfrbuPaOkBR5#3n#sI$4xlPAG?gK6b(~;zu{$2VvSvki3+9R- zPd|6KQa-SSH785TfuR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/YL_dec_counter/db/YL_dec_counter.vpr.ammdb b/YL_dec_counter/db/YL_dec_counter.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..a63fd529569aa55e3cc03ad9303c2e00d243ee19 GIT binary patch literal 258 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR`hZLWf-4Y;K?h1RLB%T=fBpaezh01y zjm=XbEg>O2At5P&aic?$0-r!ZL&tW9QlRwTe#P@D43pF%=LxRi_HcOX{HR%1b)G&$ z7=IzF{RNwp?=?(2r|W#KIQZ^2`xSme{)cjJY98g2u(h1R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR>VQlGf@=_pK?h2+K*cKZ;hlT=hx@yW~iUZ}E@Ny6tqOUD0(!AI|-;zV7z#37;3Jo@v;>O!xlulKkrZ=kn)er~@tg|G$DUDJ3C=BOxIn o;KQ4XOhG(M4sTw(mVPhs=)Ls&*YAbiKVlI|OJGU@x$OUc08AcS>;M1& literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.cdb b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a6e2e33fa0accfe355b4b14d75eacc48b8e8f6f9 GIT binary patch literal 3721 zcmeH}`#TegAIDS5DayA}Qpx0$R4$9ib)}AyJB`9DW`x`gVuKa7=`e>G5f>bgp|WbjwkuU0~2W_i-N z*N<;*yt*t4+oYI?~RVL4rTaN%u za6O#2c$2b_HuT`EX-tUqvYTTWp^}-vtty+_MBpO%5zU?q=hnAzS62vgn-D6bZvk9y zVh0Zmby#4CQ59CoZY9fjlc<_13Y90g&d&yq3ul-&lnK1cJty?{M(N-tsv7X5kYYmU z94)$JqGSh!Rjaxp18H*`m8$hG6gQ)NqoiRLOtt#ZUd}2U#FnO6MYAMNKrc&{g5|1~ z1Vt(-)^p#p1~RFgUt+foI#`H1B^HZC^2%hi8kiqhVbKVlEyDoL&OF#R|1cWqcsu3C zf6-1XAD^ruWiq}7?c?56eV2ih`*-_q4*!3B0L~NelwgseYD=A` zPRwW`xfJgfmGH;9;yAw|5o?!zuHM8!H6GI51)woU_=VWYV|jgw6`T{J5mXzKSfI!8 z@~xV>vDs_26fI^)xlx5=!J``;9^uU7iV>I@X@hXv7=m!TL0j!F^dUOUPSHJ z!1_=o(wUh*O*%-*RudU3=Jy4S#3d5*NCZ{RE$ZgAyg~}qVjN+FXdXK|J3WwNN3%IL4OL#_V-R|B*2=$!c1i^^H@xRt2jTL=sUUE=!%aplH_1d+? z?m6$(yd8E)9K1nMgr__GGrWcv6;Knls0_;S!6}erA@0ojJ4m@i@SvY;D4Ts|=a1-| zC6{O>%1?DelZ4VtZk*o7Kv*r3F{xk#;z540u3`P;L@=z&d_YW=sDLzLO~KaWpr@Jb z^zwI(wejSFvkXI!BSIx^4AV@E%YdX&myVD1;aGJmhBha^~?Vz-^t)FI7!x*6I~ zkvo*?(ZOzl{K=-y&W6-C^U^o_MJt!2ge5m5Zi0~$j`jL!{Al}^U;m`@ zOd%=?s@E;@+V`t^>|!p@<+eLPbCi0YF{+=dhtGi3ALfME18-*b0uS_X9_ng#-T@1} z&p+hHB9EPFCm2bC(luYmCvtDo#;*A~Ag37puPB&J99P4-p zr>-G_QnrOO(`#cQZ+fz5x>R}*Qbr)U>4O!p6^R+}-Rd5=yprup@7B+rQTy>3np}zib01SrOaUKP!1nPe7V!j zZGgU>I(RfJ)e6;EVrW-Qgm5o>6J{@I<&X@&2vXQD$1OHje%n5{D5j4WtM#AQjCqeH zMK(B4AQvbvo>L*~12rEg$h_A*WKNa0Bvwsqiank?jffd-4uYKSt!a<&nRMt_Qtw(T zJzg0V7Oqd$SXr(pF@p}YF0;pgQt(;x189@0S?;3I*?l-HTc|z6NuNeRR?E(;2l6zZ zxFnu*pOMGLSjTMXnH$MxetTA3x2T`<9EECce?3tjRtoM7%# zCTtl?pBp>XZ8Wo#tYcy^I~i2v#>!&Fhh|Z@Q)^^Wgqd-vd+)NpTz2KgEd0Q==*{m~X{WcaF^l48m^%8ohbAg6iIysIU_@J!p#nB?_!c948 zqAM@?G^T$V&{ZO>8pkoUH=N;29sZG5zAC5vLpu(N&@$EL&1$y>L^@=Sb+zL3`vvofgY&nvGUI2DVpNUikz^-4Y&kdn7Q zIOXHvE5Fp=_+>)&lvU+pX^Nq4*g>s=$dybAY9v*k!-yma2aNp(3%q8MWwteGQ|*hB z51xVT1R8u_Q_t|V=feuyV%3T7TW{WIeFaF3+xVV)z32Rsg2r6P_aw^!GnG80{M_@) zwnfLkuwTFFHqLjP*ejcQc?a2I!1+aC{z)73VcuqTiOjB}G7;G>1)am0bw*f7b#Rik z?8OkgRL@a#UrzR5(eIvmhk#nmZjKX?sO8`)B?Fcp-1YhPz;On46z{O5mvvr}Y32i8CP=J5Tk8XMJxKx zrPSeFGRdIHw%U>8GJ`#WtwSAX)}KFgpp2%g8euIK_B7k6w3w%^XHIfAa{4=5udQy% z2TSm91Mb_2+Z%P4U#flWPP0YY?m1y?aKB1}fcqj(HJU~3OC8qMbGXy;;NTG=dB2}J zR>?bDB_KXJEX@Cz;zv0JNS~QnQAK4(ab?2>tuOylZ<5+g7zkx`xdi>jGF{cm`bCgS z(6If{-<309#su&}{a?PN<*Wj|rxZ358vJ_R()vusAE|!#lx@15Vl%ShH{a`*N9Q0D z3RP5J`A&yyogcVW?@idI7Mf+_6!shOk-!*aBz!XKGC+^r-tq`=Ar3#{0r`DC>ie@TLtC7 xmM_bFZL6kioCIYm4rSaX_!-&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.hdb b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f871ebe989e374c706dc22139e6e7dc0818c1207 GIT binary patch literal 9882 zcmZ`-Wl$VIlZ6BeEV2;Xc9#SQ&f@M6+=9C-5Hz?3cXxujy9Ot?>*DV2P9Ws+-Tk=x zb2U}{rn;tI&2(4Sn;9Si0zxGQ;y>&7Z;1Xwb(n+WS36q}D;pCF6Dvs6`Kz@l2+9Tp zv2Zf8LYbi~tZX1vn1jn#6BtOz$ixc73R0B;X~2v@5~g3B{zcZ1{D%$!VS)0$0YL!g ze=v>uf6xu_RPWsna*51~uuxwI&u=#fBAOq^;!Jw#7JJ8JuT7cu(ICMGre>mVzKeY zkHD%6XIJMxK6hQmhsVdq-YY-tHaaoWLu#;_>RZcAcJk+@!{kqj2WSlZ6DxD(>*L_v zi)l3i5$zhAk8-r#%+Y?u7((yw{1~fBPBb77}1c?!JS&C2N` zC&5FL|FFHvb@QeLrfA0;gR5q^+{rC{A6KCAv*TOj$I?DRsyZ`Mhl*CqwZ6kAISKQ& zkK?mLzuz1tW^(8V{?;~N^#M~ET^dy=rs0lIk$+RhP)_Hp(&7`@jR=@XtCceP3^%Bu z3SSA6i|Waad;Q!X5SiaudV8xp$WnEP4c4C=@RGr%z|VH#{krSv`vAHZ3h9vBY7CWl zk}43`T&z3P`pQIs8&$?qU~gn;qv&x~5sFVi%dg~?b1A&#*Yh?A6&?Hi|8=+vT#{UH zkG(~LGnOl8x7j<5KO$3guOgUB`xE;=+b`%%4p@KXhll_F4F91V)5AW!I16$2B^2qd zRimk-Y%y&Aq={n0gtJ8w9YI6gQq-$hL8FXR)wYQqE(dX3ayQ0;Xci$Rx>7348Uqwm zknr$7h>H{(rLGeM<+=q)Q-n1W>OQ=HAzxjjEuunvR2vdS5iQITT(KVy8MqtcbuaQR zr>8Xrg+RqEeL-KCqyRi7#ByYEcFIhI)B~|B+c)1Z8VZq49EknB-aDJVg+ar>%7#WN z#uqn{V@J3qM_7$L1iqH9(~r+~cBuCnK(+m`r$?G zk4SYEwX5D+dd&CYGPPxkYKdEjB&1GBh?oVQ|Fz`vz)I<$w$Yhu+lR#wprQ<>&}F*a zw#e?w98$uS0m>btMG123r~>I3l&G!J)7J8!I+ zTmXa$Hw;*>G(gO=NVxya6jviV{>=q?MxMf4?Wa!!!NKV6>lYCI1@tPtF8grwq94!2 z;@0nz^=1xRui~Wr8}(yYviX8PaBC8!vSbov^PwOYsqdUfsp>;|4x+*DFmEy6U9D@d zlJoL}tHl<*DMTyJVL!`@b53XZpcK8)YlWWKZ-tW38&ytgpXcwxHR1d!j+!xHt51A? zjZQ-L{k;B)@z=@wcN^L?os4(}{zUidT~Vj#=&5#SvtQhEuaT37Sm~bsly?Wt=c+EhV7hXjSkeCTJDR;qh#}Kt7Ya4sFB7R;x7hMbAHj$)$C8i zDzmknz;<>}Bl#vLi@6%9*8Rm^rr==g{QzA^-=64Whsrzb~=W+h{`)W9@^s2V(xTROt7I4oT zm2VVC*vLkgIS1>|n|tl_1M5$z^jZle^_yfaud*)A{p68tk-Pmp(d}h{wZ`RIeMq7^ zQ@2%cm$~QFviK*`q4LlEwDUoXf_&9Y`!|vHR)W+Apv-u{7o@WcSCq-SQJF50rFCDO zNW{bZJMqps@lsC#7SzoT#{%D@f!S7THzOevf_8<8j8Y(#HXnYTdOFYbsG7$)It=bh z=lXWs1755mBns)2Yv&rRsMoO6)gbGv6PU^&VZ+q6b7M_$-EThuVoz)KanPlAa+>W#( zdA)&Zy~t2YS+jjq-4+wtB$&GFZT!4L%YrUQ1OK3ZH#6DrxMLDKu5A3iVlSoefhI0R zOeDz_Tl{#AK1ygb??4I^0mDbm4#;p?*ZV`}3Ku-5j{JRNU+@*K{hBl>wsCq4Gg#CB zWKUK6IVhvZz+58Ie(DFyW(!yKoT8xUtz{cn1N`>r4<`dK&KZswhCjZvmC%8Nq1(cf54~%n;fsd=#_t`F54_ei%G`YYc~@ew@5CS4p*6{?38vNCMPKW4zu#7pH&$A+)Tf>sn8EgHCiZAc4# z_2E`w_6`-r+%3gBqQeOh#hUqcS{tw# zLu!&g{k`e-+mDEnG3|)YyCOfp>!8;g9qMR3sT}^2paaPABv=#X#yn%+$&Mlun6qihxP^{# zukm^jKF6l?La)t9?Bz84T95o*ENopsa};uPjk{(ObD~j4VxUgp4G_HrP<(pRXBgf<}>kZ0-ntUs-2DX>wg_j7XA~g0z zF_GJon{Cg%kyOn|8g1qml81 zzl1gEI*W>)VXb{H3{*Nids`RR<)bb$)*jMN*1w?!`Rp1`Eyo!VoTT?lw;8rtd&A6g z_=I|Nq$!j(u(aY=1u2?z-C+U^L7gZ0kmAa$y-%v8f0jpJt?k9>*{=>yb;@bwrY8sUQCqiBlSar+S^a765TxNNy~#y$6b|PH$x43&W(sERq&**C(pF? z%FDWCv9Ytb}cfH7rD+_!P2D*Rp zMo~06mvY8~nV7PWZOl&YtY^cPHjn5YmSTU>UphUFISXy&jRza(Uhm`Da9X=wWym&N zptm-A38Yvs8MG}oL~y2@(mM@z2DiOHQqB|wRx2OdyF+_2(p}LmIt*-AJ>jHG&${d> zlO%;p`xl~J4!N1*lRhKu%uYuac^r8@B_=g_^VmDxf+ZNa6;c3lF|q}*rBmp|vz8gB zP~3dzIiG50O^i47jsC`*Pl$I5TRkTGas3i4D&eH$*IWaI?PESlHSwSv+g7jrJdR^F zjn0~EV;lB6YxeAj;&SY7)4HvJg3s1w0*+6P8pT0^^yc#-OAFZ}x5f0?|0*E#uL5v2 zZ|Ec&^w0K)##G(&I}M&@i>Uj`Dz7#V;udSW#Qp2k@;{%n=Q*mBv#Px6Mba^|Im(K! z%{vyhCHKx4o?M)}nosF1mKHKH`q=a&O^PIY_(vpbsJ15qG&wq0OqF-8 zuATgi$hu;G;Y4s)$Kk7@DSo!Shru4u4dP zDe8(wyq3Ew39fZWE0iT9g_h+gj?3SmKsbe(=5fk#AMcQZxkrt*+!?`5>af(Lz(sl%Lf$xOSa4}Ry0ZfVw}eu z)zDw-*!k1?U}K7}ftjTovJ5W&!i*&e$70|teW9Az;$A9`$b=|-qOjC=I;Njo`q*VQ zCcY4JnFF)vN;Fjo2xR4gH1B%z6S=_?d2VFY*Cj~a^TFLQoC5)d2S&PaJ9hK5MfT-L zG(x6?=Vx+0WZlMr%8S8_$D=X!$@A>kci$(8fI8*u0(6;sflmJ5CO@P-Y{jrBci}Wj zX#h3^($wFaHbN26Ponb0pS9UTtU!-pels_+o+^?-Doieb!D|Nxn0>fq{z#CRY{)mwh`DQvI=vm% z>S(OS>LjtszXy~M4WzL}D+YBx1?y?YXqBq8#Scb?)03JuH{C_1yab|X{rX4#u=KrN8|bPkws3M z++a^Ipjei&_jmEmdZy!4+=rx_P+SLw@>`LQ^&Wz(>ZtMv@rC{nN`5uL-a~7hucl9& z7_J`h2-68(^fDospe@;C7IYHmjH-sxxQ+b~a_Wz)<9NTLQATX60}zNLF$G1+n1cY> zBxqFKm!*EOshre0P|2X(t3oH-C4RJt`Y|(f@tY zE~@c=``wOr;NE7x{O&ImPuS9(BD&ES~|d>!G63u;Z4UlR+A(qJhD(fh znjXt_Sd2?;3@W}~nURC72^z}Hd3m9sT>zX3Ek;gS<({jYc&UwBW3x}rP(jF0^^tN>Dk+-~w-G0o0$2xn_#pSj>MpSCZI()*g6rc>f4OjDsJXb zI<)JMI@~5s?Iq0oct%WICq$CFvi&wM`P3qa1_9Rzt#@q=M+?K7(F2zy#|Rfmt2pT#Lt3fK;{?`$mHlzJlp z{1-g(qzJrv3%oKAo;oAD0KlD)A<8S`;QQX8MLf} zg$bEB7AYz|I{$_-yQ)3ef#_uLAcB9k`JTOQA5~@8ye1P;;M;H+gVHV&izma<{Ga8s zsz;T#fQQ83-)%j!ftKEt^KuYZm!ndT#_2r&0ehKX>yhOAC3_%_%9S3O5icLgNZO0| zEv{j6XLN4>#q8e+BsD&FHs1>8XowdEXlY&9YaLxYTK#~&{XmZ-%=!J%%CTANQcnZ* z{0c;j>22Q(FS}&QXk-;qAO^}d^ziUp9s7b^!RYql1knJQ#rwW*+-#YJAKwU!Ha0XsO%q{>m&Tim$?38uJQJe;W<<#< zYfq_+$nMSo$8546-~E7*D~iFV%wkv#!VOvHO2Ug`n2CI?^a0Tn$1E7k>I2x#6%eDYoDk+O_(4Knp(Z%SFOsKt3y zm(b+LimU^RPSgk_*s1>-`&sA;+WyBNqVa`hIcUH%D+%6>&k=PXSCRHM?y205zRj*r8uUfpmWCjqF$5A zM0!YiF5e`~xKT6afea$n%Tb3**ahI`J(Lm+s8msG#4@g!uK33VTCP z=xFkKN$GSlJD@3mE>1lc1qEVltuKzlQrJ$4GUIq**52`rYT8B5AzV0DX0ezg8xcV$WMa~vArY<~H_QoQDY#391g51vpZ%J0 zFebu9GvEv0RL_{-P1EI$TI1gYE$d_?mVotR6X3SLwhmf_yo^4Kc{8`RjqOUPQy=>c zQV36NRDBv0a5TIh@pG5P8e8XKdEWhXn*O%l0Bh{^m*z>V`ZelqANdcwhnbA#6vUA1 za_SB;Cv_c9`EA+fHwgizL*39_9AXDr&}nGJjYbHfu;VFVCY2vI1)3xK^0W@gIr%BG zt9r8fh+bj$2vllNtB&?0!1;Z2xKnN0y&3_v_JgB8a$ex(qXu-h9J*@--93TsauQ!- z3a@gUyQB_t!G_48yE@R_72oE@Khb0VK7}t?rT760GR(j~JiZw*E6uhQt!D5tBze9q zQSN)bZU-LJb0@=4tFP%Bx+JHHRlHN`P2aXB!{5pyD+o9quFQBltmGx6rzFY~*-+SoQWNvFka^}ye2Op;2xrzZZBIFB{JfJ1qttQkPn!S6jB95!5ftU2?Yq`DCS z78db%SwlhUUP)Z3V4b#H6NXU(?WHa_k75*8)2PX)7L?A!&h)e7OXU>$@ulySg-0jd0TCE8H9j=Vt~kdP~t)NLwrXi z)zYB)kH}r4@U_-oLn%cTtp&E#s1R3k=Vh9X>bJc4xFEG4MXGH{U1o?a4v^~&xOtnC z*dYY)!~?Q*^fofurV>DR-T6^isovDpBn-jge?eT~w;m=aQycRXE~q-2UwVFqh|9)@ zsHuwWDX7(&xGFUw97wsEn5NqP#`9I9=#yq}R@pByaL70Tn7 z-_T!Gbu%gO?Atli@Ti&HXbab2NWa_WyR{V$Vc2IEwz%yv^Zev2X|1iQXpscrb_r`u zl;~3d)&kH<1L+_s3t^#fKb$h3pF;&wW;66+zfC`P0eHlM0SJ&M7aStjDAIW3%2=>@ zxPv}3WC9mx7mLrs=kJ8ALnzY$#K9`KB&xP*##5c&L&V}@>y=4ewv9pDbPaSK z(tUZbIO8s{zGx=%5~!83Gs z;|zzx`fo0IUfPi!bk_$l&hoq(5@`kSa>W{}rgENny-VuMa>WJ_zs{YDo=5#KU7e!k ze2{2urm*9ut$$L*;>1Qw$gQ418kF*_skoX|irJ67`=ORxhO4Lrl$mt_1)iI@>hiBr zpCJbRDliDn0E^kigSm7-opp;hg4myfMYJ=2`;YBL5PX)8eE3LwjW5iN?PXSIW#P`@ z9=CAYqPG7}s-P>CW{(TjMDnu>Hbmn!E2hq!aRK9Jzf2PYs1MU2+tJp6X170map(?y zGUALgZqy31!@B6jI%&kt6Tut*E^R*pgeQb8^m({RbG5uabBgZN0@1qbQ_R_>``Y!) z9D5=A1e${0nzila zZOe70(&>`2;&zF9-WtI#L^%4Mt)uiKYxB>3*@(#I?l+1uB{`Wd3IzRKu{kBG9#?gZ zoXC~-BDWqxamrk~qH8}e?oxG2(j9VbCxU)kGtDx#C}ocZEl0`#a(1--5&-Q}Lz{U; z7Y&dfbB1=rAlpS~aUP&twfuCdz(24QW<)hN)^la(V`k{10M1mO+wan) zYgA%~7}^7UpnY~|vw=822=Yn`p?DAU!3AQ6g@#D zx#c$jRet;;eyI!@PEZ#r^FwOB2_g(r+Q z@1+v#g^n}9_LD)o-9qH1r$5~D+w8o9F3s`HS5Py+D2I$F+%?T3#YN-tHFRz0Bra(3 zIpn#mCX`<>nzU|is-aU^eD%GwTJ`alXFil*x&c>y_N;6PtnRs=2t7Tu(H(*`H;?=v zVp!FuYh>V+1n}x3@CpXJ!UtYC18a$0u3*hg$QbE_O-)?PwptCQ-lIMD_d(;8vdh}q z+RO1)J~GQS=i1-6{5gNW$`R;|;=DY^xnxDxk#5qn>b`TfZM3;N{%GG~$;dL)zuf2J zt{t7ySyt@);GAVUPt%YuUTt_!`W4x2!aIQKdHot^!`QVdBdjeVr+Q?YfG`vv=t^6z zVa{qu;)x+x_*JJaXU^j$R*RcNs`T4-)j-)%^qFOaWuIQuzlCHjDKcuTK7+<7KvxEJ ziJj>F@X$6)ddN9vMQHO%4P^U#G<=FKp2LH3g_Q3!1N`ft4_AG2Z6dAwRoXk&<~+*? z+Up7M(HlNN-zgb+1uK&+P-g3~z=;nm)cV~U4aw3cM+tYD@2!`lA{^VxW*#E8b^Nxt zBaa?W+8Mp-VypfwIZ7~0;CpefH=aXBizRbGMD;pj2$auZi+b-St zqDyY${;`!Yn=$jnDb1x1PMMM&vpm^P zrOjt<;#iT47f$eMFD#g%#slPUv`xZaYy^bAf87uZG_0)gCEjNthcoV}txswTV+28v z<3x4PJs-|HTxDG!>e>iPg>)0&ASb*LF_u>PfQcI!mV*P93Rym1b#;}FxgH7hghBr2PPME zj!fOAktjV3XyEUZcTiQpT-9*ud*s& ztT)KO9^@{!8!ff`WZ*o*!}42SRsCYT7~@$al&Gb3pSWr6p{zKpKO85WiMMTto0YTS zQaPWsv{Ye+OHEe(1nYMn0$sj1X@{*>*QPni$0DU}YdXb!bAgQ-+DV4+A|o@>QZ6?N zVEIuFqk31!838t;{eK_exCf;8B;r|ZUFpDscVsEZhok>%T*KlJ)cxMzk2~`Khy)=Nh@DwYqSA^4)u81GmeQt z!3%v9?+m}d$KD2U|NL5qMM|yeSF4ni6?Y)=T#^M+Q28^zK7v7nWa6eYFho~K7N*tZ z0LUs-v{!ACj%+$V9o(r!L_a2w7KmnfbZGh%rEZT*BC!&_p0C<%!Z zp*P2a)9Gk`nrj+Do;jOKzFkDv`KoupeDwacN5 zx{TTWii3zD&^Vuc0e8@-_}R&=$sHk1;yZk_>2azQ9F`qZ%6;%>s(~?ps_@JTjYFANmzm>Vl|KG-V00$eC-(tmET~ z6`oWyfAbn5>BacyctW6%d#n>>-q_a5r)AF$lHT2yC$=O2R>1dQ(VSZHl%IAafCeW~ zu7>R4GJO;TZ*;dHQYN1luyxAs6v|#u>?90ZNclzNZJMO2Ux?Puo;w*C+vbmc;}$u0 z7eUQEihBTW&ztEYb+9lWpD2M+gf192m5oN2eh*ldsT3bmZ4KV;Myrj*ftDX`vQ{{8 z7pnk`43qjkoay>UkH(st1*S?99bpGBk%Bk<(58eLW)cJC`Dn#I5Zm9Gexzw$MbnxC z%U?T5O}oEs(EnSMU>5p?g^WMTWbx~nZ^+FJoadbQ6IHv;uL{4sNXxz5nCvO~I;!?z z@!x>m5B5KfEwAAGLwibe6^PxLQqr{}*nS0D_iDy7e9JohP5nZgqxgnas?<7*p&hmV@&8RcY z5s03#U!tB?h!ACr-1gm0fZ@8;%CMz4KXNS0Rc+g9cS$oZ0&@G#$V8>k))xKy+KV12Hw_FUZf*c_YIW)Ot0 z6ZG-muru5<%N%;L7{Bz40d#a{N_R3|Ds8WD|@`2;9gYI%-ELE z5p+U6;R%uG0001ZoTZU5Yr`-Qg?ImohxXc*T{6WU3?0&`CD4pUu`fipkyPm% z2=vFtu1Z2_0)>wE-o5YcxaHuu?YA)MK$Y;;a_f|5&Dt7)**uaDQ&9<-N`a4Ya>sC0 zU!#E43X8{wMl;@`1zDzfD$$Jky+=_N$QPL`vLcry*5r@6BfO|?fW-P48`|Qjk8|q; zSPpb*oz;dz8;HRtH!ny#@?<&^*lY5W<0|nP1KH2SeF%GH!uN&$r0lFeQ2$5FC86fo Vk@Y)xqpMCP-q)Y%c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FZG00000003RCMB-)wKRx3710U;nW4 z(e5YGyu1{}t#D1L6V(b|ex~&Vx<9_Mb$t^CcgFkRHKKTl%1@Q?HsV(O53K|A?6kLM z@fgG1-r8#=Wo1NW3Y|izKt`WJ>HKX&O z0lzBltgK&(KS%R8-U_+fzP8bfn~bwEu}DO7;!~w&pd>NI?X9cr-JLD{fz~GQ%_}>p zx8?O!WDV-lhZ^(QP=dB-)JPSE<}{=$hyI z6fm>QzAWvU$;(0Or{%gLmdIAX*YB7mb54FYu1BCBmyX> z0@&gT9g%@>L`!9@b0W&Xn?-PzN|+j zh1JkGqY)>sWy5DT0U@)ZSH7nPFbtt1##T`}nJ}#Hy*p`fKXF0#*3v!&j!NWG>4FM< zJCTBrN&{WoJ?Ka>KgHaUGs-%|Lya^DG@!A)k->x27g_PLK=%1l0>}ckspeBcpn=Wi zbU`7nT-BB=6WMy>(*nYYeAGcCm!}>UBu0KvDaXKE`#Ib!usp7(EETKEKTD8hAQp_G zMA_=HYgk+Y4vRaAZZ(ILu9M#^FdGHe*WS!b2R-C-#&>fKmX^KI@^IuV3F5%9S$j7- zPjbXnvDr*K?t0+b-Mdo3tS~o6(Ix-H9Ie4oP~TjGbw|nBzoBa}^32Ms9JPkcBqQye z9qUUeyOxZuYcc){zS*+g-y8p04V#_Apj(~w|5LtVmt)pP14^3apmijAwA5-8ixaW{o)lKU9_ytK^^PwTpxyTWhq zEo@LG)IFXtrpukDPV$s1v>Oy->EV!vcDGYI^Oc?1)zFXKTZr?w8=1=*>~Izp{#cOC zs%IemAw@f)SVi0icJ-pVg;s{_E@LlHQgQ+_%LBff#dy+}!VIK#{<=l|RcPWo)bsv>r#-LUh zgFu~SOd&a$*vHigmQ7kWHRO@|zCCDUnXIWdxC<1!ymVR)op{<}(B_rNBuBKKWRmUi zbaWrUQ#k@0HRfv4FJ*F3%|j!rvp`7XSuaW@a&KsuaPyb1J`Zu>{3QI1Y@` zWXG}dVTVKf@J@=%@3TCzuU?ee%Y5@VD6dgVG)(17Cw^+ld>}H%_94dB$HHZJoKmQE zXI##vRcjHrOEn{4xBjNb$7ta=C*-(fx;5314BRV77kGjl8U#~qJoq&%<_au=#Gi{1 zs0BfGYbJod4B7Gsz>VvRS(hec1<3rJDfsh{BWC|+hqDKNTuDskEV73zm)9r{j5+Qu zHxJxc<-ZB;49*K5@tg+_hjO&-V86h_w8t9ddmcV(i#GFM8=aZ$=S!OZ*(00960cmZN(U}8vMU|%m&sI>_1h`0LTOB$EwH0H8{l6&oRW)-!Iq{)2>j6b@n&9LZ?CA`1KiC9Db_M~Uj?$G~ULdn%fEcUY5c?Pz891027?@T?y<`Nc ur6`1`h=d4EGxJ}(XU;w6`F*+fIrlv0ez@Q1=;$!Etoy3T zU+ctIhQuT#Bhc|cCl`B1dne$DR0Jv-=t5m9kK zCm`euFf=9-=o^hl`8pYR^=~^Jo!y)MfljI3zr45QUt-sNe$aMjkFQZqtcfWq+tK9m zWW3?s{idvFb8}A%KW{y!9sEP=Q>S#$$F5_)F|aP8YXolC-OHNg_;?`RkdkD-P^W8FnP zej?qp-;n{zJK{<~Ck3&rTrnlqtAr6=UNaTuBNhVIy`=t`#$OZS=;-d3n|-ci$MVa( zFw0BH4j@8@C6;%3qUq#d-eO{g;j7)Ak95_*UVZ+7BfWw>9nX)h8f!KZDTi3=L!RYj z!kjD|4{-wmgG?QtY!Z2 zYj}eY^|=}KQIYt%PBV|?hA`__T0u(H3IH}5pbJ~>CGoY5i3&oYy4C#@sK0!xh&wVi zapsk^=|n9>nnYzMZC{+IX=jcWJ(8P0DaF;dzy7p#AGX;Z+#T`B-ehnEYUl$Y)DeHZ z=EcD!dbD)%*?}oRFXe&-4?-=aSVShUK^{X#7*&6iD9QU!rDGk)Won(nHn$#Hk5_N| zh*w&(H|inSRF>-3%UW*i$l=V-Ckzt?dU+eq3G1VB*KZe!dW0w>AT{eMs)apyr|{Ti zP2OH)_XLB;l(C8M%HDIYDHK$DJ2r6CCd+Q_#m^sLHriFvazu|D3pe4GEUK-vA%%oB zh3^8B(_qz}Q&&vMlDYMFv5_qbvrUOp zvY#EbJ_@z6pba)rM;v6cA8O?Tnv@TW{de=gYp2_=zGG=wE1#--7aTH2?Z>w$eQVHY z65dKSa(t6CZmjhhr1O3#TvjeWDNV*$os%|=x$XN_q2O^dssZrv5&VKIgctWcR{h*S zCK7i`g2FZWq;PQy%zXfPWLN{Zt0+@%Kgewi_A!$%2)2bnF00G(dumoB3=+ClA5jeL z#~7z)RFcb~pBkLr1q7ipr&cq)MK{mDFH?Pf<>y%w0wwyhkF^Wo;?=hYq>T-g@drHu zw_OO|dqs5c?(%6;nUx1~E3psVx`G*-=go;|d>h%#MH9MbH#}Ed)OX;1%1}b+lwj8X zAw1NBK6BTfp4GZHG>F@pYu#F%TXRlD^OO|F-)dY#44qfS(#UUM!~PFxi4E*HOIR7d zfWdD8U5sX#ibe!{iGJj^gaF@#U6sEq#s&dCXB_dM+ZRRwWN5J)v05@uV@u~e(`F2qd< zJnsuq5mRzi4oaGt^Rm4?_sweP*%k}BmG9cu;&VaK^a*Tf97<@Mrn zk_0ahH-OWn$$^qEaK0(MS1!~(09_iO2NcRax0beVFLa*l1tS zNknz?KIzerNvOotKlS3VI2+B3ypOPbh@j!`nt4097Ck zPe4Z@7P3nq5?z@)9_&=mldu8SH0FV;|H_x{6|!Ne9Ft04+i1XV!iQsNlNay@ZuLIm#LlGk&Zxz3kZj zN7lN5LRXj`=wsL>(k3z``-lGttTg9Oj89by{WM>8pH#&b?!^{4QRCoYE$rLpk8+8a z5~khUWK)p$)Hi~|uk4JA?>31nEtN%c2AR+LdOj0pLGKyICDDvWlO|`wT9XXUd<15m zIOgIqMqSju5IMcPk=p0<2!dPgL3bfewlj)amwT5@-O+?{?-(Ow6nv+(0 z<=dCA6z`qr_ZOs=^6UdH&ZX20!qem6xZA!a27eaejH*n@1J9B9TZ4Mgdd>ZeI_bHRGy15GjzOtLKWp+$Vch%DF}S@S#&0S>!*QRyDBp( zt_NjhzZlVY?mI}_y8g>@gO<432sq_n^UkG`6#dRpKlD8O%Zk{|2X^)sb+w&KWXHCD G?(Q$hw&guG0001ZoaIveebWZc;0XlD5RB}ijQ=oq6JatT1``A9H&@> zggFiy(tiA0Ljx{>v2N{FH7|+JJ@(DL_r$({PQOn=Frz$Sadc8Ji$(#^h{QgN?oJAW z{@HuGaOxbODdvI+$w{08Ag>j$7%S{9jE6W}0Sf%en73TtxnA6XSt=Su6Vy`{`oJn# zz^Fjef|g;Hz!l{)MkwfFG6p8NItQQV5V*c_hT!^gx+4|^ab{|ETR;YbpoZ!bB0Ql^ zKb|6}o^)e?CoG&hjoU`MbLqBP2rdzwcAp04;zQ}I{-IX3%Z)063es80DCWW&O|cj` zKM-6WKJv;!n&?=DZ^FtHb9Tof9C~WG+qUOZ;*mIw1m(q{A0VhR@>db_DRp{oyVh@A zy9labK9kcB3pS(M{ppN7{CVA8=iF`dclyP{Bo0%tT(xlw2Z^jIOp_6dVJD(ZE?vSz zr2ZVHaR}YE+ptU%>Td}1URYl`-+jo29P_#JE#_kcA4WTZ>$^9*10o|z#z{JHjtt+n z4UFw0i&StqAjBA2hgBm8=+Hl^9wL}gxA^0jiNqOPxxIIw(Yffh+HO<&Ucj4bg86cK zvdY8@t4zE$m5FClS?y!9y`Rdef$iH?U=$vE8bfG?M4od^HKdC9+A~vpQ(xhs(m;KW*Ao zc!CmR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRR{DaBV_>+(45k>OnINz#Mq;j#a1^+w@?PV9VHB~rKi;#@u^%}tG*)?c{4|99@4 z^^fiD=a}ig{#yi7zT>O^-}~Gyv)2CQYyHUke?HBq_o&%&H`c!Y`Sy9ehfmMGeS3EI>fNtT|JARM zSl<75-uvg}Z_nGhPbhr3p!t9EJ-%;ZnfJ2KSsc3={jS&bW{c>W1lM|Tomb}Rvachi z?@kUhOJvoKxOktn``6jX<5t(6zKfXR8*z{;>`VEl-y{G_0tX7;64r&wY12-ELap*~|XGJof*81!GH~t^Z*IfxTvoT%rmQ z4m>&vh8Gq#tz9+2=`oA)an8=)rgxp{8H9zclOFJIFfugSY`ADu$NhssWdh}FfuWs8 zJkP(csjK^TvoxYfjUi^%Njbqc0_U8rX0aA(8C~918UCxCy*IP!h?jKn1EKF_?z5Kj zIvuw^cCOQBLPW-7zCfNHkFCoMJ}9khyD@oDzmb&70yPb9uEkct(CJ+IL>`-PQu;xDB^v{k?s@eS7u0 zf~f~?g)9(rJ9%cqxnoRwgq~|Jx8%Ogq4UK@=B&rh&94f4AAbt2bN}-EB|GQOv{=)x Jf7n2w2LL)_Z>azP literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hb_info b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000000000000000000000000000000000000..8210c55998f9226aeba57897397a9e541102c45e GIT binary patch literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hdb b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..152893e4875a7faa07ba3b3d763d7ff2844c7523 GIT binary patch literal 9396 zcmZX3Wl$VIlQt0C-DP2McXuavu)yNM-4}O)y9Rf6$l~r!g1fsza0r*X_s92LT}{i?i8^mz_SHmOX`h$ZkAJ0X2MO93wsQ4mCgw-AaX|2!0N%$f7SMGp-FMys4BV5#51jR*c z5cU)CrwDDh-PK=n!Rrtsiw{&+%{Y^~L798Pv9G5Pv1thv#%sLmYLZ-1mbwjg4i{)C zj%9~v0(f#{!eHb-NTHJ!v5)=u^T`Gp}m!jDxQzRY&oNK7%fU zLnC1;X7bLOH0~8}Ur>tiXU5tz34C)ele06TokzAM0W2e4@ zw>K379JXyzjXv+ft+J%)@_+RHf59w03TZ;0D5UEl=;_zbGJDc)r|Pkd)qKa7?d}*w zTG}?%fr?dNAys|hwv&#u9ks>}b5peb4R2`1J51Jjcofzzttfag*9QFPpeqQ&_Z6nn z({<@x3HgmHW6#3fN;Q3c|3KG{o>WO_W($o&=uxET?cbm8$?=C?E#-1P0#jZfc*8Ey z1$0Cp5KI{;`q`z)B+!#QyiBNo#g*M5h;rW(-k2zZF66k9aT2BS#m!S@hdUBN7OuDB zR1oDSd7JY{&dD~S_bMVCY99^|3@_P)%uJ7TD)VTC4pjNISk_LPJ$#Rs+g@*-oX(M- zZdcDs^n7gmvIxL`)^^EscvD$!{QR9Mi$qwrV-stibUd9{v$emX7A<^FAYx#uJd z6=MG5V*fyfYG2Yz`=l^|C=%>((LZX!R7c)&7yDzt=)l%F!$(yAWUy%<{!~-z1>vR~ zzGq`-L?dp=aBq*P0FNRG_Lk`MUeUF4scGs46PkcRb>&>F)U(u-NcmPwmiW@e}E2TF%v(U~sK zGd_o0(;ce*94=qysDo?vMiV>FBqu9as>AD$T4E1Aa_t{EF#{Naa2iz&u|O=8WTz(y zo!IslZ>+wuB3~0X7uXI|ceqB;DmDCz-5oTLlaKcIExx&ZqUb%okgkD^k_e_PfyaRa zljmXsg`@pNPhhR&M$^EIXE%{Azw9o^W*_wJnYnRC{sq({(V99*_JpTpSj*h!LmScs zoW^d>NRn1;^?9B#nNA!&SYqZxbE&;POd7hgm>9aN{_*)HpEhRz@+J8b7aqFb}Vu zHjvLz!bW_N4x*$44h8R)32FH?1Yq5f;9m1idPUFD&6AO7VV7Li7^4q`iG}iKH+L?# z(@jwb3T3ZVHIgEz9t0i!S$>%`>yE+hF{BBC{aeal9oLj2rLG?>ZToDIqN^-7W}L=h zIWD|*M!hg|QE=i|*qJzPg%D>(+VG3VU}Vb*{{%{2iGT2^^}fE*h`r1XTwEnjfBlA>)E@UZ@OzN<#WCpVTFo1`-v&=??HML&hD3S3 zxzo9^vm02Hnxi#d8Ch!1<0>)%zRcWLS}~z zEaz<08L(IHj0~M)1r&MwLCU1Tosg}`+~{BcZ_J8^XOit_7V4PgEmQAw?l|CnxaPMA$<{IzO0#aTR z5Bk+`h$*3NZk{B+rC*GWCF_c5+T{bw?NUDU^ZRt4d1_INhSMaN<_n{X^G_30SG(Y1 zd;axPmnyWXGBo#jfmQsB1{3WG>YYjygUlfiIOX9Y}$VPj28tWOb6X^$V#Ey7pCZ;_KbAmCu={LvxAW z&F)U32Op&sO4{$}v!VAe7rMI+*$p+jPMo>Z>=iJ-9GKWPEbsg93Y^q9c(hr)3R>qC1 zq(Fii*_z>Be7o$+)ZOiD`DjVh(({&_1B?Z;ltAlBOF;LV)%oX+cGF=-kk5bXsMXcj zbDk{>(azypRw010l@I^y`u7{b2$ZWFR6k~(H20mHjAd(xynoJgcmKl$7M`#uv>%&G zw;f$@KMHeguA}jVj8$lTlr=!Gbv8%PcGeTIM(%XfoOig)DKy$zy~)$BJVHci1xl}9 zxdl>h4tH~YL9`-^>h$VP23Ll6xLG$BeWDEP9swXCyAxupB}4-|&bihf_Diu=II#)V zRgbd!d<15zb{0Ol^+P=UtdKVg4SnFaL$W~DxF~^J!-_ZW(2AY?*&L*GMYCHo{mG|w ziq&P~FLy{8UN{C}cUH;FX5C_Lmo*yb+0L0aYk|a4ujlT3+|Pqn=!4;*ZML+BrI!3% zglq$?A?^q)wgK5bxDPEY8gUj&snCCM6+4?WqZ?i*dd*FlCMn8`_gid<-O4q%jJ^1D zzgmrTZ=9^_m~ELp*ZMjwfulZcpT(QCvU~dT2TM+i?C4_onXco%(6131M>n19uAG-H zdss9b>BryMX-C+HG}eew*s6jALD@Bb|M~U<@{(+xi)Tv!YUgJ4&#qqxVX570SV(iI zT}rGuzaL()vp5T2WW6NUsZ#>A%MSANTil;koa>%-wQjyWq`0|mutIK2oNpepMTS`K z9~!(zO4<@?4YMv7PEPGRd_F&0BqG;QT+gOg>$lB#92-GwX@E%~sj9uq(Tl6Z9+aB*7C?m;SN)F}MSDng{ke z{mAW*`ZBkly#Q{~l_u28O8VLZqo|ZX#=KLII3MqlM_rOBx;lf(N-+F(vp973{K@~S zI6^#rHL`aU#cxca7mJZ8RnfSA)fH)+%C<75(73snoZ)S2GB=;L1i0qHOS8xhkUnDQy`V!Rd;4g|Bjt`>e8!( zt1?{zPh~YWZhEP0PWUAaE9HQ6>k>Wr`JW4+#8na#G?+F@jXn|L9QKHZ9oEM%RI{3? zX^v(ETsVwlN+~kaLrO|T4^xs_I~FX7>UelokIZ>)TAVh$pqc>7IKf{ABl?C6d>Yxy&d29jXI~8olLsaQxA*sk| zgnLT6!-l@&ERP{_)z!g-I2&pM9sW!yk>tz0)>H^h5e?5A0e{>UF(?)pO3N(@RUn~z z`H%i_K$4Wkj%2PZ8hn4tZ-stL^&>S2&SVps89phiwAb6&qOW6|lL-AipIk5op&CI(ShK*-LhLwJEhin*uGhR78j}D(IpJDnqq89!KO} z_~*V}5`jRkU%xIE=T2h7f;dZRXtDpx_8bjOq}lk95X?%!rgyL=J`YW-Fthj^uEvBMVqrqWE)SQJECZ#g%Z58_N_ zrB1QWR;d^1HNTH*-E3**k)JI`imjTC!XE*kp=53#4rBI6(YD$-P926wY zfBl)&OOUmSRRMBm*@WWfuV@0z3f$Knf9hLMZP!{cokyS(=;_WY_J#2B;&`8v6Y1%C zs6x=j%vn=QDB*1))M~c62dgBy7`qxm`jiNDyK}7gP%hFm<&uBnL+xj!rA3#9(`qVy z2_I@cNvG9BnZ=z$WD(a;I#bufW+714m@3s{&6w<%URT+o#m*$pEOiMi&B)#_k@*v` zkiYWHojda3A}dY$>~u9-CHbahatJTf<66vfRWW`Z-kL;yO?(ypH*W$Ys2Od36`nuO zIJ3>yV&zNv|LYJBqu{ish1?A-*jR;jKIG9IMIFCs$g(iB%D8m~=Z<|uAO8*4$PI|f z62W+BJ1xs70>3hU)iX39K!@_ zM5J80J&k2Xt}u9FpigbR(BnQw1+zrB4yK={iiQ#3ElSjo@@r`UFZRpQS&*7+6LLhZ zqa1VW>=H?=`chW}zRL=1DBLtg>WgOM@iUd~EjU(uX0JyMc2JFZaUk?!mX zhkaqTMKUN^2Rnq9B)jDI5N!53SRn4DF_D0@EP8TcQIJz8G5vl=bVwT3DWUFS1E))N z3@IB>m*@u@5XEdCmdL9nCYv5eH#T}^wr@rx0EhUOSvAw3G2wudlk$*@1{);3%cz?e zkd`t?J`~UU8>I)TSfr z4*}^QhvW`zWj)Z{ubS%EzhPoSE6_9h$Zy zO%3VBBn|0+bqJENidC=CWL|q*w-tqgD1L<{ESj^7SJqUCpD35j8|e3KbVtMes4e}C zpXC6)JyHbt=;K41aY2%*1uZF8tXa7NLU?Wb~+6vUnZbeJGcFUkR4&__S`H|oQlgpEzH-a+ zYeKwZhJcJl*G*2jP{^0BG%pDdd~okeMI2>z-%2Bt&EaFi@k z(U%IxdLZP4s$M5;kq-EYlan4u19(fs7j)lJs|YAhxge#lHRUr9o#mUiJr9ye~h{{G|0%tU;Qt;OPS;2Ks!M+?cYV84}ayMnTtUvJ?9#{}!G%U2gk% zq^^<~ZnNN6#nb0>@wujkCmxHs{2kJSUJ-if*Bzq|HMqIS7cm&-!b>7US(QJeaKpmv zNuw!?_lJGFKt>UMW~~gdrKx#Z7@7StWt!@m?R}D=jO^3d~DNEHm4;20S$h zTM7eFyzmh%1zHtx;k~DlV~=`o#u^3EFLVnG#qes%COy=wun$XykD&i0t~-z75L5P_W>?wJv zwS8@At57E^oK@3Cb0hZ}oB%Z2mnRbVEE@;kA2izP?~V$EKjJ$lKt4DI__Jcw%_lT3 zv}|-t?}3Msv(mTgGST}ZH8tnz%#`=y%#5;Zbkt~UG>7w+hv>OIW}ODO1!d~!44#ne zW&l2pj<%TXuZf?p`pf;xfPlA5V8-_WiZg%ogfr(XzL>NndtH(Vz%@ZH|7Gji0rUE2 z_Weu{!C_;_`$7l$n~otsa3D+!DAx(I8=6&X3-?_ys)BwW@HI+VHp-3 zGabxu`oV@P16wI1|Hd{Y@MppS?4PblJwwW+zcq((L@PD;xm98(@{w;h#0X!Rg z7<5HTy))3+ONmLdj!QaO_$_ThrZMnyPpT>%ffZ<6>f zJuz>3vVWn>$m34QiBCT;07>l-5}hlG+sH#3qLkB)aOct(#DYR+e^>6#L5>L=!w`IXkXT@d%c^pJ!Yu+{c+@3lmuIexQ=GgV= zc?vNHsWCq6m^ZAyK)sE=eVq<17}AldXou$sA!nNj;*&obGx%U4Tk6ImuH@=*yack$ z#lIdyvfI)GeK}YT9j%0943MdQ(O^$V$n$98mUJpmYX@Uy2DH!@%i;fK0{U_OW&>J| zjY46LK|+krS!$^*n?K7=%S$?NQ}U%Hkf@I4AfV$+qmrjgg&Cx) zEqgmTSoXo!FK5bbKO<$JV6#7UCC#4jMh?n|Hpq7==xTWXrqlbmae}uV(u?;>BsN0ixfsK5gX%KL4zX8|ub@YFK-e zFp{7A6wCM^)y)d2<0DTUdSeL680lTU_k#)T9Jw2V^%60kROE>~iV5YMV#VygZs2nu zf1tWRk;ME@*wHv$ielE0|J6BbW|T&0iFKo+IzdikPv@qV)-o%e$vskOZgiLxTmCH2 zclWT9291JS@_<7J_6P5$Qu%ct_7)5!_q5~0DA;VjgY#eg@{i!UF$Nu4fSC4lak!C1 z(xzO9eU0hSlABB@ZIZI0jKB{@AYBo+WDt7F$OKN{H8dE{Bf#U4h3y+r^9u?Q&%>@S z)mQ)bdK(!_aGTf3??pBJ)JH*qt*i0T_@L{}IfJiS$GoB#Z>YF2sk)wesmKM+=Hs4KH|93P+v!djmFk*N4cQd~oLo(VTg9Fj_UNe0&()7~{+O_zf1qLy#bA-@ z_&(}lN?ME30rL?0-473k6!F={f3m3m-f%51H^RMt#zu6IBBXDH8*M&mUa^%GL7&*w#%eB zGbLmQV&Gl!CT>qupL2FoVYfsN>d5S#Q8nNl?8fit5&S$@)mwE{%p_nlSYBV;+)T68 zU0q#YU)Sq;q$9D3H41dg>M)D|f4nBjK!rp9rT#8dFwN8w7te-v$}|7T0NPIZjZKy5 zx~T59@v=KE)!QfdP^&0d)M48sUo#>R5kBSSh94Sr z&#KSSS}&c|hC@_Xsl_F@V7UC`lFTN?239Ke-y`%Ug_6E?d$kjEDz{H<6RQ6;xp8CsPVLv+F3Un zUwTe@@ap{G%n!?z?LV!Zng>RCapv#z`xWmq0|}AzpVrZO>gx_bAeinpi^0nAC7ML&CuQ+RMGPMywxTVwFpH&;ey6%*6V)e<0H!;Q-wkFEi5g; zW#^O3Tut&`PHN)|#o`IY)bvU4k;Bqz5tv1N(uz3T9E_g}VR3NfBe2*oh{-)?x8YJV zo{U?iKd$lwnsQiXv1tV?J*JG4dh1?%f_uY0azubZ3i-0c}8qKagKAePH6egBA~@` zP^BV>A-iQeb^>lZ0r~+#hP}fck&KMiSu}tUSED4b4WW%vVEzFWLPpSPhe$F>v z8ms&%;+1TkJ9~L_4Jg$z#pXFLy|wV4zC(-NouqOkwqR9k>f=(aE~fYkVR#b=_O5Q! zOm@;9f0%Ns|5QqWS5+Z4`*sTL8(RR+92^3}Rt8eo_>HDC%@wgrK3UC!&wi*RF!$b+;XVMheN>mGL=9MdCeWQuZ^f?>1AI$8 zyK?`n7*jIr5xJ9GS!Sx8qIO1CSuVy~g%w2mU3~yX2%+wo$qlV&QXq`xM+4-Ho0;{; zM$Cn05L|&h_#4|cX2qr`1Qj=FC89c3-5=lOC!yz)EP-gr0X;HWT^I{?Z+ThHD~hwn zoHQAyQZc-Vx|X+hET6wJIKd&<{MV%jtmj7OtX=$^y79zKq)m`L&89vSQ^i3 zdwG&Kc^DYx<50{UMGF1p%wlUh z;XB3Zc_-=|bjW+Pax2h9HQ`pJL1@93hD>;MKi$?tWm8NWgxbq)g@w{?bvH^vnD|}g zv>fQa$theCoFn|a#ixo!8;Z);0+&cci~ClF`YpSwwgd|_W?XMK!VH5>Km@~IQAMYC zB9cpN_wCd|5*(E;_2VvYItl1BO!g&{<|{KkX647&JIS#YBHY?<(hVs=FabEC_i|v` zksrB!vi0k^X)x1-=CJ?saPbEJOtB;Xl{%0x+#$g;?j@d;uUT^av&}5?A7#zU9ThPX zI*^s9r)(7C3y1&C4cAvXmcqlY`+#KqjlQvA&sJB|*VDC}MP5{e#NEiyH$AFSjgQN| ziKW0~n_=aKl%__z8$~5H5rTnhT{L)qS!c4&zbCb9^!J0XHnUt8%g^FwwT%*~8nR6- zmSR2-^oNA@K0>(gP_@)9mIr%t0okzTL{iGim>hN8_W_NKSa&8Mj>I)8?f??#m+lSK z8y!Z{F3mV(rJUzr4he|!uIS+*%%yB+TQO61QUPt0qlm@`23stK5vR zR<=}TNU`#0Sfiu@(0X3l{j z?pMUI&mjUYOlHl2u)>(onUOM4N%agNlA*&9OmsE^z2;Q=n&CD{WkR2maPlu!`3#z+ zG~ot@zO95CETVsHtryGL%T46$?|>UTWveXLQF(hp>Y@_p&r;IN3(5wds~fTTXT}zh z(j?o8I~}RJ)!vo~{r&zfBjdXm8^JD+%Z5=Rm)8@;rVB=C*!96hY7bYa-DfBj{Tu87 z4g9?sR7k0<(9~UnrwaK5e4jBnIIS!)e>3+kU{2*KYA8Ll^;E0uU+Oi3fqg^8znb$g zFxNqd3i?xU%5Q^WL_`GZ&ZSSLYQOA@BXv6qmt)HQI(y3k;^2uOjyWvX&Qyekm*L9t zb5s_I>XiQix>U!t`ZuiTUk-&+f3BMPm0ndA;!~xfgSjx5#ZJf%yr9$6G1Aw13TcR` zF=*=WBU?^vn9Q}J9eK|D%m?w=pd?AA@Y<02>o6@-(dNT4nSr0SUi3BEXVa2usUeK( zA+`VLR-gA|uu&tdar$x=RT37y39PlGqbOTnaI|$(jfJ?A2Puf8I zUVvUKeB@>ax8Wn4Q&*LNpS)6E=L Vv3`#h3HyUE9+9qA{P7>g`Y(E@QY8QY literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.sig b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.sig new file mode 100644 index 0000000..7b7958d --- /dev/null +++ b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +9a9b3e9d06db00b9dc03feca87af856c \ No newline at end of file diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hdb b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..4305d0bc48245244e47344a0482e7fb277e9db44 GIT binary patch literal 9604 zcmZX3WlS8<(l%DuqKmV*v`C9CP~6?!9Ts;jZiNMk7ca71pg?h_c#*;ucZ$2aJAA$O z{d1Eq=j1#mlgvyql9|ciBOxJGU?Kl|J^vlaf2eEi;c4&cLd(U?&dJV2E9q_T1fd0U zgK0TGaBzV+z?@v%v|834KK54DwCWaCj2{O8s9z z!UO&vM!fw$^hG{2d7VimlY0_@_|A;1?P4kpBzf)1INR%8LzAL#K4d(UOa|xF68n}@9Y zK0j>_zX45LsdSd=y{x>H7qpfgTpcbpd+y*;B2UD+mmgCa@_~hUgj<{^|02>!;oAc0 z6avh90Lq6|Uy?RGh7iUHAW8FQFZ`%{F}GggCt6!EUvhrxI$(WqQZ`0ucou(c-E4|D zHIkyUq}40<55+*dx;F6y8X#qf4HvDp<&u)R;%M@l@kZ3-S)Q6NZmQveX94IusPji) z1-kb(JMQ;X#X#H$LmqoCJL|#VTgpSz@uj-b>|dnl=6ZWv=C+UcG`(m1Y^8dz5OEQ# z#F;I0X<{1WCE@+OM^(^0XASFtKX(Y(RXk-B8eZ7O&S~a+Y5-vHME!;qccx~@IK*~N zxiC9QVbWTq7~3Ro$oPQeoE}96?K6M~pP61=`dhR(e%SvKXhYCUiBrSP(+zbE0`8#| zJv)iUKV>U$BK#v)!+dqVi8k%g_I>>8`?=+Rx&A*f<~MSy>P}FAjeAIMOGB}%q30R` zy8(y3b?Z|$D?4b(1G$#7K}wpiIv?nP5t$~>-lSaqz9gPp{MF4y>~dU}3}*T;WTN^^ z^$cI`ijkcq#h<2-+Km(Q(Ng8?LuXQNKv;*!vLd|8%TxB$NL?A9#g=yp(esm1v(Pyp zwl+IC29mvOvmrCQ=tPD!ZGb@GYYjbKW-str1cL0tGv{YywV`^$c?Lz-E8j%V$1b@6 zdoQ=aLevS3>|cFkhp9($+)bto?dLmIv3w4H>C)(Y7Bl#vnQ5n>_%*uCUa-MZ4tUR| zbhz7~%_Q{QY`ij9FjTF4bb=n1e?P~YT&m8*r_$S`P-N@8(WhCwG(Z`pM)=L7k@csg z>fySQj_K#lAFP|UhDP(IDz+hU%sztdi+^=jNWO}#DJp)8WE3G6a`CUH8CEG~%?KVy z@XyGGiMHJS$QC*^_EL<0Q@qtlb(L&P6qhPVhg-#I*CO9xS3d(s2ApX0p>F#vC0v?P{>KG1?wH;ZJJ=_?+i;TX@$Y1X zk>EDcs=1#yOpduW2d5pByn^+|rw$*WdJ39r6^^<*tnSzxo<(RUc&-s2&Yn+g&hEMg zD{HNNr$~6%G%@1Gl2v-{;JbSYfmZew?b_3mdW;JH z6J8@MpO=Q%{(60~;w2B-@?4@c{BS`OHJNXhAAd`b;J4%A>fP*Udi{p7+95Bt$9_7H zG-__#(cRJ2 z9eDCf$3bYD7@buW&d#ZbT9AF0R;}eNvYIpH({x8TjW;P}nsb0Y=|V@o;WW=5IW%s( zuS!>mb&FMyusm};b3SqBe6c{f=f7RkmSnwb@=LS<-eHPoAT zeI>et4$F^K@u!K%R4ihAD>khuSCa9_=dpco%&yYZW%Duq_u1N>f1+bytq z4q-KkUnfJIM*Oa7O?-k#+Jsg56xckbFH|oBrY%lvzok4o=3>A(HK^jxo7dgmJAHP6 zr0LM- z#S?2Uy53gSSrFmA?-D`rDLGM|YX|A`;k>5;{t5kZrmW-=p1*pZpv*0nv6Wl<`W3&b z>{_<4`u34^y)Fo?*KJM_HYu6v$WorB?iPqF1}ler`GF?w-E}Gws)Ur`?j)PV1cwB% z0esae2?t;29@vWt&W;9pRc5^EDu$mV!a&NcRid-5knim zQR<#eU7Zu1Nl8eT?o4_r`vRe)i63lx(o^z7SRqy$2ZJ-g@L2 zF{rDWD7aHG$#6TOWVD=ajt=U?Skb_CFC?(e3Aaj^P)&4ac9gfkgM~=~TzI7>mq5kv znKXn&pVEe}f|?CArO+9Rc#4*Qb0v+@*9_g7A!)|o;=}&4Tl^LnBr7RlH65-X@H~uer4qmGre_w` zuP7TD8#Fh!e{0^?!A%_Rm8;0i%3kH@_`b?uvhk<4@7mY9gQ%!MM+ZqVur-=W`#9}N5{Ie}=!H-B@I55WMTt(^ctR`vvT0_Q2 z`(<}L(0Y;MUOKGE|9X_n;nFuJp%14`qF|!L&+@)L-@WpemYpDe-!(UPAip_AXB}7- z-Ffu%iD>|%l`T00Z|st@(JU<{Vg7oH@__FBM&V=ZEj2`kY_`m!`=%CqMVXeF`%891 zv4MZS(E9~BM_pIyXPO>Y>Ty=^Y_^_ErK>!=%P6o{aY4G2@_5 z-~!Qp%^4CJmFrP7ClTxyFGWjw@ms69)=2N|Pg%>>$CnEszu?SMI>dYe(6l zGT4^Ami=SP{C9)7;d5@&4t>?sZO025fo%=51%)8>e6=)K$L+1<>c)FJrwZClxg5qo z6~_2Pn|qn5j;W+Z-b7WimDBP34minkH~$C45JTG;#+ASHY+oO?-x(AwM)YaUtHsP1 zi|OJObo(1Yvi3#UdUn2s?0rhyo@8oD5wT&<+WRncuIS~VJjFQMoCpr$$>111eR4zD z!Pvi;TQ5-Iu@TMEfccxtwOS8J^E{AUjnfDDbdQf`jTys18MM?L@P1 z#w5MyysJ5$#IL#@ro&;CwyK6EA+LE&@?{!<#Czicj*NK%e{DqVNyIjkgez`aeglTH{Pbk~UMv zu@x6eg^75gOs+1um^AcR+#){nCuK|yv99IPH&oXhn*}OUBs4I!l|1Q-J5J(ykv}&( zpV+j>1!=OaF>w}o+zILKHeYrE? zMJdO{8#^{vk30ITP76w@;9iB_+~j!u`awzk1EVbO;v&n`zlzAw)mJq~!| z=|7qOT)Rk~!94R(e}mu*C*bxlNYABn*6-39w!n3tto$GnUKLMIQ@iudP&F%gu?#Hw zMRhq^Y~lG;``vBzQhwuuM3ES0$Ll5PkdZ&*ZGnn5)N~<|8MjB5?d?z5@vvTw-+Uk# zP2F{3MDTS)pKCWPSMNIFjP18tzS5ax#209bYK~zuGqGDQZmY86B3xXP0uxic)`r+p@r-uj$H>f)~E{4kCZ0A*}7u z?~)u0O-X{(-ho*I>zK9T-)_V@>7&DS8yK23ls7!`WftJtj-~e~iiq$y^-eM5TAP;O zX5|V=jI49X;9YGggdrrt2i7fBArbWF1Zzbcu2q6HlJXgQFjd>m%)zG|;T#1PlPxP}lrm~5rUgU)5T{tQl>d$&6KRHyRb7|p z?9#TrAK@Zr;u)9|Wemt3r>|3Hqvw8gp(3aF7KN}j>2;FeMrhKROy#$>BpN|$eR%+{ zPLTV2G8d~B7pMY;FZlFMo2-IMgt2m%N2+3d(?7yLFaLdoBscOvPV&`*gM;B^Nnsl& zZ0w}J`fHrBmF?l!Np*Qm{mkH)>$?iN`lg1@0#~eoRs>o&$r?gom{f^z>faUiV#UbC zAtOZ|y^u+6Qa#&N>@tIWuSzJQB$okGhxJI78(Xx%=P%C$dsLslqmTCf^g7OuchkU= zsw)1D4*K-0zg>F%T+sG2VY3rY677d~Cq6n^r;Fi%kZ!5FT_;P3_!6X(u$L@FRTNRy-i?A>34UWnyyoO*ZJ>BeP)$~t~ z9eWFRX`TSZqrd=ZO1NPpWlau1Yp}q#;r*xd8B2Q6@SyJo($L@KV-X{e{#&gSkhT}LrpE<>BFBflFB>5=UygLD>OIH75%B{bt8NNmZFF<}&1EZ|br<-2=%d`1 zn=brr%NLP8VCbVlGdak9oH>v_01&XO#Rk#IDT~VeF@%_HW)3`QUt0@g|F@lXU3EB! zM>n%erAVghmrB@!&~8SnK+`3m`;0i3HG70L>{e*XUOb59N|J`%BTF>ZDcrkq_NvK0 zK96bBq{OJoST7V}#B;d<-lTH)pYrjDg1+sd%Fr<1|5~2Lh{HD(+5!~gC$#I0wf)>PhNH@ zB-zs$oF;YHbMAwMwaFIs=juEA0 zcZ5YUs-^s$5=L*5$9L2(yRR$%DXoX^$QmG)z?))+{@7NXR&r#G!Ftk_6@sH%A;|0CfW-pn$Tn%1sRx*8-C5T!075x z05q$Yy7v!d?}ZefsDh>y{YiMnY*B`B1k99^ zzvbAgJBQ!`)eirVGxFjBPUy_Pc&S4 zSpwV|hMwtt)U!ael4@IctuRR)XVBpxk}#|hClG`bc#})~@yV;0HG&`lK?ML*bqO>o zDwUziE^7TIMd`0GW_Mm6h~sSskTus)5h<(2H)xBG>|Bd;PrFk_E@(;!ID|t_QV|LqkBN5TcV^)LiIGq9g6iZ#~ldsbH^Q0`u7_N%feC46ZMi;U4#p`M{V`C z6Y)RZ`A-ar+ctUMyy-ZV0ebwz7LbHevPdPML=Yx>hCSOM?It`Z2T%f;P?JsK%Dghr zTOR_1=F61IsFW0+rmgd0>fTTYF*W|Cn%5hUR86J}VU%KzRWVq_Ufklc%p*MefPbbs zm&Acc5`rK|tq~azL@s!9F!WZgUk^fOmPYsSPg2#ti@M%)O;MZFioE8m>HM3>+VMV} z^`I5J85Mf^)NjOBNg)`rU|7be?SYD8JI}k&CmZxr4iYc78rR4n-B3Sb;+C(plI$lg zhrF41GcG>X>ayJQ(dTD96)G9_&G01C=N8p3k^hMSOaL3T{*saNM>D=W#;@Fbvi0n4 zenBnK%&2s|)^O%cK?6j9rTpB}j429Nn6@w9hJ#*wAK2@|}HE3A%6;4l@H?Dm2J%4UuPTdmXd$wFt z98QIjnmDu^2Wf8Vw@0RPw}inC9$vf)#X?)W5v+WVehRa8852NberEAhJCes;^QW-W z${cAF`=Nqf!;cNO-o?%4duLDN9Y4UB@1)A>hY705r(Au2(b93=S5f5pPC8K*deOJ^ zm8AH5NOZl2svwUH>^nNz;HZ!{Sj}?KM@i@+9zez3-84mnmYzCpx;{Nuk~)ztPmVzi zkyH#pOoBHB#2?3Z(v5^9R~pWPiX`>cs7*SPK;r81u|h7~$X!I4?Vxe!Ap^3_Z$;MR zS@1P#M1f!(0Zl$w6@$N*Y;sltSH8sBJPL)@7}H;cuPDgst6Uo0F4{L-$!SHWfxSb6 z4-vs>%MR%PY*n;$!u5eICBY~|5{^9EG0oqdNMrkjVS=b+a2+$O=8W3rj{ZGuyp5JG~VbYu#_I8ANl&31=SMVt%fw{5n$wIa<2dj*hZ8p}IL# zuillx8FT_Yafe9V?31w!Z#z@mk&6T^2y@Bn?25AFyQbjHp>aB zB>z>18PPd%6tHKf(_0+dN{oH~E`oIv9i!LnZW1@zVqh3IdTopiyr~QFFv31tWC#-Gp5psHj@g+&ch%$sEeb%csc(eui2ifr z=u@cv(gz}uO`w(CeY;Xzm>(HgY&(=I5ryu=*>~vsAm^Q$k4d%cO-FGK!1-L2Hsa33 zEa!-o-TpP=2BVv?H}rBKsSbjO2X8X|OZm8FVqmP4EXC3`@CyPU`d&(uNvZ=000Wkn zvV-(RQ#;;qr7p26wV(nWY4~~rxiGes1UNqqYzGQNWmX|s*<aF>QP)xjd`^@KFM*6x2-;h=&pAyKit&S|0TP9i&LhqoFL4NW#TBri z;D>s@;q)3DD+<}>kD+*PbufE=h8~)QZr`3U--Rj(QZpE z`Z|yRaQu1qKs~|oMCE2;YCTuNLdzZ+6mrxy%Uow)PI-gY0!5_Ky9CTcd9mfk@|g5*r+y+Ve^4szfW3p zgofq-tY+8}Sgo-QDL=sD8i*q};417>lL_Wc(1I-pB(%7J@$O6T*z`i0w#X;t+5e1t zDHO#_TIaUn!333dr)jz18n#(jPhID{zXomRmp za`<7e^gCx)%K4{V!ED2c?Td0*ue8Hom91fvemv~h$02%0@}iXDxl=!B)&iQYqCbxU zIsWCf+9__jeYitTKT(Tlh}G0PtM;aYZpl?}^!YIa@(PUXmnN{6dfte6W&M2Y4bc2ZN$`PXZUxKN!#*yR*rG8k19sP3X(lqH|_Efb|D5^N* ze-*D}!(rPRTq2RvSXaAK8>{V1t%ZsC$EkO|k6G-3-w2!Ew;dIx>A4vRT^S;OZ80@D z5){c_=Ms(!VpRWBjd-`jHX56*C8kSR{9LibyHgG5KF!ZrV}nv-`I>8OLRYk;%n-6AIB{z49zoKg^zdu?7OW?*uCt6471_o~C(xI4=xY5f+FBPAs+A_}UPuSHr-m?$@Q;(6ES<5%amqxmWzk zn}AiD${c3+4Zp)!{Euvwr6Uxo?wptU8!Ktx!`Ly=u1M>7WQt zTX&30hI>g2f7i_Cc}YF)FpRSHc(GJ@8^&M-qbDrXPl(N)#X&NB2gedb2$aH5 zLt)Q>-P5FE&%oNjM?K>n+N9ZPD2(;t+iNL?5{aIi4QmnHL2Q2NO-Qr4pAU(?HTDB~ zS~aKrWC>7bvvrz_Ac0j4AZIiBdVBztYd=U*LA0Y*Ci=mumr_!`D!(AQ#K@3*XAF-mR^|UpsC|}M9{eOE%^JVdip$e!{ ze(O+uEYOcx;PH_-@K2l3W!f($wXq;& zkCsu+s~Xb!3$o;UTs(4%G*(#C_s1U}%nP69DuhkE8;q;UBRP}(6Fma*xsOp zq_QbC+!#1roxj>!KUrtfN|Y=#d-!G9{<`ue3$f?0CbNT%0%7Hy9Go!Q_7dllNON7a zpz7`NTi()Kqy8I{w3De?{w*M}>cX3N(vsl)b=s&;dwlIH3Z$ch!N*DpX6mx5#qv+? gwMg}`WCQIvnzBugztbgeQLW!-G1o4={I_WRKcxePF#rGn literal 0 HcmV?d00001 diff --git a/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.kpt b/YL_dec_counter/incremental_db/compiled_partitions/YL_dec_counter.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..a25df28f505d5b3492901b7f7014b23727f0f351 GIT binary patch literal 412 zcmV;N0b~BG4*>uG0001ZoYj`gPJ=)chWCAnliABK(Axr7G;vYmLeoTJj3Hc3b%F&P zhNeyW@N#L9T5Ohkj@)f-=12CP!uzxk-5+2GFFkMj<29uP(`=IF>s6)y$s;Nwe8Hy$M z5bhi=00y%gctjVjeJ-<3V)X!w0M~XL@lYmqf)eC9&gK+2M}eV-1l~A`EEAiA77g_l z;C?nQshVDSlC8BZ%L?YSt za>dF0E&XaRXTPjEKZqOO=UskVAsvapLmyWrQESN}z5ja0B3iA9m5mzLAaqBm4yNVF z&4bi$TIW%QzZ%7$O-lW@eERvwH@HCgwDXZ~e1Y=y&quz|pUd|>w*7MEjrlK^)%yfI G0oS`&QNjEG literal 0 HcmV?d00001 diff --git a/YL_dec_counter/output_files/YL_dec_counter.asm.rpt b/YL_dec_counter/output_files/YL_dec_counter.asm.rpt new file mode 100644 index 0000000..76a805f --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.asm.rpt @@ -0,0 +1,130 @@ +Assembler report for YL_dec_counter +Sun May 03 18:49:08 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.sof + 6. Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun May 03 18:49:08 2020 ; +; Revision Name ; YL_dec_counter ; +; Top-level Entity Name ; YL_dec_counter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Generate compressed bitstreams ; On ; On ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Maintain Compatibility with All Cyclone II M4K Versions ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++------------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.sof ; +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.pof ; ++------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.sof ; ++----------------+---------------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------------------------+ +; Device ; EP2C20F484C7 ; +; JTAG usercode ; 0x001B2351 ; +; Checksum ; 0x001B2351 ; ++----------------+---------------------------------------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.pof ; ++--------------------+-----------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++--------------------+-----------------------------------------------------------------------------------------------+ +; Device ; EPCS16 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x1DD9C022 ; +; Compression Ratio ; 3 ; ++--------------------+-----------------------------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 18:49:06 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_dec_counter -c YL_dec_counter +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4558 megabytes + Info: Processing ended: Sun May 03 18:49:08 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.done b/YL_dec_counter/output_files/YL_dec_counter.done new file mode 100644 index 0000000..21a1b30 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.done @@ -0,0 +1 @@ +Sun May 03 18:49:13 2020 diff --git a/YL_dec_counter/output_files/YL_dec_counter.eda.rpt b/YL_dec_counter/output_files/YL_dec_counter.eda.rpt new file mode 100644 index 0000000..fc238ed --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.eda.rpt @@ -0,0 +1,92 @@ +EDA Netlist Writer report for YL_dec_counter +Sun May 03 20:26:09 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Sun May 03 20:26:09 2020 ; +; Revision Name ; YL_dec_counter ; +; Top-level Entity Name ; YL_dec_counter ; +; Family ; Cyclone II ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++------------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++------------------------------------------------------------------------------------------------+ +; Generated Files ; ++------------------------------------------------------------------------------------------------+ +; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/simulation/modelsim/YL_dec_counter.vo ; ++------------------------------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit EDA Netlist Writer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 20:26:08 2020 +Info: Command: quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog YL_dec_counter -c YL_dec_counter +Info (204019): Generated file YL_dec_counter.vo in folder "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/simulation/modelsim/" for EDA simulation tool +Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4525 megabytes + Info: Processing ended: Sun May 03 20:26:09 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.fit.rpt b/YL_dec_counter/output_files/YL_dec_counter.fit.rpt new file mode 100644 index 0000000..caaec17 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.fit.rpt @@ -0,0 +1,1191 @@ +Fitter report for YL_dec_counter +Sun May 03 18:49:03 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. I/O Bank Usage + 14. All Package Pins + 15. Output Pin Default Load For Reported TCO + 16. Fitter Resource Utilization by Entity + 17. Delay Chain Summary + 18. Pad To Core Delay Chain Fanout + 19. Control Signals + 20. Global & Other Fast Signals + 21. Non-Global High Fan-Out Signals + 22. Other Routing Usage Summary + 23. LAB Logic Elements + 24. LAB-wide Signals + 25. LAB Signals Sourced + 26. LAB Signals Sourced Out + 27. LAB Distinct Inputs + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Sun May 03 18:49:03 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec_counter ; +; Top-level Entity Name ; YL_dec_counter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 12 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 12 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; Total registers ; 4 ; +; Total pins ; 9 / 315 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EP2C20F484C7 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Ignore PLL Mode When Merging PLLs ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+--------------------------------+--------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++----------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+------------------------+ +; Type ; Value ; ++---------------------+------------------------+ +; Placement (by node) ; ; +; -- Requested ; 0 / 30 ( 0.00 % ) ; +; -- Achieved ; 0 / 30 ( 0.00 % ) ; +; ; ; +; Routing (by net) ; ; +; -- Requested ; 0 / 0 ( 0.00 % ) ; +; -- Achieved ; 0 / 0 ( 0.00 % ) ; ++---------------------+------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Partition Name ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ +; Top ; 27 ; 0 ; N/A ; Source File ; +; hard_block:auto_generated_inst ; 3 ; 0 ; N/A ; Source File ; ++--------------------------------+---------+-------------------+-------------------------+-------------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.pin. + + ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 12 / 18,752 ( < 1 % ) ; +; -- Combinational with no register ; 8 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 4 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 7 ; +; -- 3 input functions ; 4 ; +; -- <=2 input functions ; 1 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 12 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 4 / 19,649 ( < 1 % ) ; +; -- Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; -- I/O registers ; 0 / 897 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 1,172 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 9 / 315 ( 3 % ) ; +; -- Clock pins ; 1 / 8 ( 13 % ) ; +; ; ; +; Global signals ; 1 ; +; M4Ks ; 0 / 52 ( 0 % ) ; +; Total block memory bits ; 0 / 239,616 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; PLLs ; 0 / 4 ( 0 % ) ; +; Global clocks ; 1 / 16 ( 6 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Peak interconnect usage (total/H/V) ; 0% / 0% / 0% ; +; Maximum fan-out ; 6 ; +; Highest non-global fan-out ; 6 ; +; Total fan-out ; 56 ; +; Average fan-out ; 1.93 ; ++---------------------------------------------+-----------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++-----------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+----------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+----------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 12 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; -- Combinational with no register ; 8 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 4 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 7 ; 0 ; +; -- 3 input functions ; 4 ; 0 ; +; -- <=2 input functions ; 1 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 12 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 4 ; 0 ; +; -- Dedicated logic registers ; 4 / 18752 ( < 1 % ) ; 0 / 18752 ( 0 % ) ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 1172 ( < 1 % ) ; 0 / 1172 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 9 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; 0 / 52 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; Clock control block ; 1 / 20 ( 5 % ) ; 0 / 20 ( 0 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 56 ; 0 ; +; -- Registered Connections ; 20 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 4 ; 0 ; +; -- Output Ports ; 5 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+----------------------+--------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ +; clear ; R2 ; 1 ; 0 ; 8 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; clock ; M1 ; 1 ; 0 ; 13 ; 2 ; 1 ; 0 ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; enc ; R1 ; 1 ; 0 ; 8 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; +; ent ; T2 ; 1 ; 0 ; 8 ; 3 ; 5 ; 0 ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; Fitter ; ++-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ +; rco ; R8 ; 1 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[0] ; P6 ; 1 ; 0 ; 9 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[1] ; T1 ; 1 ; 0 ; 8 ; 2 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[2] ; R6 ; 1 ; 0 ; 7 ; 3 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; +; value[3] ; R7 ; 1 ; 0 ; 9 ; 1 ; no ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 24mA ; Off ; Fitter ; 0 pF ; - ; - ; ++----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+------+----------------------+---------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 9 / 41 ( 22 % ) ; 3.3V ; -- ; +; 2 ; 2 / 33 ( 6 % ) ; 3.3V ; -- ; +; 3 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; +; 4 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 5 ; 0 / 39 ( 0 % ) ; 3.3V ; -- ; +; 6 ; 1 / 36 ( 3 % ) ; 3.3V ; -- ; +; 7 ; 0 / 40 ( 0 % ) ; 3.3V ; -- ; +; 8 ; 0 / 43 ( 0 % ) ; 3.3V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; A1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; A2 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A3 ; 325 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A4 ; 324 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A5 ; 322 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A6 ; 320 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A7 ; 306 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A8 ; 304 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A9 ; 298 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A10 ; 293 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A11 ; 287 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A12 ; 283 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; A13 ; 281 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A14 ; 279 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A15 ; 273 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A16 ; 271 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A17 ; 265 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A18 ; 251 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A19 ; 249 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A20 ; 247 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; A21 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; A22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA1 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AA2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA3 ; 82 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA4 ; 85 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA5 ; 89 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA6 ; 97 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA7 ; 103 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA8 ; 111 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA9 ; 114 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA10 ; 120 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA11 ; 122 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA12 ; 128 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA13 ; 130 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA14 ; 136 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA15 ; 138 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA16 ; 140 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA17 ; 144 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA18 ; 153 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA19 ; 162 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA20 ; 164 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AA21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AA22 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; AB2 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB3 ; 83 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB4 ; 84 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB5 ; 88 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB6 ; 96 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB7 ; 102 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB8 ; 110 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB9 ; 113 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB10 ; 119 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB11 ; 121 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB12 ; 127 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB13 ; 129 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB14 ; 135 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB15 ; 137 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB16 ; 139 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB17 ; 143 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB18 ; 152 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB19 ; 161 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB20 ; 163 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; AB21 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; AB22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B1 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; B2 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B3 ; 326 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B4 ; 323 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B5 ; 321 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B6 ; 319 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B7 ; 305 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B8 ; 303 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B9 ; 297 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B10 ; 292 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B11 ; 286 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B12 ; 282 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; B13 ; 280 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B14 ; 278 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B15 ; 272 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B16 ; 270 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B17 ; 264 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B18 ; 250 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B19 ; 248 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B20 ; 246 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; B21 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; B22 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C1 ; 8 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C2 ; 9 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C3 ; 1 ; 2 ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C4 ; 0 ; 2 ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; On ; +; C5 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C6 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C7 ; 315 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C9 ; 310 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C10 ; 296 ; 3 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C11 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C12 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; C13 ; 275 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C14 ; 260 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; C16 ; 254 ; 4 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; C17 ; 245 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C18 ; 244 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; C19 ; 238 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C20 ; 239 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C21 ; 236 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; C22 ; 237 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D1 ; 14 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D2 ; 15 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D3 ; 2 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D4 ; 3 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D5 ; 4 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D6 ; 5 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D7 ; 311 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D8 ; 309 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D9 ; 302 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D11 ; 289 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D12 ; 284 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; D13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D14 ; 267 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D15 ; 259 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D16 ; 255 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; D17 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; D18 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; D19 ; 240 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D20 ; 241 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D21 ; 229 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; D22 ; 230 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E1 ; 20 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E2 ; 21 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E3 ; 6 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E4 ; 7 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E5 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E6 ; ; ; VCCA_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; E7 ; 316 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E8 ; 308 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E9 ; 301 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E10 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E11 ; 288 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E12 ; 285 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; E13 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; E14 ; 266 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E15 ; 256 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; E16 ; ; ; GNDA_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E17 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; E18 ; 243 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E19 ; 242 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E20 ; 234 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E21 ; 227 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; E22 ; 228 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F1 ; 22 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F2 ; 23 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F3 ; 13 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F4 ; 10 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; F5 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F6 ; ; ; GND_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F7 ; ; ; GNDA_PLL3 ; gnd ; ; ; -- ; ; -- ; -- ; +; F8 ; 312 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F9 ; 307 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F10 ; 295 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F11 ; 294 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F12 ; 276 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F13 ; 269 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F14 ; 268 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F15 ; 262 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; F16 ; ; ; VCCA_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F17 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; F18 ; ; ; GND_PLL2 ; gnd ; ; ; -- ; ; -- ; -- ; +; F19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; F20 ; 235 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F21 ; 223 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; F22 ; 224 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G1 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G2 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; G3 ; 16 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G5 ; 12 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G6 ; 11 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G7 ; 317 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G8 ; 313 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G9 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G11 ; 291 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G12 ; 277 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; G14 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G15 ; 261 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G16 ; 252 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; G17 ; 231 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G18 ; 232 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G19 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; G20 ; 233 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; G21 ; 221 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; G22 ; 222 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H1 ; 24 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H2 ; 25 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H3 ; 27 ; 2 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; H4 ; 17 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H5 ; 18 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H6 ; 19 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H7 ; 318 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H8 ; 314 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H9 ; 300 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H10 ; 299 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H11 ; 290 ; 3 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H12 ; 274 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H13 ; 263 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H14 ; 257 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H15 ; 253 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; H16 ; 219 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H17 ; 226 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H18 ; 225 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H19 ; 214 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; H20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; H21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; H22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J1 ; 29 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J2 ; 30 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J3 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J4 ; 28 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J6 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J7 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J9 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; J10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; J14 ; 258 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; J15 ; 220 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J16 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; J17 ; 218 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J18 ; 217 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J19 ; 216 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J20 ; 213 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J21 ; 211 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; J22 ; 212 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K1 ; 37 ; 2 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; K2 ; 32 ; 2 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; K3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K4 ; 36 ; 2 ; ^DATA0 ; input ; ; ; -- ; ; -- ; -- ; +; K5 ; 31 ; 2 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; K6 ; 33 ; 2 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; K7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; K15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; K19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; K20 ; 215 ; 5 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; K21 ; 209 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; K22 ; 210 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L1 ; 38 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L2 ; 39 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L3 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L4 ; 40 ; 2 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; L5 ; 34 ; 2 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; L6 ; 35 ; 2 ; ^DCLK ; ; ; ; -- ; ; -- ; -- ; +; L7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L8 ; 26 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; L14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; L15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L17 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; L18 ; 208 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L19 ; 207 ; 5 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; L20 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; L21 ; 205 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; L22 ; 206 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M1 ; 41 ; 1 ; clock ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; M2 ; 42 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M3 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M5 ; 43 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M6 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M7 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; M14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; M15 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M16 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; M17 ; 198 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; M18 ; 202 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M19 ; 201 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; M20 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; M21 ; 203 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; M22 ; 204 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; N1 ; 45 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N2 ; 46 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N3 ; 51 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N4 ; 52 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N5 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N6 ; 49 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N7 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N8 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; N9 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N12 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N14 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; N15 ; 194 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N16 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N17 ; 197 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; N18 ; 196 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; N19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; N20 ; 195 ; 6 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; N21 ; 199 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; N22 ; 200 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P1 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P2 ; 48 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P3 ; 50 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; P4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P5 ; 55 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P6 ; 56 ; 1 ; value[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; P7 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P8 ; 95 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P9 ; 94 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; P10 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P11 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P12 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P13 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; P14 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P15 ; 193 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P16 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; P17 ; 186 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P18 ; 187 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; P19 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P20 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P21 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; P22 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R1 ; 57 ; 1 ; enc ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R2 ; 58 ; 1 ; clear ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; R4 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; R5 ; 63 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R6 ; 64 ; 1 ; value[2] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R7 ; 54 ; 1 ; value[3] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R8 ; 53 ; 1 ; rco ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; R9 ; 109 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R10 ; 108 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R11 ; 116 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R12 ; 134 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R13 ; 145 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R14 ; 150 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R15 ; 151 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R16 ; 155 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; R17 ; 177 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R18 ; 184 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R19 ; 185 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R20 ; 192 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; R21 ; 190 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; R22 ; 191 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T1 ; 59 ; 1 ; value[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; T2 ; 60 ; 1 ; ent ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; T3 ; 69 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T4 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T5 ; 67 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T6 ; 68 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T7 ; 91 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T8 ; 90 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T9 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T11 ; 115 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T12 ; 131 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T14 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T15 ; 147 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T16 ; 156 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; T17 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; T18 ; 171 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T19 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; T20 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; T21 ; 188 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; T22 ; 189 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U1 ; 61 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U2 ; 62 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U3 ; 70 ; 1 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U4 ; 80 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; U6 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U7 ; ; ; VCCA_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U8 ; 92 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U9 ; 106 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U10 ; 107 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U11 ; 123 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U12 ; 124 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; U13 ; 132 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U14 ; 146 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U15 ; 157 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; U16 ; ; ; VCCA_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U17 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; U18 ; 170 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U19 ; 172 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U20 ; 176 ; 6 ; GND* ; ; ; ; Row I/O ; ; -- ; -- ; +; U21 ; 182 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; U22 ; 183 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V1 ; 65 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V2 ; 66 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V3 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V4 ; 81 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V5 ; ; ; GND_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V6 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V7 ; ; ; GNDA_PLL1 ; gnd ; ; ; -- ; ; -- ; -- ; +; V8 ; 98 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V9 ; 101 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V10 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V11 ; 118 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V12 ; 126 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; V13 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; V14 ; 142 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V15 ; 158 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; V16 ; ; ; GNDA_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V17 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; V18 ; ; ; GND_PLL4 ; gnd ; ; ; -- ; ; -- ; -- ; +; V19 ; 166 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V20 ; 173 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V21 ; 180 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; V22 ; 181 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W1 ; 71 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W2 ; 72 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W3 ; 75 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W4 ; 76 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W5 ; 79 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W6 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W7 ; 99 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W8 ; 100 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W9 ; 105 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W11 ; 117 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W12 ; 125 ; 7 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; +; W13 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W14 ; 141 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W15 ; 149 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W16 ; 160 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; W17 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; W18 ; ; ; NC ; ; ; ; -- ; ; -- ; -- ; +; W19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; W20 ; 167 ; 6 ; ~LVDS91p/nCEO~ ; output ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; +; W21 ; 174 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; W22 ; 175 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y1 ; 73 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y2 ; 74 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y3 ; 77 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y4 ; 78 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y5 ; 86 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y6 ; 87 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y7 ; 93 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y8 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y9 ; 104 ; 8 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y10 ; 112 ; 8 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y11 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y12 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; Y13 ; 133 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y14 ; 148 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y15 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; Y16 ; 154 ; 7 ; GND* ; ; ; ; Column I/O ; ; -- ; -- ; +; Y17 ; 159 ; 7 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; Y18 ; 165 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y19 ; 168 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y20 ; 169 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y21 ; 178 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; Y22 ; 179 ; 6 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; ++----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++-------------------------------------------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++----------------------------------+-------+------------------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++----------------------------------+-------+------------------------------------+ +; 3.3-V LVTTL ; 0 pF ; Not Available ; +; 3.3-V LVCMOS ; 0 pF ; Not Available ; +; 2.5 V ; 0 pF ; Not Available ; +; 1.8 V ; 0 pF ; Not Available ; +; 1.5 V ; 0 pF ; Not Available ; +; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; +; 3.3-V PCI-X ; 10 pF ; 25 Ohm (Parallel) ; +; SSTL-2 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-2 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class I ; 0 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ; +; SSTL-18 Class II ; 0 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ; +; 1.5-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.5-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; 1.8-V HSTL Class I ; 0 pF ; 50 Ohm (Parallel) ; +; 1.8-V HSTL Class II ; 0 pF ; 25 Ohm (Parallel) ; +; Differential SSTL-2 ; 0 pF ; (See SSTL-2) ; +; Differential 2.5-V SSTL Class II ; 0 pF ; (See SSTL-2 Class II) ; +; Differential 1.8-V SSTL Class I ; 0 pF ; (See 1.8-V SSTL Class I) ; +; Differential 1.8-V SSTL Class II ; 0 pF ; (See 1.8-V SSTL Class II) ; +; Differential 1.5-V HSTL Class I ; 0 pF ; (See 1.5-V HSTL Class I) ; +; Differential 1.5-V HSTL Class II ; 0 pF ; (See 1.5-V HSTL Class II) ; +; Differential 1.8-V HSTL Class I ; 0 pF ; (See 1.8-V HSTL Class I) ; +; Differential 1.8-V HSTL Class II ; 0 pF ; (See 1.8-V HSTL Class II) ; +; LVDS ; 0 pF ; 100 Ohm (Differential) ; +; mini-LVDS ; 0 pF ; 100 Ohm (Differential) ; +; RSDS ; 0 pF ; 100 Ohm (Differential) ; +; Simple RSDS ; 0 pF ; Not Available ; +; Differential LVPECL ; 0 pF ; 100 Ohm (Differential) ; ++----------------------------------+-------+------------------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M4Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------+--------------+ +; |YL_dec_counter ; 12 (0) ; 4 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; 8 (0) ; 0 (0) ; 4 (0) ; |YL_dec_counter ; work ; +; |dec_count:inst| ; 12 (12) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 4 (4) ; |YL_dec_counter|dec_count:inst ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+--------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++-----------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; ++----------+----------+---------------+---------------+-----------------------+-----+ +; rco ; Output ; -- ; -- ; -- ; -- ; +; value[3] ; Output ; -- ; -- ; -- ; -- ; +; value[2] ; Output ; -- ; -- ; -- ; -- ; +; value[1] ; Output ; -- ; -- ; -- ; -- ; +; value[0] ; Output ; -- ; -- ; -- ; -- ; +; ent ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; +; enc ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; +; clear ; Input ; (6) 2523 ps ; (6) 2523 ps ; -- ; -- ; +; clock ; Input ; (0) 171 ps ; (0) 171 ps ; -- ; -- ; ++----------+----------+---------------+---------------+-----------------------+-----+ + + ++----------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++----------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++----------------------------------+-------------------+---------+ +; ent ; ; ; +; - dec_count:inst|_~1 ; 1 ; 6 ; +; - dec_count:inst|count[0]~0 ; 1 ; 6 ; +; - dec_count:inst|count[0]~1 ; 1 ; 6 ; +; - dec_count:inst|count[0]~3 ; 1 ; 6 ; +; - dec_count:inst|_~2 ; 1 ; 6 ; +; enc ; ; ; +; - dec_count:inst|count[0]~0 ; 1 ; 6 ; +; - dec_count:inst|count[0]~1 ; 1 ; 6 ; +; - dec_count:inst|count[0]~3 ; 1 ; 6 ; +; - dec_count:inst|_~2 ; 1 ; 6 ; +; clear ; ; ; +; - dec_count:inst|count[0]~0 ; 0 ; 6 ; +; - dec_count:inst|count[0]~1 ; 0 ; 6 ; +; - dec_count:inst|count[0]~3 ; 0 ; 6 ; +; - dec_count:inst|count[2]~5 ; 0 ; 6 ; +; - dec_count:inst|count[1]~6 ; 0 ; 6 ; +; clock ; ; ; ++----------------------------------+-------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------+----------+---------+-------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+-------+--------+----------------------+------------------+---------------------------+ +; clock ; PIN_M1 ; 4 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; ++-------+----------+---------+-------+--------+----------------------+------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++-------+----------+---------+----------------------+------------------+---------------------------+ +; clock ; PIN_M1 ; 4 ; Global Clock ; GCLK3 ; -- ; ++-------+----------+---------+----------------------+------------------+---------------------------+ + + ++-------------------------------------+ +; Non-Global High Fan-Out Signals ; ++---------------------------+---------+ +; Name ; Fan-Out ; ++---------------------------+---------+ +; dec_count:inst|count[0] ; 6 ; +; clear ; 5 ; +; ent ; 5 ; +; dec_count:inst|count[1] ; 5 ; +; dec_count:inst|count[2] ; 5 ; +; enc ; 4 ; +; dec_count:inst|_~0 ; 4 ; +; dec_count:inst|count[3] ; 4 ; +; dec_count:inst|_~2 ; 2 ; +; dec_count:inst|count[0]~1 ; 2 ; +; dec_count:inst|count[1]~6 ; 1 ; +; dec_count:inst|count[2]~5 ; 1 ; +; dec_count:inst|op_1~1 ; 1 ; +; dec_count:inst|count[0]~4 ; 1 ; +; dec_count:inst|count[0]~3 ; 1 ; +; dec_count:inst|count[3]~2 ; 1 ; +; dec_count:inst|count[0]~0 ; 1 ; +; dec_count:inst|op_1~0 ; 1 ; +; dec_count:inst|_~1 ; 1 ; ++---------------------------+---------+ + + ++-----------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+-----------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+-----------------------+ +; Block interconnects ; 10 / 54,004 ( < 1 % ) ; +; C16 interconnects ; 0 / 2,100 ( 0 % ) ; +; C4 interconnects ; 4 / 36,000 ( < 1 % ) ; +; Direct links ; 3 / 54,004 ( < 1 % ) ; +; Global clocks ; 1 / 16 ( 6 % ) ; +; Local interconnects ; 11 / 18,752 ( < 1 % ) ; +; R24 interconnects ; 0 / 1,900 ( 0 % ) ; +; R4 interconnects ; 0 / 46,920 ( 0 % ) ; ++-----------------------------+-----------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 12.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 1 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-----------------------------+ +; LAB-wide Signals (Average = 1.00) ; Number of LABs (Total = 1) ; ++------------------------------------+-----------------------------+ +; 1 Clock ; 1 ; ++------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 16.00) ; Number of LABs (Total = 1) ; ++----------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 5.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; nCEO ; As output driving ground ; +; ASDO,nCSO ; As input tri-stated ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EP2C20F484C7 for design "YL_dec_counter" +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP2C15AF484C7 is compatible + Info (176445): Device EP2C35F484C7 is compatible + Info (176445): Device EP2C50F484C7 is compatible +Info (169124): Fitter converted 3 user pins into dedicated programming pins + Info (169125): Pin ~ASDO~ is reserved at location C4 + Info (169125): Pin ~nCSO~ is reserved at location C3 + Info (169125): Pin ~LVDS91p/nCEO~ is reserved at location W20 +Critical Warning (169085): No exact pin location assignment(s) for 9 pins of 9 total pins + Info (169086): Pin rco not assigned to an exact location on the device + Info (169086): Pin value[3] not assigned to an exact location on the device + Info (169086): Pin value[2] not assigned to an exact location on the device + Info (169086): Pin value[1] not assigned to an exact location on the device + Info (169086): Pin value[0] not assigned to an exact location on the device + Info (169086): Pin ent not assigned to an exact location on the device + Info (169086): Pin enc not assigned to an exact location on the device + Info (169086): Pin clear not assigned to an exact location on the device + Info (169086): Pin clock not assigned to an exact location on the device +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_dec_counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176353): Automatically promoted node clock (placed in PIN M1 (CLK2, LVDSCLK1p, Input)) + Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G3 +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 8 (unused VREF, 3.3V VCCIO, 3 input, 5 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 31 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 39 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 35 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 40 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 43 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X11_Y13 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped +Info (11888): Total time spent on timing analysis during the Fitter is 0.25 seconds. +Info (306004): Started post-fitting delay annotation +Warning (306006): Found 5 output pins without output pin load capacitance assignment + Info (306007): Pin "rco" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis + Info (306007): Pin "value[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis +Info (306005): Delay annotation completed successfully +Info (306004): Started post-fitting delay annotation +Info (306005): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 +Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. +Info (144001): Generated suppressed messages file C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.fit.smsg +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4848 megabytes + Info: Processing ended: Sun May 03 18:49:04 2020 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:06 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/output_files/YL_dec_counter.fit.smsg. + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.fit.smsg b/YL_dec_counter/output_files/YL_dec_counter.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/YL_dec_counter/output_files/YL_dec_counter.fit.summary b/YL_dec_counter/output_files/YL_dec_counter.fit.summary new file mode 100644 index 0000000..10fa997 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Sun May 03 18:49:03 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_dec_counter +Top-level Entity Name : YL_dec_counter +Family : Cyclone II +Device : EP2C20F484C7 +Timing Models : Final +Total logic elements : 12 / 18,752 ( < 1 % ) + Total combinational functions : 12 / 18,752 ( < 1 % ) + Dedicated logic registers : 4 / 18,752 ( < 1 % ) +Total registers : 4 +Total pins : 9 / 315 ( 3 % ) +Total virtual pins : 0 +Total memory bits : 0 / 239,616 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 52 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) diff --git a/YL_dec_counter/output_files/YL_dec_counter.flow.rpt b/YL_dec_counter/output_files/YL_dec_counter.flow.rpt new file mode 100644 index 0000000..e40e323 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.flow.rpt @@ -0,0 +1,128 @@ +Flow report for YL_dec_counter +Sun May 03 20:26:09 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Sun May 03 20:26:09 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec_counter ; +; Top-level Entity Name ; YL_dec_counter ; +; Family ; Cyclone II ; +; Device ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Total logic elements ; 12 / 18,752 ( < 1 % ) ; +; Total combinational functions ; 12 / 18,752 ( < 1 % ) ; +; Dedicated logic registers ; 4 / 18,752 ( < 1 % ) ; +; Total registers ; 4 ; +; Total pins ; 9 / 315 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 239,616 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 52 ( 0 % ) ; +; Total PLLs ; 0 / 4 ( 0 % ) ; ++------------------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 05/03/2020 18:48:50 ; +; Main task ; Compilation ; +; Revision Name ; YL_dec_counter ; ++-------------------+---------------------+ + + ++---------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ +; COMPILER_SIGNATURE_ID ; 345052807169.158850293011320 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++-------------------------------------+------------------------------+---------------+-------------+------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4592 MB ; 00:00:02 ; +; Fitter ; 00:00:09 ; 1.0 ; 4848 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 4558 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4558 MB ; 00:00:02 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4525 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4525 MB ; 00:00:01 ; +; Total ; 00:00:17 ; -- ; -- ; 00:00:14 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; Yimian-PC ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off YL_dec_counter -c YL_dec_counter +quartus_fit --read_settings_files=off --write_settings_files=off YL_dec_counter -c YL_dec_counter +quartus_asm --read_settings_files=off --write_settings_files=off YL_dec_counter -c YL_dec_counter +quartus_sta YL_dec_counter -c YL_dec_counter +quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog YL_dec_counter -c YL_dec_counter --vector_source=C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_DecCounter.vwf --testbench_file=./simulation/qsim/YL_dec_counter.vt +quartus_eda --functional=on --simulation=on --tool=modelsim_oem --format=verilog YL_dec_counter -c YL_dec_counter + + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.jdi b/YL_dec_counter/output_files/YL_dec_counter.jdi new file mode 100644 index 0000000..f2051fc --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.map.rpt b/YL_dec_counter/output_files/YL_dec_counter.map.rpt new file mode 100644 index 0000000..92c2b3b --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.map.rpt @@ -0,0 +1,259 @@ +Analysis & Synthesis report for YL_dec_counter +Sun May 03 18:48:53 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Elapsed Time Per Partition + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun May 03 18:48:53 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; YL_dec_counter ; +; Top-level Entity Name ; YL_dec_counter ; +; Family ; Cyclone II ; +; Total logic elements ; 12 ; +; Total combinational functions ; 12 ; +; Dedicated logic registers ; 4 ; +; Total registers ; 4 ; +; Total pins ; 9 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+-------------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EP2C20F484C7 ; ; +; Top-level entity name ; YL_dec_counter ; YL_dec_counter ; +; Family name ; Cyclone II ; Cyclone IV GX ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ +; YL_dec_counter.bdf ; yes ; User Block Diagram/Schematic File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf ; ; +; YL_dec_counter.tdf ; yes ; User AHDL File ; C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.tdf ; ; ++----------------------------------+-----------------+------------------------------------+-----------------------------------------------------------------------------+---------+ + + ++-----------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+-------------------------+ +; Resource ; Usage ; ++---------------------------------------------+-------------------------+ +; Estimated Total logic elements ; 12 ; +; ; ; +; Total combinational functions ; 12 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 7 ; +; -- 3 input functions ; 4 ; +; -- <=2 input functions ; 1 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 12 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 4 ; +; -- Dedicated logic registers ; 4 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 9 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Maximum fan-out node ; dec_count:inst|count[0] ; +; Maximum fan-out ; 6 ; +; Total fan-out ; 55 ; +; Average fan-out ; 2.20 ; ++---------------------------------------------+-------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------+--------------+ +; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------+--------------+ +; |YL_dec_counter ; 12 (0) ; 4 (0) ; 0 ; 0 ; 0 ; 0 ; 9 ; 0 ; |YL_dec_counter ; work ; +; |dec_count:inst| ; 12 (12) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |YL_dec_counter|dec_count:inst ; work ; ++----------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+--------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 4 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 18:48:49 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off YL_dec_counter -c YL_dec_counter +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file yl_dec_counter.bdf + Info (12023): Found entity 1: YL_dec_counter +Info (12021): Found 1 design units, including 1 entities, in source file yl_dec_counter.tdf + Info (12023): Found entity 1: dec_count +Info (12127): Elaborating entity "YL_dec_counter" for the top level hierarchy +Info (12128): Elaborating entity "dec_count" for hierarchy "dec_count:inst" +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 21 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 4 input pins + Info (21059): Implemented 5 output pins + Info (21061): Implemented 12 logic cells +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4603 megabytes + Info: Processing ended: Sun May 03 18:48:53 2020 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.map.summary b/YL_dec_counter/output_files/YL_dec_counter.map.summary new file mode 100644 index 0000000..42fc092 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Sun May 03 18:48:53 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : YL_dec_counter +Top-level Entity Name : YL_dec_counter +Family : Cyclone II +Total logic elements : 12 + Total combinational functions : 12 + Dedicated logic registers : 4 +Total registers : 4 +Total pins : 9 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/YL_dec_counter/output_files/YL_dec_counter.pin b/YL_dec_counter/output_files/YL_dec_counter.pin new file mode 100644 index 0000000..62d8975 --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.pin @@ -0,0 +1,554 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 3.3V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "YL_dec_counter" ASSIGNED TO AN: EP2C20F484C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +GND : A1 : gnd : : : : +VCCIO3 : A2 : power : : 3.3V : 3 : +GND* : A3 : : : : 3 : +GND* : A4 : : : : 3 : +GND* : A5 : : : : 3 : +GND* : A6 : : : : 3 : +GND* : A7 : : : : 3 : +GND* : A8 : : : : 3 : +GND* : A9 : : : : 3 : +GND* : A10 : : : : 3 : +GND* : A11 : : : : 3 : +GND+ : A12 : : : : 4 : +GND* : A13 : : : : 4 : +GND* : A14 : : : : 4 : +GND* : A15 : : : : 4 : +GND* : A16 : : : : 4 : +GND* : A17 : : : : 4 : +GND* : A18 : : : : 4 : +GND* : A19 : : : : 4 : +GND* : A20 : : : : 4 : +VCCIO4 : A21 : power : : 3.3V : 4 : +GND : A22 : gnd : : : : +VCCIO1 : AA1 : power : : 3.3V : 1 : +GND : AA2 : gnd : : : : +GND* : AA3 : : : : 8 : +GND* : AA4 : : : : 8 : +GND* : AA5 : : : : 8 : +GND* : AA6 : : : : 8 : +GND* : AA7 : : : : 8 : +GND* : AA8 : : : : 8 : +GND* : AA9 : : : : 8 : +GND* : AA10 : : : : 8 : +GND* : AA11 : : : : 8 : +GND* : AA12 : : : : 7 : +GND* : AA13 : : : : 7 : +GND* : AA14 : : : : 7 : +GND* : AA15 : : : : 7 : +GND* : AA16 : : : : 7 : +GND* : AA17 : : : : 7 : +GND* : AA18 : : : : 7 : +GND* : AA19 : : : : 7 : +GND* : AA20 : : : : 7 : +GND : AA21 : gnd : : : : +VCCIO6 : AA22 : power : : 3.3V : 6 : +GND : AB1 : gnd : : : : +VCCIO8 : AB2 : power : : 3.3V : 8 : +GND* : AB3 : : : : 8 : +GND* : AB4 : : : : 8 : +GND* : AB5 : : : : 8 : +GND* : AB6 : : : : 8 : +GND* : AB7 : : : : 8 : +GND* : AB8 : : : : 8 : +GND* : AB9 : : : : 8 : +GND* : AB10 : : : : 8 : +GND* : AB11 : : : : 8 : +GND* : AB12 : : : : 7 : +GND* : AB13 : : : : 7 : +GND* : AB14 : : : : 7 : +GND* : AB15 : : : : 7 : +GND* : AB16 : : : : 7 : +GND* : AB17 : : : : 7 : +GND* : AB18 : : : : 7 : +GND* : AB19 : : : : 7 : +GND* : AB20 : : : : 7 : +VCCIO7 : AB21 : power : : 3.3V : 7 : +GND : AB22 : gnd : : : : +VCCIO2 : B1 : power : : 3.3V : 2 : +GND : B2 : gnd : : : : +GND* : B3 : : : : 3 : +GND* : B4 : : : : 3 : +GND* : B5 : : : : 3 : +GND* : B6 : : : : 3 : +GND* : B7 : : : : 3 : +GND* : B8 : : : : 3 : +GND* : B9 : : : : 3 : +GND* : B10 : : : : 3 : +GND* : B11 : : : : 3 : +GND+ : B12 : : : : 4 : +GND* : B13 : : : : 4 : +GND* : B14 : : : : 4 : +GND* : B15 : : : : 4 : +GND* : B16 : : : : 4 : +GND* : B17 : : : : 4 : +GND* : B18 : : : : 4 : +GND* : B19 : : : : 4 : +GND* : B20 : : : : 4 : +GND : B21 : gnd : : : : +VCCIO5 : B22 : power : : 3.3V : 5 : +GND* : C1 : : : : 2 : +GND* : C2 : : : : 2 : +~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3 : input : 3.3-V LVTTL : : 2 : N +~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : input : 3.3-V LVTTL : : 2 : N +GND : C5 : gnd : : : : +VCCIO3 : C6 : power : : 3.3V : 3 : +GND* : C7 : : : : 3 : +GND : C8 : gnd : : : : +GND* : C9 : : : : 3 : +GND* : C10 : : : : 3 : +VCCIO3 : C11 : power : : 3.3V : 3 : +VCCIO4 : C12 : power : : 3.3V : 4 : +GND* : C13 : : : : 4 : +GND* : C14 : : : : 4 : +GND : C15 : gnd : : : : +GND* : C16 : : : : 4 : +GND* : C17 : : : : 4 : +GND* : C18 : : : : 4 : +GND* : C19 : : : : 5 : +GND* : C20 : : : : 5 : +GND* : C21 : : : : 5 : +GND* : C22 : : : : 5 : +GND* : D1 : : : : 2 : +GND* : D2 : : : : 2 : +GND* : D3 : : : : 2 : +GND* : D4 : : : : 2 : +GND* : D5 : : : : 2 : +GND* : D6 : : : : 2 : +GND* : D7 : : : : 3 : +GND* : D8 : : : : 3 : +GND* : D9 : : : : 3 : +GND : D10 : gnd : : : : +GND* : D11 : : : : 3 : +GND+ : D12 : : : : 3 : +GND : D13 : gnd : : : : +GND* : D14 : : : : 4 : +GND* : D15 : : : : 4 : +GND* : D16 : : : : 4 : +VCCIO4 : D17 : power : : 3.3V : 4 : +GND : D18 : gnd : : : : +GND* : D19 : : : : 5 : +GND* : D20 : : : : 5 : +GND* : D21 : : : : 5 : +GND* : D22 : : : : 5 : +GND* : E1 : : : : 2 : +GND* : E2 : : : : 2 : +GND* : E3 : : : : 2 : +GND* : E4 : : : : 2 : +VCCD_PLL3 : E5 : power : : 1.2V : : +VCCA_PLL3 : E6 : power : : 1.2V : : +GND* : E7 : : : : 3 : +GND* : E8 : : : : 3 : +GND* : E9 : : : : 3 : +VCCIO3 : E10 : power : : 3.3V : 3 : +GND* : E11 : : : : 3 : +GND+ : E12 : : : : 3 : +VCCIO4 : E13 : power : : 3.3V : 4 : +GND* : E14 : : : : 4 : +GND* : E15 : : : : 4 : +GNDA_PLL2 : E16 : gnd : : : : +GND_PLL2 : E17 : gnd : : : : +GND* : E18 : : : : 5 : +GND* : E19 : : : : 5 : +GND* : E20 : : : : 5 : +GND* : E21 : : : : 5 : +GND* : E22 : : : : 5 : +GND* : F1 : : : : 2 : +GND* : F2 : : : : 2 : +GND* : F3 : : : : 2 : +GND* : F4 : : : : 2 : +GND_PLL3 : F5 : gnd : : : : +GND_PLL3 : F6 : gnd : : : : +GNDA_PLL3 : F7 : gnd : : : : +GND* : F8 : : : : 3 : +GND* : F9 : : : : 3 : +GND* : F10 : : : : 3 : +GND* : F11 : : : : 3 : +GND* : F12 : : : : 4 : +GND* : F13 : : : : 4 : +GND* : F14 : : : : 4 : +GND* : F15 : : : : 4 : +VCCA_PLL2 : F16 : power : : 1.2V : : +VCCD_PLL2 : F17 : power : : 1.2V : : +GND_PLL2 : F18 : gnd : : : : +GND : F19 : gnd : : : : +GND* : F20 : : : : 5 : +GND* : F21 : : : : 5 : +GND* : F22 : : : : 5 : +NC : G1 : : : : : +NC : G2 : : : : : +GND* : G3 : : : : 2 : +GND : G4 : gnd : : : : +GND* : G5 : : : : 2 : +GND* : G6 : : : : 2 : +GND* : G7 : : : : 3 : +GND* : G8 : : : : 3 : +VCCIO3 : G9 : power : : 3.3V : 3 : +GND : G10 : gnd : : : : +GND* : G11 : : : : 3 : +GND* : G12 : : : : 4 : +GND : G13 : gnd : : : : +VCCIO4 : G14 : power : : 3.3V : 4 : +GND* : G15 : : : : 4 : +GND* : G16 : : : : 4 : +GND* : G17 : : : : 5 : +GND* : G18 : : : : 5 : +VCCIO5 : G19 : power : : 3.3V : 5 : +GND* : G20 : : : : 5 : +GND* : G21 : : : : 5 : +GND* : G22 : : : : 5 : +GND* : H1 : : : : 2 : +GND* : H2 : : : : 2 : +GND* : H3 : : : : 2 : +GND* : H4 : : : : 2 : +GND* : H5 : : : : 2 : +GND* : H6 : : : : 2 : +GND* : H7 : : : : 3 : +GND* : H8 : : : : 3 : +GND* : H9 : : : : 3 : +GND* : H10 : : : : 3 : +GND* : H11 : : : : 3 : +GND* : H12 : : : : 4 : +GND* : H13 : : : : 4 : +GND* : H14 : : : : 4 : +GND* : H15 : : : : 4 : +GND* : H16 : : : : 5 : +GND* : H17 : : : : 5 : +GND* : H18 : : : : 5 : +GND* : H19 : : : : 5 : +GND : H20 : gnd : : : : +NC : H21 : : : : : +NC : H22 : : : : : +GND* : J1 : : : : 2 : +GND* : J2 : : : : 2 : +NC : J3 : : : : : +GND* : J4 : : : : 2 : +NC : J5 : : : : : +NC : J6 : : : : : +VCCIO2 : J7 : power : : 3.3V : 2 : +NC : J8 : : : : : +NC : J9 : : : : : +VCCINT : J10 : power : : 1.2V : : +VCCINT : J11 : power : : 1.2V : : +VCCINT : J12 : power : : 1.2V : : +VCCINT : J13 : power : : 1.2V : : +GND* : J14 : : : : 4 : +GND* : J15 : : : : 5 : +VCCIO5 : J16 : power : : 3.3V : 5 : +GND* : J17 : : : : 5 : +GND* : J18 : : : : 5 : +GND* : J19 : : : : 5 : +GND* : J20 : : : : 5 : +GND* : J21 : : : : 5 : +GND* : J22 : : : : 5 : +nCE : K1 : : : : 2 : +TCK : K2 : input : : : 2 : +GND : K3 : gnd : : : : +DATA0 : K4 : input : : : 2 : +TDI : K5 : input : : : 2 : +TMS : K6 : input : : : 2 : +GND : K7 : gnd : : : : +NC : K8 : : : : : +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +GND : K11 : gnd : : : : +GND : K12 : gnd : : : : +GND : K13 : gnd : : : : +VCCINT : K14 : power : : 1.2V : : +NC : K15 : : : : : +GND : K16 : gnd : : : : +NC : K17 : : : : : +NC : K18 : : : : : +GND : K19 : gnd : : : : +GND* : K20 : : : : 5 : +GND* : K21 : : : : 5 : +GND* : K22 : : : : 5 : +GND+ : L1 : : : : 2 : +GND+ : L2 : : : : 2 : +VCCIO2 : L3 : power : : 3.3V : 2 : +nCONFIG : L4 : : : : 2 : +TDO : L5 : output : : : 2 : +DCLK : L6 : : : : 2 : +NC : L7 : : : : : +GND* : L8 : : : : 2 : +VCCINT : L9 : power : : 1.2V : : +GND : L10 : gnd : : : : +GND : L11 : gnd : : : : +GND : L12 : gnd : : : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +NC : L15 : : : : : +NC : L16 : : : : : +NC : L17 : : : : : +GND* : L18 : : : : 5 : +GND* : L19 : : : : 5 : +VCCIO5 : L20 : power : : 3.3V : 5 : +GND+ : L21 : : : : 5 : +GND+ : L22 : : : : 5 : +clock : M1 : input : 3.3-V LVTTL : : 1 : N +GND+ : M2 : : : : 1 : +VCCIO1 : M3 : power : : 3.3V : 1 : +GND : M4 : gnd : : : : +GND* : M5 : : : : 1 : +GND* : M6 : : : : 1 : +NC : M7 : : : : : +NC : M8 : : : : : +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +GND : M11 : gnd : : : : +GND : M12 : gnd : : : : +GND : M13 : gnd : : : : +VCCINT : M14 : power : : 1.2V : : +NC : M15 : : : : : +NC : M16 : : : : : +MSEL0 : M17 : : : : 6 : +GND* : M18 : : : : 6 : +GND* : M19 : : : : 6 : +VCCIO6 : M20 : power : : 3.3V : 6 : +GND+ : M21 : : : : 6 : +GND+ : M22 : : : : 6 : +GND* : N1 : : : : 1 : +GND* : N2 : : : : 1 : +GND* : N3 : : : : 1 : +GND* : N4 : : : : 1 : +NC : N5 : : : : : +GND* : N6 : : : : 1 : +GND : N7 : gnd : : : : +NC : N8 : : : : : +VCCINT : N9 : power : : 1.2V : : +GND : N10 : gnd : : : : +GND : N11 : gnd : : : : +GND : N12 : gnd : : : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND* : N15 : : : : 6 : +GND : N16 : gnd : : : : +MSEL1 : N17 : : : : 6 : +CONF_DONE : N18 : : : : 6 : +GND : N19 : gnd : : : : +nSTATUS : N20 : : : : 6 : +GND* : N21 : : : : 6 : +GND* : N22 : : : : 6 : +GND* : P1 : : : : 1 : +GND* : P2 : : : : 1 : +GND* : P3 : : : : 1 : +NC : P4 : : : : : +GND* : P5 : : : : 1 : +value[0] : P6 : output : 3.3-V LVTTL : : 1 : N +VCCIO1 : P7 : power : : 3.3V : 1 : +GND* : P8 : : : : 8 : +GND* : P9 : : : : 8 : +VCCINT : P10 : power : : 1.2V : : +VCCINT : P11 : power : : 1.2V : : +VCCINT : P12 : power : : 1.2V : : +VCCINT : P13 : power : : 1.2V : : +NC : P14 : : : : : +GND* : P15 : : : : 6 : +VCCIO6 : P16 : power : : 3.3V : 6 : +GND* : P17 : : : : 6 : +GND* : P18 : : : : 6 : +NC : P19 : : : : : +NC : P20 : : : : : +NC : P21 : : : : : +NC : P22 : : : : : +enc : R1 : input : 3.3-V LVTTL : : 1 : N +clear : R2 : input : 3.3-V LVTTL : : 1 : N +GND : R3 : gnd : : : : +NC : R4 : : : : : +GND* : R5 : : : : 1 : +value[2] : R6 : output : 3.3-V LVTTL : : 1 : N +value[3] : R7 : output : 3.3-V LVTTL : : 1 : N +rco : R8 : output : 3.3-V LVTTL : : 1 : N +GND* : R9 : : : : 8 : +GND* : R10 : : : : 8 : +GND* : R11 : : : : 8 : +GND* : R12 : : : : 7 : +GND* : R13 : : : : 7 : +GND* : R14 : : : : 7 : +GND* : R15 : : : : 7 : +GND* : R16 : : : : 7 : +GND* : R17 : : : : 6 : +GND* : R18 : : : : 6 : +GND* : R19 : : : : 6 : +GND* : R20 : : : : 6 : +GND* : R21 : : : : 6 : +GND* : R22 : : : : 6 : +value[1] : T1 : output : 3.3-V LVTTL : : 1 : N +ent : T2 : input : 3.3-V LVTTL : : 1 : N +GND* : T3 : : : : 1 : +VCCIO1 : T4 : power : : 3.3V : 1 : +GND* : T5 : : : : 1 : +GND* : T6 : : : : 1 : +GND* : T7 : : : : 8 : +GND* : T8 : : : : 8 : +VCCIO8 : T9 : power : : 3.3V : 8 : +GND : T10 : gnd : : : : +GND* : T11 : : : : 8 : +GND* : T12 : : : : 7 : +GND : T13 : gnd : : : : +VCCIO7 : T14 : power : : 3.3V : 7 : +GND* : T15 : : : : 7 : +GND* : T16 : : : : 7 : +GND_PLL4 : T17 : gnd : : : : +GND* : T18 : : : : 6 : +VCCIO6 : T19 : power : : 3.3V : 6 : +GND : T20 : gnd : : : : +GND* : T21 : : : : 6 : +GND* : T22 : : : : 6 : +GND* : U1 : : : : 1 : +GND* : U2 : : : : 1 : +GND* : U3 : : : : 1 : +GND* : U4 : : : : 1 : +GND_PLL1 : U5 : gnd : : : : +VCCD_PLL1 : U6 : power : : 1.2V : : +VCCA_PLL1 : U7 : power : : 1.2V : : +GND* : U8 : : : : 8 : +GND* : U9 : : : : 8 : +GND* : U10 : : : : 8 : +GND+ : U11 : : : : 8 : +GND+ : U12 : : : : 8 : +GND* : U13 : : : : 7 : +GND* : U14 : : : : 7 : +GND* : U15 : : : : 7 : +VCCA_PLL4 : U16 : power : : 1.2V : : +VCCD_PLL4 : U17 : power : : 1.2V : : +GND* : U18 : : : : 6 : +GND* : U19 : : : : 6 : +GND* : U20 : : : : 6 : +GND* : U21 : : : : 6 : +GND* : U22 : : : : 6 : +GND* : V1 : : : : 1 : +GND* : V2 : : : : 1 : +GND : V3 : gnd : : : : +GND* : V4 : : : : 1 : +GND_PLL1 : V5 : gnd : : : : +GND : V6 : gnd : : : : +GNDA_PLL1 : V7 : gnd : : : : +GND* : V8 : : : : 8 : +GND* : V9 : : : : 8 : +VCCIO8 : V10 : power : : 3.3V : 8 : +GND* : V11 : : : : 8 : +GND+ : V12 : : : : 7 : +VCCIO7 : V13 : power : : 3.3V : 7 : +GND* : V14 : : : : 7 : +GND* : V15 : : : : 7 : +GNDA_PLL4 : V16 : gnd : : : : +GND : V17 : gnd : : : : +GND_PLL4 : V18 : gnd : : : : +GND* : V19 : : : : 6 : +GND* : V20 : : : : 6 : +GND* : V21 : : : : 6 : +GND* : V22 : : : : 6 : +GND* : W1 : : : : 1 : +GND* : W2 : : : : 1 : +GND* : W3 : : : : 1 : +GND* : W4 : : : : 1 : +GND* : W5 : : : : 1 : +VCCIO8 : W6 : power : : 3.3V : 8 : +GND* : W7 : : : : 8 : +GND* : W8 : : : : 8 : +GND* : W9 : : : : 8 : +GND : W10 : gnd : : : : +GND* : W11 : : : : 8 : +GND+ : W12 : : : : 7 : +GND : W13 : gnd : : : : +GND* : W14 : : : : 7 : +GND* : W15 : : : : 7 : +GND* : W16 : : : : 7 : +VCCIO7 : W17 : power : : 3.3V : 7 : +NC : W18 : : : : : +GND : W19 : gnd : : : : +~LVDS91p/nCEO~ : W20 : output : 3.3-V LVTTL : : 6 : N +GND* : W21 : : : : 6 : +GND* : W22 : : : : 6 : +GND* : Y1 : : : : 1 : +GND* : Y2 : : : : 1 : +GND* : Y3 : : : : 1 : +GND* : Y4 : : : : 1 : +GND* : Y5 : : : : 8 : +GND* : Y6 : : : : 8 : +GND* : Y7 : : : : 8 : +GND : Y8 : gnd : : : : +GND* : Y9 : : : : 8 : +GND* : Y10 : : : : 8 : +VCCIO8 : Y11 : power : : 3.3V : 8 : +VCCIO7 : Y12 : power : : 3.3V : 7 : +GND* : Y13 : : : : 7 : +GND* : Y14 : : : : 7 : +GND : Y15 : gnd : : : : +GND* : Y16 : : : : 7 : +GND* : Y17 : : : : 7 : +GND* : Y18 : : : : 6 : +GND* : Y19 : : : : 6 : +GND* : Y20 : : : : 6 : +GND* : Y21 : : : : 6 : +GND* : Y22 : : : : 6 : diff --git a/YL_dec_counter/output_files/YL_dec_counter.pof b/YL_dec_counter/output_files/YL_dec_counter.pof new file mode 100644 index 0000000000000000000000000000000000000000..16b9fd81b561c94b4564ff189b37cd857cc77a4c GIT binary patch literal 2097373 zcmeI*4Uk;beIWXt*?D<`*PCdXk#~emm}xc2AP{3ofK9;GOw$tGfFi)!7iTvwwg8u{ zUi8Dq)?Wp7v;uf1Cp zn?Yf1$U^ka?fL5N>F?W4Gw9c#>HBf+*YDnY`hU+o=icl0>`EjOJ&FId`1gI`?+w4R z?_;#d_FKbSw_p7Bi?aMSS4 zTlU``rcCq}7q#>HtKPHqvcx}1oE4V%&wlIn{kMPk1GgkLCi+6}FNJ>ti8m*P!}sE+ zrzdf`;`5u|I{k^nuWwH#R(GsQTQTW!Z+0NNe&?^g1cUN)B(Dm|NOMl*Z*UFSneEo@6 zpLp){Yp*?b_KvMv&khT}=j;FR<$LaZ;lKUfy~Qa**UR@V{dwoT9h>s6U-;2$f4#K9 z#kD9IGFR+g`tz>8u2^bG%Ea6nnfAvo{P^#GoEl4QO9kWNI+qNYD|RpadH0Viwzni@ z)6>o!J@1^qJNiG~_r4wfqsjuCp3TyC?MzyXB=_SAPAm?N=`E zvDGWPdPud^uh{(8o(uWW*7MHZzI6$tWXN2xd+E=P*P?}2Oy4qJ#q=dhTU`H=Ax$j5 zBz0TUx4izv9k7t6v^;Iaw*P}qUu)fea@%dEfAZ5$d}7B>S8Z6eL#WnliWzOmMwzxK zwRB>Y$TWAVu0`j?m~G+AJ-+9fUp`)Y^GnX%YG-=M=D+&&uYdK`2e)qx1(OTWl+_#_qg}Mpqjr{1| zzTuvc;ojkq!QRwBB9n;zj3fr4{&hXcb-js+@MqmXUna3`ARPt{_b0>n>1b%G_%|^z z+!y+XqfybHp}yjn#QDD*UCFfhSq0LzJSCc|zl{g)UF{h6L(ilyPp$tU{~ z^Z)8UEcY&TEbfG`I}*{qaBaQtFB(7Gv-tmFPh#%7C(@I>lT+E=(ZNJ65&cOg1{eA# zd%~#f(0CY?o$Q;;Ow5F2b5q5UZ_CYuvD3xBz1hi`p3&LtksIF9JNeK+GR$E%n@CR{ zJNTBqT$sgupZ~{+@=vsirT^B2Eew0J_*ojhJVhok_phF>oWt_ih8^LsS)HZ`vubd( z=U7J*NOq< zqwDs~?VYGQzV65-&W94Q@>$LO+0D)F=rZbsx$JoU=gMc-Lf_ANlM@T;Te+9Q8vfBG z|6k+vtU2{_Gc6n=70daVZ*#@+mU~xad&^@Qca)sYRSu)lL8aYG^ZoTp|Ls!e>hIE> zQ}ra>^FQCTI8|xV)ibI(|DJD>^^;!HNMb^p=Sa_byL4Io&%tnh1ZFhg9!);SU#r zjm5EH4$;O3ylBNs=2v~{#NhKK*-=OyO||feW>eC;G`Q@0{=DzZmJMj7=gEEBo-0ki zxZaDa-e}Q@#Qdw}QE_pFqZx*dAXl;vOP8|TJ@@UheZD-rsg7c%wqO;4*PgJ%W5Ycg zgIGA;KAjH7&v5VNRJwOG3X!Hm5FE`kwJtiVhx>~0UFpE4qxxV<#f91W|HN^DN`hE+%;HcuZ*ZBC_nqwmz{ zXd{!ae)i2_(~AqtWrni@Jp;q3>3_X>^Tzy%bs`A|y8$)3dQ^iUzaG1_U9smvwmXtyoSBQZHTJCffPUAlz1XRqp=NL+Wt3&k@oB<_oX z|IJ}`n~!Z9533s1JIpy74LO#I{5Jn_*rJO^!Vx~%AENZI^&wo3404I_a2A&hod56t zcQ|B1(!e&;w{D_mv^by5n>XKaFm+LJ*93?B_cGDy7niX4*rv^QO`jOKDO?*3&yG$F zWTGXcMkk_0EX*SujI+t1k#sa*I+^WDTzSb~gznN$ppMj{OgH>1F28hiMfaEf&wEym z+%PUoz4%$_S{kzY``m9o`1z3wCq~Bx`o;#*r;-z?v4P{$TP8MtZQ|m>;KhYq-%VZc z{nX_X7ky>oWZ}H*$>e$2n?}#ere2vyZ+v#*MB(Z1@A355hU`m)FzJR%(qjXUq@PJX zlK$Gno>czDbYWNcm)R5Mu)FZq^hIH^i(Z^a-*svt9p<*JaBlj-eDv?SQ-z7_*oJiY zx+<*1wP6jeExhfebYXB$YJd25LFhdD>2%$dxG_QMl5jlMM<)?(wo4=a6WV`8mVU+;n>AO+2G^unJz|aS;dI&0$?DCjJ!JgFO=aO^?UdEV zboJO;_?3;BkxsTPH}L8e`>L5VH>`=`qnb17ruvao2yqgLBcY^peNPD7?(RS5tS!m$ zFziU`$!u>FG+on|yMAE2Cvjx<$iXL5J<-p@=HA`u@$dstd$ReVPcFql(WOBMr}~TW zVhF3kZ)Q|Z*xbO2w*B$aMNDqEZ!kTY5*rJVxK2iK5cUzRY+}xWt$}GW7nD zC?=ik-P9K?q{amIos3o9HXW^gTc5$(PVN3~YUKN=trH;P_1C{f~V78OkechLp1s;>3eT^{xb^!>|G1dYZSz8ekOUm@V4)#{wf5qyS}?^ ze+XpHetaSRJRV}uOYTjccgsx|R0N>=LJ+!hQ;1{BBG9LzC^Q7I_eX*3atQjDAsl>u z^u>uypAC_0Ss?ru>9JqCB~uv*|5b?OLL3{`q$CuswJIkHVJ7)oGE1TCd;jOc1wr_` z`pUKWfqygbkJ>tNqKU#!*=)NLulw__p8a!+mnF@fc~#HR`QQCsyX%lhbTgl8d#%@M z#%_a~73kg`o@qN+Nu0asZ%c|So88pKbX|>#7p@57W}|KY3KuVVTl887 zTdQ4H?`-?GHW8KRZ#a>h2|>_&u`sVR9Lj$;1R)m}GAq&0&#pACaTM36Nbp1<`$!>u zqHsz2!cZW%=Lb6%!qy%@;8_shS~iQ=%2{$l%KUMN=@Zue(l zyyx^=f36d!SD1{^EVKxr3~3<+rPpKSG)~(Y>fml_jirCHZeHvwaDg$dzEb!+0`rQyYJ!t z;tkQ}i#n>NoJ-yOro?FR3ZT_eyIRG3^P@4syzAD-D`{W6zxnv8aHtT9@(zU(y@gww zvF@qHkK#(=pjtO}GrJ4doLs!CnY-*a7Q)wk;g)p8{phDciC&0b?+^E+mv2b_Obg;p z6*^HSc;Ws~u$bNS$YLOS-@gm>oTBTYs%2bF51jkOTK8?6I@4p`s_4LVbm9}g)P9)P z)nAt;fU721vl)BUt!ZMQ4Q>KyDM`#jy6s>kG55p24Y$bJ{B(5}cOVxEH$~bHkYD)4 zrMk_n>&4vNtuJtCin!Na(nz1*%ft3kuaHU9{{&)dXj3R@Nzx%v8tJPpsS9kGJ zHK9EM|JcT8`2Kuh9<(yDq7M; z<#$svHLB@VpX7-vzSZEAs53flJ-yKAb1Jl*J(ztdnNN)!3~gr*hPJbX&~`Q-+RnbR z(02BY(EQ;3(DF5ZvhYA?@bExre)~XZewz=i4)Rg++f#*HxV@OqjvWp+dh?;_LOwK} z&0kyiqcGi}g~qd&=R%`{{O-aZrY{Q9UG!o;w7<=#!|b*dzEEs`I(8te#^KO}H>}3t zIIEG%jvWZAkqfJlyLNi)(a>fh88#q!|4-7%Z>M*MwJ+O(*YfE<$$ufe<3O|-%bW0b zg?wm4doZ-bEmUm7{!m|Tcc^q%z7bD7kUjDFr}L-o&jrxstvEh*IBG?k53Oh`HA87% zSedZ>u~z0oVP&ohE0YVNx%9oy-u&~S_&OUME=?cQRg=zdd9cng*I-l!iu^jT35i#C z{HVc-)*0Q^AE7$yRc}-mi-e-gwY`s0&KBIdxQEZoLcdN#4bN?RQ``5&&)7$k?L@Y?>BM^pm16e~TQemEaRCS}pd>oC|`4%lkOoVneFPllLt zF+w`|@G*ne;LBP&|o(o8thht&Qa~Wurd{~bE_+J zAgs*S!pa;7;qz2@{LHgI2z5|ZaPaD#F>iZxWD^pnpHtJX=}@DK zfre1B>Alp&*tzY9rr0>J=`CE9u&dW)oroG@6%L-MO?Q`{zSmX(U{v9+=7d*X`9x=m zOcJ*}-@EuUy*A1KYfduvXe$qst~g)f*8BUPavdJl(Uy-+S$S~l6GV!h6kG2Ri%82?i!W4td!@%LrF z_qlh4aIhog;Ngn4;y`P@H$)c0{mmyYOS#y(w-XoTbEm)AemL00-`z5gmU`md3~WCt z6H2zSo4Oj`w6dZlX~Q0lRp=0_xT9n}TI`HV-KiTbF|UqW-0GF&akqbEPOWvt%{@yV z%hy%`VARUD=7c+*2=6oPM3KqdWg#3~em-BTWq{#Mb@fT+AB}OZICf*-#aJo7I~i`{ zR)k8OzKz>~U~l<8Y`WG>?K{Fv?cB+w2x;`nTbFK!hmy2!gp#z1`{CUw);rvpGR6;u zGREsd8RO!O@drK<0-#P^(YBTYl%x)sLEA+Mi}eOOQItP_I>x>7uLfY<0>F9`sybNf zaETuAw-hU>I;iSkPdYkMqS8fG2PyI>yA2Lh z9jtOB*B%t*o+~frs96KBZUJC;qesmNRUNEluj=4S=~ip&b*NZR)xqiqW$|rB9VyCJ zb+Ec{^`Tu1G}^yOEtIUjGpi1bxrf^>?^ks&M!RBF2is{oT({&<)xoOSLl_uJ@l_q{ zPK#hw2df^GstziZta@Nn9jF+#Dogc&F=J;{2P@w=zWTuR|Olvf9w-szueo zYBJ3XQ+2TNc{r*LM#U||eQ}!5M~&f{Ir^%m3BNmS7bU!Yst#s*r&Aa7kEUlwL%rk4 z-r|;~!b7{G-ss`o%L5Y=iEQ|9UEg^4uhlvPHJyvYTeP1 z%=v@;Z_P~a9Gw_A7JdEs$b~as8tqx1JU;X3q15_qGe@E%qeH7xPCT6HKQMCR$iy|p zudDitvz#6%etl^q{oa}6;K=6U*M-G-Rm?J^fBIwZ*m!>5(B|xoGviZ7GwGfEZ#y=V zJ8HFx&MEudi*srFlKDj%p$6%>0af(_6uQS zmMd0=XlAHzzZs>)G;t2;CvUj?@fH?Uk+iGFbhm5DkN5oVG3eIn5k8sH^t<9LXtGz+ z@9?mlvS&+b`W^i=$9x2;rr+Y5CUc-fLO|CvRY=&?@TQd&ElC^paLbof%agC=S375; zt%&`MUFEmS2SdXS<+qxC?~fkJ*r5lBE5D5*8RfT{emBf|OA@Trf`pPyXsz>%+~7_W zb|qq;Sfi=ylG%_+O{O2uO&y9}sWChKx#UFynQ&udGIcUtd=E!1bI)LILwFI#+aQi;g^1t@w74)(*~<)X`y@N?ny43|_*WXow@Z}^NSPQJM~XLch0^I z=Wi=~Df!Us1xF92w>IgYXnN|ujm{n&NN-7{HVqEW z^kzq+C8lqgnY#1f#6U6ar)KYZacUs>mcsPN(Cod_L+4C9l}g=|nV1+IpNY08o7pw= z-k}YH6SLnR49oh^(0M~m&H9>)Arw>tteyVFl^j)2A-LXQEH_)BO{Dj z7>$O0a+FM~Qlw75Osfvgs!=knRV1|s$h2zPtQsTJT18TOfK02l z&FV2St<@$qhsd;Q(yShFW@cKQsF4}>X&bBeMUz9;Y}V=BGEMH`Rmra2+4i$pijR5q zZkc@gPx4<#?>OMyGCfh9Zts?f5QJ5cLX#h1Rg+LrvNal3dAE#r%QStI$IU&xTc&C1 z@`T|6Al737cE6ZT-tkg8dH>Vthw~?s4`ic%H;q1!O}&y&Z+td?q7X$%x$uJHe~`CE zht>E>{$zN*OpMjY?Jj&WebFnq@E+}4`reaY{?^2)WY~aYc%4gf_okPU`KwMP^Vd#q z$zNOeBkz_8hf`)%wlnyeMyT>YJG4@RBseXiaa^XUu2y-|C*YU>qR#x?y| z%+t?>*KoJ_S+m#GZEmjz%CrO*_vfyqg_R^;lcD6fpSdcu2yXM!)h+HwJ`^V_9ei?U z`*dqI4^uYS=E)KYF_aCKN3FT+*nxBiU_;E8yLNi)(OpaN?oZOmZ>M)x2E4B+8yxQs zkAp~*zB$2rr@i5&`5Z~vVDnbW23xQSNxOP%b>vYr-aFmW9*=govcZxCY%n)?a9vM$a^+AVy)n9V759}UbB{gt=3-^2Fsr^MUlmzBY4L%RsnOzNG>2yf zb3@@Nnu+PzifNuIdkTeXgc#>3-e#Njmk z&f;Cva2xnwI@~}lyNOyBW94@j{xIBs&4>H1`Se|<^679px9oKxhqEsg@~N@Im!!uI zK9YW>@JPDy4S=1v4cu@wytO1e(KPOn9`mgwjSt%zDT=vYN3O`{PJgrg`@~(nJynCI z;ovha%CNx=kBOB8F%RijwUPeG%4)RO)zzDwlfBt!b-lEt#hn)OQ1jC17QI)Jd+Bs# z$myjeQ8d_m?Ye47<2Hk9yYj;8=cUsTVtb#snPGcN4ez;r@t+-PciP1GHzqFM+xFD4 z7Tw*Of$eT{oU3qQYzyk$I-QCQ$+})~FxHv1mQQMR2U|EtN|VMvV&2#di~q&+jz{;X zJh;$WxP@ZFxRnP>kI|O8qaOeTge{RRB^GEbNi^}@)j*?(^{bksi+i~J0>b$po>z5a zni|-}Ep9o_te(BbsXCbWn|B{=im7U%wR+KVB=uyrSTuW0-=}id9~|#V9GN|G@X1t9 zI&5a#YK1$Vcypp7asJ@PxBrL4WbdZFsL^BY{WI4Og}d65{df1gr8nHvPK>@kvo4e> zO!n>Szq2Q_)0{mr^!|}`;l+auCb@p#>mTf|x;vX0IQZ+A9*T9QhW80?4et})n%|ua zcZ0*7;Me)x;Knzll@PAs62f8z*pbsUu}0e!&$OQz{QjTLwx1VVdF8)pyIeQ%Uw-!= zHqb3iGiZYCXkkrtld4_p@$CM?VMgZ7Ml1DVQkzgf+0Z5%-oS3IBOt! zEA~i!TQZ8o77HD(yz+?mZi5ExzsEf6(Bs10sN zRFYt=7TT0-LQ9?FFMj6nmL@1m{DvqVEZd@1dIt8c)kpNSvX!N2qx~JX1Tb+V`{Zoj z`m;h4j=THMIcrODJj8;FWsdWYJu%$hk@AMQV;r(4KAoGWTM-upDasWoRn%{OF7MO`i?TX3Czv7#?0&2oEnTl()Ni zDztC@{H|Bh_y0ivy?m*~%kj|o=8}7p58QIo1(hvt{vtj0>@AtfrZ<1p;>vs|tju*` zWfq^R^T0CO&}U}W*$Pl;TIX=7MuYB{=WzSCH&se% z|L(3zNw3>VNpqKlTTty*J*rda@Mw%DSj1_xnE1rsPb}5m_Ns$_(9(j=6kQw$MHgQS zMHdIcjl-!&(l0;zgV0*BH>xtXRv%|J_v5qQ)RAHng$@mZgYwbhs&bFIeKF&zy7R6( zvU`{NF)GTPyXkM+e}qL>sXLXGwtY)syyx^=f3EHkQE%j$y$si0vEFX2H_p2bD&Npm zULVQ34${%#(_$0Axb>0RE=U-h|LVRD)gF9xM~r(=-TU2*S{JnUS+lu+dz+i^wyu`o zx^+kozAwK<-`>=bTFh~>(sdJ;#;DBItsNdWf?pf$72jw@gX1r5dQN?$7OMbtrcm$j z8@oPI+jmAh&#YLm+tRQ6a^kg``!8=ms~tAD1+jRgzsd&7UK8EI10%y*W0Vaxf1F04 zq_V;0y~-MmY=0f_r<-gZhAqL2}M?w zB6a%XMOJIF^f%ph1FERdp^jyPQC+2O@s3JV8Eo~-l;S+hY~kv-0a4A-Yc@0a88=t# zOcSm|)W)O1lgVmV53K$Cv#;OUe#MG#d#LT7-o)T-rFt$)Z?i;ZjByl zXZ(}Q+7Aamx;I`a{(tr+)a;4RKb=2ye=fZ8VfjI7$Hxvoa>>id{H=v8`8}!pE9t_n z@Mw!WUJ8%5_({6(?ey;OY>Si02eLPfK9EgC#gnh)(|?lxLVCx6rzcJo!fRy@r^DA( ze^iBNvE!8>t;0dMusbtm^Vi{vI$;=T5l&+j$5+@36&etY`+a|Rw|^6TjwRFSl+p>)N%G}{~Pa8(DJ)v8Pvr&Y14gCW#w_32@% z4ptt5mHpv|R@K2ulqUPT`s>%^I<874vRXw_d%zmq!|@6o+7Ac2dTeP{T>Ch#HzsbW zq^g7UbnA_aTZ;e2ehccg)0!1)R$TA=sWEP?URT%HoW_G~aATw86mfsyST-D9jk@ie&Jy8nOiFwt#x%Zl5TBPS5il(mYRbr9xZi+v!(57 z32F|8mlJg91qGccVtnI0ams6Vl*V_>Q#!GgN=@=s^eoGYHAKvRc&UVnuDPZ-?qXR(ii4KG3SBsHrE5$@D`}l z@vuT^{wkG_C$lD-s=Y^v)P`^4gckm?f=jD zeM6hGH_nVt9nGY7_P_1eO!h#}`sw1=xtZyib22w%a?#f}GX2GbvxCL2xshvfhlZ{n z8I8W497*RM8W}u)ruemGW-^-BY_929M(Mx$-h8s}oYdaT)ViZ1nezwx-mo*UpB_rBPaZFRZJRj~&1-b1 z=~;&KTR!=ovxfU;6Rj7Wtm{b(jQ7ls{94h!tv_dA@t*69rjL|0dY#dJ0j{>+Z)*E` zN89gOZ=6jeuIc+!u8kMUgjdyS`&~7+()L>kZa6S9>-q=6*YvQq-%F=S+%kxz`Lz5k zlx%@)jYd{$GInk|qEUjY?RN|3bo36@$yDZ&^ty1GJ{YmB?y*W;0=y=tzwf%0fxwR79a75Sryg^EE>uzJckwVFOI+f$Pdc(GI zWt!|?vwQgU@lb^$sgI`nqDO6A)At86*KY{r@keHlZ1`xhcO(qk+`P^N3Y97F~{W08(r&e)`vbj5R z?;Y6HGj+`+6L@x#@*4(Vaip|bGRGjh0-*H>h$JVNZet%Yx)E;mKo|z%vZS$kB zss*?;8&b8fjb%w~a2ZE~9=UV_pwiKD=~9gb-E!#$K&7K)TBRC|x@B68gi6NCv`R1< zb;`6F36+eOX_a6!?38IWBw9%z(^|1;*d^0yNVJkbrnO?xxJ#zh*l0P0Olz5^xBYPrw?Nmeb9ns4&ph73YE~re>Mrg`mCW$+>56S?zIR~nRc~%Sbw$cB+vC@z6SMvVUkidJ;hKow43M zT{Xj~F>uWmB-GfRhJ$TzL!y-gu?ijLe|X-?oLcSbYVh2tvcdKr2qe0 zj{}HXMpeuTgK(9bCn39BooiN4`kieL#(cd(3p=?iY3%JUn_CM#@eZ}{_?=Yts_-k6 zm`rEinHxM9ew}8ghYIP9JtJXQ%m)|FJ@(j}6CDZj=P$ds{YMan1E|JR3!k{+TP;vm zB#m2$zZ5HFUrOdvm7!8;ly!fIvhpWGN!-cg1KFEKAIPR&$)`6yn?F%_dg4?ecipK% zK09_e9lowQmCRo|y(NEbA-v45aA;3ze|W*m<+<=Lzq{~<>5Ia2rSEqseKpMC@M@T_ z8i&gxw$@f7mmND0RwEZyBj?rAlReQ>s#-n%=eImqah$c#8#05ot1k@Be|2Ano+SF} zju_#fK%=!C-a_i~q{`^ZJ?i##@%P=EOUmv%gogo+_lL*$C1x9Du%Y5$%&X(N$3&fx zYxdkybFj`{tv4!U5N-eTdiS(7m`$ePXl zmv(-u-!`}fv6!J`+Yya7mw0nY3x}yUmw0nYCkq(8dpZgzs@0`y?TWUQQ@AW`_}z(l zbEG3h`DzZ9?Ue>SBC7^KrK4j;R;9CQtvl{bxYm}ok~(goL;LrNyGmWGf!so_Sv6_6 z(Gv6Ocx6Ad*tJ$~ai}`j;*MTUuIiwwgD)-J7=Pd+Ki`=*c&j?NULoCb9@D5pDA`D; zWPDfSo09ctsWWbK#rDI&t{z)aE$Z;8a`zz~YK!ry3YRBv=9+{((0&-O-1CX3@{v&C`6zw_@pkx0xW66^Y-|D(_1SFyX{ zpO0S=-s|1qGn=SYkKONFMXp;2fiks6p7?n?{|j|}#%>xl*q zOe998ibJPTXAkv->#eioObrjEqjBNymUrJD zJv^knPggH5+gs&CBHqq;>9h|40t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBm#BM6+6*pyiMJQ+HA5+AvK h-v>YNzPAs*een}Iwr<Fo3-p!0>t;U)GJNIu(&w zCo|8jy!A4IT~(QpUwjcKD&s_E)_sS6`uG31fqaf$H_d-d@BiQzKm7Sezxc5IlRs&H z_gnwbcR%>3{iC1!)1Q9uSMUG4{V(7D`G+6;yTAD0uik6F@y&0v zcfb3!uYcof-?;nwH`_mb|L6bh2S0kh{r(Ss^xw2!Z~yc^YyYeF|E&Fc?|twQSvF6a zzfCUw-uJ)pN8h;n<8OWYTYvO>&28`dzxeS-AAIyz@4wglM)Q;q|Mp)s|MrW`j&gTM3dH^1Hd$qjUn{c*g%_x^_;{F5L5;4gmo;a~jDpMCIS()^3}{)bQhkKgzx8{+|NHN~{Mz3BYsUnC z0PhEK;)9}n?|tO&4__W9>1F%VAAa-~fB5nw(hq;~=P%n|eE9y)fAo|0$l~sw{qens zzhf7F@HJylUugdGKm2T;mZs_cpWmk>_wt;+sSf#l5|QSoO+@nW&9u#P`YJlwvV`FT z0s#qY?g?%V1c0!>MKAa=+m=9ZVMMV<>34<`&xwnZVUXz_LK|!(5Y2mO4Ze%df4=$r zrTymqRATn00D&aExxs$#kjFg%ol?d*boBi&2~Lts(RLvSrcDGj zrH=^kJVu)!8%72(xo0Sc6EuTtibdiygFJX5_fvN8BoQZFqW*4%)xQpdGj~Z<4VuK6=WbG#;n-Yd|-~ko4=Ws%_-M< z7_r&|*Ej1MR>ht$zHB})2kgz?Op7Z$16P!Rgjlm;SMz~6nm4BT2!LAYlB%RnlMGTPgD9Z)L@Go`I{%05@jo<^kbn z^MN%UftOy#<1!plt@-CM77QbU?1S-l&%opi#K{(w47y4@M>GN3S+QqTa<=)00s?`M zt3sbK_Yd8F8OnU{ffp4oD%-ExW=41+aMgM5qwr*vf*uaabW zL*rki`6HS>qCO8?$)cGu9}v@QX=ht5G<+nd|H4tY`QynzKq%vdWCrVZ{$^UXpbTpb z64SejXTUSCW(MdFPSz3nL!8<&-uS|hyMzN%FG1O+@FEp(B>@@)Emz;7k%nA&Jzpc6 zy>p7@;yDpBAVd|h3o>@h7lPF zpqZeoxwJ?AMkl~%+y@YIrJr}^8ZWU+ON`z2Gy}U+#g_K};eN2=RT73Wl5pV4oBz<* zT<;m!NCxIKf22mbbOC`t$S%ORV9Ei+l=Yi`7FIt4W||A_3?}G8{;%Fmy!sI=89=)? zE~rGyOM=pyzm*!-c?PZ}1JanKo2&W29E!jT2n0e*0c^^JAcPhWQz|z9)t=v)1G}*D zH>>zdRaj<_gc&w&qB2lWiOPl)2}*DN$byS!;94_ax83abGjnLtr3(lILQDZ{1yjxi zntzK>BHnNn&|(9>XJILcj$T+tpkD+9+nIC(X`ul;Vq=^@Ab^@K1koNtu$@Uues-jZ z&~|v^7$bHHqiBKMa}?S1@Z&dxz{8m4)W~iGvmH5(WI}n5PyjxE=m?$VMZ_sde7Eh; z!YK?re9|f>3~veu1VRkM3$N%X4i4|PXq6LLk*0ap;s0Ub>>4#TL{U#sbbb1#SX9Ec zINPgAwycW1DvI{^>!Y<`3J3(!wE6lmXVzxXj=z7jA`KRxIYTfEVd@TLJihV@Lytbc zb65-Jd*=zn2QfrCUk~fYWDBpTD2^Q7Z^rhpBF$GKDaQ%gLCBtuh92p4c!q6ur9JlK zJzyX30s?`sP1akbHA+9_C;(R?@PtErA1p2cv`mn&V?*}SH;%h_1~!|4f=V=V!$mde zFHeX`s#g_zf*+>s%NYtKT-s;mtTXe0qIHF5U|SiW8;O$MZ6+l^OO+TuK7aKfm3VJc zI%5E?gr|>`a44HC3MLeRG))V87tg?!GvLjCv)_KV*^$%0H$8ay;-xVMsQ9`%VTT(l zwv_~E052dA2-_4)Ie?hL&A&-F%rT0K0IfNU90Hh&XJAGK+8H)gOmN?I_ zB9~Tz6E1M&FpvhXi#LBv9Ws3+M8Gi?3?qlYc&=>y^q-qIw|i6>z_o-l=HWszV`g{> zf%qUMsovqnED+N%&rtr@-%QU1l=wPV5u7qEo&nFmni;?kJ!?p;?=*puJ6V2tC~d~_ zDjlkTKp;e9yAXuX4o-B^NdvK!JmTVEWIkXU@wQa1w-e00@m=qUal7=(s$;*aLo=P_ z0q(ixT!NyYxTq#wf|8w7@A#CHtmI(okm=*hoYfNy`>^Osb)ghk8;7~krCJ6a$#b-7 z?nc&tqH*a7tg@f zGcc$5BWGMxmu&v^bFL@z=oTD z|07C^WTFvbucH?hA~-CiVm=z)5k%lEY{m%$LdcF@+C>YkB7riK=LA7SEwnp&MQn&h z5e!4_ISi-T20k(&haD8il#W^xa9nINjOdOb*v^F0s@AB05 z6+H#C7k4?V&7z!swP{|H5{sxb1q1@2b7pwq6&;20+kB~Mes=G(`INo{iBVi|TxZsQ zVd`B+CsOl->WEIJHj-K`oWjt||AfVl{=E ze+W1{P9P9MCVFWX0a`@@r4pV#?iMzG+`G7V2DY66RqO%z$SToFkT-uTE3Uhm4A7mv zOB0ZTtk~6jU}lM%e+hy3Af^O1k#WhoW_bXP__xt6eodEevo1 zte_GtHfjFP3J4eiB2|b9T1E(MfYy=(CE&MQ$)cHZGXNHsc^ROm_^IDW_=st2BR19Ws4PDRwd9k*YCGQEdG5pOPxaKJE2j%-fyeP2t=e#=5$y+GP>Ck36m9{5K!_=T%`A3Z&VVZ6KEAy9D{5DG1~!&~f=aY9 zlA!FbdkY8{!ZtD;$Q;55p#{X004?Bpwvf)wGsFl4EHxz5AeqB4n4mdio3wc(cM>b* zzU}=MRH7N56m9{5K!_=T%`A3ZtAHxu()Q-BQsWBGz{Cs`RHDV0puF|_MugAUj(1d)+|D3kBf z9wO>*T5T5@!7${Wqv^muZUaOv6oL$+!=Z5kfey@QGraN&PS7c9vuMYkIjl${%f0B;VG4XO zb+)X^^R{U^luNd73PTT{yQi`iOe!D{2r<|$1d%N^9O6kxTm)!&hDg}4AvogV8Q6FR3M$de5Es?k1q1>iCaGRk>X`Y33(D>&@THjVrGp1N7L)WxIF&{@r^9=4D{D zt42I9t1C;JfBd-cAs#NnA$;kcu#;Wss|$4?l<>VnN;ou5Fam+G%d8B zC~yX@BDjUFEOJ*qTu90zpbRg$dJrFE$JJZ%)LuEp)WOq734xkW5-1V+5{XNUm)Leo zjNR5Vpfa$u`TJvkmC`p|G5k#Sfk&PmnBVC=1D=6%8Q7fzJk;_2@BHeL4__Y2RjTQ5 z)+H!0$#pPaJddRU7cc#bP!XV?dh*2w1dc7hfum?f({F<$M7Q=X>!&!2Ms zp}jbCV7@-Vbht{)du_}MGB*?u2!!mW)GEPqK{PUb1RN_Yb}{0S!gjWRWEH_^U20{Z zt3`B;Jokg&aPz;$Z_Q)&3{=cO0vESLyEB{KR%!l-MEZEZ-YU%>j#&`SDuQX-Wl07e z$(_WKAuO=n-}rj-UywpK^$c8f1`?v*X!GA)^*6UL_)3Fc>tV!d4_p_f{gIh_^M7Pt zh==1DxL5`fJZ-c2M-09H7jI~U5j*%jtHlO>*ulL~M=ve!9KlNnaF5B&)^@>&2xkJp z&ap%y>7W2SkI^Q`hLJ(|pZc8{dhZC%j-{g=iLrn{AY|9lt2A;zGihm$M{)RiSQCMj zxQ2%g2#c)N@**}(fc0&Qr%N}@@!BkmzW;oeR%u{(0f9is+;$-dp+yv0UQ(%c_{oii zoW*Dp3_~>a6wMc=fGc7nDzd5+t<7@kF+OQzDVG8Qfi$t1vNp@9+otJm4lB~kTHHm} ze_`%ySrvO#6owu?pWet?Fn0w63;}`df)PTy>ki(IwmxavNV|al3MDXgaTi%*rspJ< zGmS6xIO8p1a_Jwvj(5(UfFa;1xYia3@TZcX0O9OM-hJr%X%aLpo`KC~AYkNpdLh3i zajhN-9FS8Hc)*cy0)Y@R(W_+2=?fg#Q<1shj*du-0kFa-R{Nm}F}ipL9#IBVu?GZ~ z6_ORL)ZMcJ0)~J{syB1N2%!y@Q|J=j0KY9D7>3Zy0U{UAz?L%*F!x_Aa=WFXG4sbbPqVaZ4W z_J*tEZ1WEV1Og#fiC(2M2B1oK`ndBuf0didDB8=o(O&TD*&~p_nt15g(7!56))Kk2 z^7-J@BO3oI%|B5jc=gjq9%I2UG6-1aN;}tZsof(v{g)2I?H^4B0wP(^{F?#-fsm_2 zuPV*|@NaGHIV&r61wj-p^D+?TnJu^C+sEZh&fppF49v>_{#0ZYp+9n|E#r+Z47p1< zfCh7>bB1FGw#S=NI)-3dNq`1H%hk7NUOLCN zzs^=aytb|H0%y^gLQ+m~B`H^v1q1>iy8yO=DF+ZGC~Ge5k-yOiFdX**#9ZmqHP?8F zU0P!7wx=1`ohr7pe?R!~DhWdwNjQK?JX2=j7=mrC-ZN7UW(-pTvj(^_qVcRzCw~nhWg=Cg?)`uij0(`VlM| z!2ZU!pb{-F2}*DNR%%@58Mu}V*ljm^gk}y!x^w}7K!_=TtzgO->}?gB|7y=~k%P6R z&fwEp!w73RezW`*RH8ND1f@5BD>bh33|vbFq%li3SMz~66oD5I2!xmd*pv%F2rVF{ z)NB4N_#@uX2wQC6_bmJx*3ql~QE-QiQ|SoOLJ4@p#yEjM05x3*qCJLSJCm0DAfgCu zhd126iKZ}$7KVF{qR4yr@f#fSFiMBs-V>qQXH}=9Q+TX96M&Jbm0%_U-7lIJl*V-#-zTY&mPRs7I zO(W~a*aX86X75nO<14Q)^yu?D4OU@^4SgHmJ5L}!h@rPBT$|;{;r(W88(F92E0L7u z>M#X9_Ixz-NUy^W*k)JSV^7`#_5m*-5D433H`aH9B!DXsc)}rWh2kPWYYroa?5A&l zT|5Ju%|JmVnz`Y+oAl!oF-i4iSGjf+reiMcGjmp6>}-@k+2636+^+NtY$^k1sszPq zN`RJ%Dt>(a>Op+m+my~2fGgqYBPAT-Nl07-XjQSZ(P7GHnifbG&%l;5V7J|@Gn?t* zx+^W^bkCv-aky4Z#|%GIGHZGl9M_roz@&ENb~4ayXQ(41JyRuToB#FR`Hv*U4|xWL zGtdqnde|eo`t(bi|Jwxw0-^A$HUAgc1&X-v=3oCDIbp;PSF6ZczqXtV78jdqNZ+aJ zI-b+~1BHNBe}`Q_AP}}GtX04>!PFtsN5Y}PVizNsGGIJcwto80&70djx(pOlq8T&S zo!|MJ>3NftIAvB5%-t@Y0nfmi8Nd%cYe=l`G=Y*knOvj>X!&t6ww1`$WWu3w0)Y_H zb|DC%9h~T-TL)s37;S=K2y7$Xmdf>Zg4usO*DcN2T>EyeVO_gjUAl6%2e{{wdqFPt zgmAKQR`CJK@JT;Dk)2d;=E9ER)G?+GnLhFuZGvGRr_@vS!xV5$Eapa+Y8j~U9PI%r zvTS@ygWuaHI2*2#!QLiax`03+TE~-m5e*_}a$CP3hqW}toUQ2rBT4Ymr(iHH+VY`Q;9%pXH4;LI=&QRdw z(L!P$S;G5x*X(hpZ{GY*GvfQ6fvd~FmYaW#=eM&TpDjI8mIlAnejop843+)7*4efd}KlnJ1CGT9knLlxY%YG z(H%puoeMc^p#fpU#+;#dFl4!H&#t_}Q2FehHnNmUQ$WBF5IpPfH=9rB0Tw0UMQobk zwOIhqrG0XM7Z8jy|89v>L1R7p>g$|xU zWF!PXk@opvMVi0WHcf|lV0?`l8`AT3^d7K<2f^}dlDZHELW#fw4viBCgpi3|XRfsc z0;m${)^~I$q7Fq<7{&gksZ-3Z@Cdjp+LTH2K6uN{rz@KI@+62Q8 zuxwp6-9I-ycscU}wuYbvSG#|ue0#a%z&`Cqj8W9pFUV@k1$5sy@jX^LXwr~i~xIred9fE%-P^MH7{ zN)mP~5qRl!Jc<>f3Nb-zM}Z@!lAr)QkI^Q`hLJ%);4&`*efH;>F0;bd>Eapi46K-e zZY|$!ea8h?kfu{OA*KMf;ie?CgA*P1u*20iYos9;_&^jb-j>SMc7iy2|!K7z%o}rvWg&GF4Zzn<2l*`RAkxsmIlAKFQ`N_94Xua0)Y@y09(P71BfXyH%KM3 zX3BhEQoGVKu(1pjRHBuU1SRUOw3NAHPN-F|D+$mb=qbf6Mlxl9rG|tWBy%`0r9J}L ztrf%a`+(&PYmL2z-?k@_#w^`j%?GA4ffo=6gqQ-@lnX%!Eg+`w^s)TT-=ue?XJA7a zD5yj$8wtuQZ~h&|uu$Sc``PB*Y|FjjQu}-Nn66im{@h!|^PA+!#N7I3S+y;nTCDb35Fp|Sn~Ktu*Vx{ ze0NY}m&wpXOgD0AoHbWEa0bD&Jqf|JFyVlUjKGcpkp1+n*#_E0|k|6`rx8^ zyMRC-#3a=_+?WMoI_A=TQ9v*ZA!@paa`6moIs*k=)67qR7M1wP@RdH#5aNSvWI9lY za16n=5}6zRB@T@fq+tk-xNJBBe(``Wzn~J$bA;=zgg|@{lT`0;V-|?%n4AC7JAaeh zm7amK892Ub+?_>N1fbEf)Vb%9#vH)QrIoNF1&*Cl2~ZF`e!NoiPYDrlj0MBUAux8C zm4P_Rs)A8hfujNiy3;CxE?QZnF%K7#@(3uyFWUSuJMj#)Uh|JCAs13~7xLq7wvvIo zC30yc3||V|%0++@@B#vXuuZ{~GdR)7jX?q%$0#lWwB|5!2w*O=GSDY-mgPdDw9wwo zJp-PBr5U*B-R^O)b?$bL8B4ApGd%BbgDD}9XIx}l*!U9rIsKF#Hox&9bV!YCUT-I8 z`y9-9TkVPQ@e`*xfmgCQe*RR6vWO-Yp(cS6(BoH-iVv5cvo1l&PO3M6mgkU!9Tf$X znPBRW=_BCKIKeOkwzCC+t|B~dt>XNRbK1ReBbqRv`@u_N9xf!4l;Kz0{LOK>(lfA~ z3?vLywcP-%6gYNHWtHZSARa;UKh6chSw%2yyDZ7TBe|1UGK2-T`x{?x{tHs*rk;VT z&Ok!+8*TopJ->YnXH2ERuk|ouwFj<`;k&CBgE#+rxpXGaz;$IHA>3^?|A?XY|Kbge zFk%P4XSLYC4?DOw>gc8Aog;V&0q!xm+1f4`5#dZA*g2L+Bpnoh=P}v@*)TE)|5Lv+ zL+>5I*|BuABQX{b2!!lfdX+{FXeKS~@hA>o4{IW@64&t10b!BVT3*Da39!Cx@pS2? zIbNHE(f6P4(mD%S$TN4nMikkh2(Vf?(5ZYa*y^`jWrj7Ig_^(g`Qx|uUHD-EtVmZ_JQjasJd4ExJm{mI&S_= z0f9isRif8Gv8yNmRU*@eH-D9z%P7KS+-NV{LG%&Vcm_NJm&pMBRAd#QKXR!ps^#dW zV!2FE;%f3t=M2XXY-d-X(#gyy09O*A0bH)WMI#NFeE>QlHL@8-_#gDpik$#|?X-r( zHJoanomO2&)7E#Ri(OTsa*Cpr!Yv>W2-yX&DHnneT0oSbtfjP7vFrIj5mZt1eyT7{ zBuNwT4j;|HZuICj4i;3Rm52l-DzUVbQLGTIUR|rIoc9JN?*aP=dM*L%T|5Jx0m^{g zcC$(}^CVrmfIuL`6u?$69}-(JhfaX!v;9`Z1V@G!JnWA*00wQtlxq-Y`FQi$U(##t^!(Y;P)&nCDG9f>j=o&EZ7(62-0E?@Q96Z0)YT(x)4Nr48e9L zE&17zCPLfcjbn`1QBgp7MwCqlgN#-&AOs$UcG>}-5r_{upt2hQ7X;IG&?+J02qoYH zF2)I9m&uEWhf&0L+YT+9lHvOuTIGb{O#y*G$ar|+6&;0g9G}zEZc07~n`hmAxfjtC z5Gcl3imp%pEYoF1EzYW{Qm`tD_V??fwO|Se1k$wm`Y~tLX3>tn-!z}n`P~!lKHD^t z2~H_Hl=1k=E2k#3|D6V_u*8PGjqjZ&5FcdO+Z3+Nq8-P!k#$71R&Zc82ZEyZ& zeq8ApD9(W0H52Tmz%8->B`UFiKp<>WFy#PZicB8~hdD-Z5ulYB0y{3AfvOp3tFlcX z+fBe0LJKOX7LpAp(xk9tIE(AX`8EA0VYpxlRV8F%K7#85qO;&fiSW zo2$MUE8B%2gm!SElWrY|O&)Pg zAb?pf@&0qs#|Aq=w)xh7FPz&+QT$49vH=jTr)zg~$CvXkl^pK_9w z7fc;8eVm!IOahB^x-LvNsbhh;(WP1j9?5gGYU7zflwdPni6*Ob=>h_Q5R+7IpdFb* z5_VJ+P%4q>Bj6BELJ2!%1VFlY20R0l0cp&`g=FT5;Uxs(gP5dxRjmg2VcLWpOJw@c z#U6k^OJI@C*Y)AdH=l2r=9{`@pMlo%&?Mwwyb{eUdGj~Z;!4lJHDy2=bJjJp`M?|w z!>_sdul4-aOz3qgS9=(-+5^|?{GJA)PS9t?uI2;N(wo1T7FT)(t|YkB7riK=LA7SEwnp& zMQn)XJOSXjC>u?i8_*s(?4U%Zbkv%FeXz|iqC19QI~Q`;LIc8xjp3ogM}ge7XIEZP zEZx&4;roBq(V+qYhJXk*ca*qzLjk^sO%pH%MhGn+TG}TE_$&fVIY+ZY`qz+G)t$bg zX{`G)(Y~gay>N>5`PBowDIgFCoinxzL1a;A$6soipOF^u!*VaI(ZkRLry5zUWq9S4 zQxnS9t`YbbyQYz4RoY14;gwhD;2DI_f<#OE{IDX;=UNH`^T6;LHJTvPbhH?Z;`(z>;hggT7w+ae@+rw^@wrLgEU*xjaM$aLc%gto3V4KG>A+UT!bcchysl7m{*{#=lDQ z$J8Oyhn_9eFjVAq6dOPN>m<=x9zzD~u9+2*6{a-V((8B>D@3X{b74oZx5(cUb^*^X zP|68l>arvQefF0S%(cZSfQy00~6Q_I_5AggccQCZ~0QX!4m3SIWA_ZQ>m!Rx} zZDcx-IfM~H3y6|H8oc`HLl?W;jq`i}=mQA+tRhI4OSKFrLrLBs+kU%lI!ZWbd3=~wNm6`-)f8AR^z!0{P=|JWXMhGn+rUYmK z*Tt^p13({G!UOXU(hnwR(83So8F(aj5_wP;-Ycj?Ga@P60s?^$QvjP;?7Ex*RpQNG zrNkAUfteX7s6>l7L3!oPzrz?@7G+?yhY|g`wP7zYc@J-i}_8UJZWOX|Z8G8vZ36 zU^tfhI7c85g4!+w!L*T}TE0svL3FIn9RNJIV~OLm|j8Iw-~o1VYG2 zuad|Sg_1}b8EA{coQw`TDhepaC0ka-UN}V)&?+agP)h-UK#0k9Aqb&;5w%-d-s5OR zn&H)9#D_&uL-%eCk~thN=NOIJyr!dPR$kHeZ#D7Tr2P~pUjYF_aDu|7{XE$XugxM5 z?f5f?6=~)XoKj}XsyuI-rb9(#3#Xi#P`;kZQZA{0Kp@0qyAVXSLX39&{7FQO10J#% zO9?Vc%wXzSam068_Yzcm5c3_qvIMQw|`e$n=qLIGZiX2uxO2dImO@fr#-IRHB&{uDiDj2n0e*QoX8H6Z|mk z`R4y(E&<%Qcm_NJMZMchQ-Ic+znPnBQJN2zt|fSnvqlD_F=uTzYpkXlhL>DDh!0|_ z*8Kg>-~3)&`QRC#M{ny0p2^h}xhoIg<%ensJ5u1-Ih6nf!Q;p3IiVCg6Nry{o6;Er za3wr_q=ZAtMSzxvfo+%C8HlwBOZmD|;KSl@2CgC~tjaQ6s{x)+NJ>z1Tz93VobFk; zdS~@+L?fl0U7*;*xc6E4BUa`srt6B44)hF^WI!5o0GdlHVMhuaJEsz$0K9-eAZ%mT zsw!u|mB{pwaEN0R7Xexx2DV*lXQ0n@ZPF6HR0490XTUS?fEn05AonP>{pWY1Cg%>S zmXlzSH5HRW8cYF!K!~ZZ@g>tKDW%QjF^UV0Ymi`wc%FWXonW=c<*Pk$%Fm7Z&!2Ms zp}jbCV7@-VG%I#hNQxYnpHnVD6ByuwM5;GnFRN7*1rV8F>X7Lp;Lt<@m@Jq3p)4nw z%7D?jcn0caKoxrecUFm}Gp@Um2Uy~Rn523$7lL5gWI83KOdolS4#MVlKYEbWT839%(Q(HohlN@S2n5o^qQzZ!{TJ=HyE&{#(|wta zzoysl8a0|gX|iQi?1fX5P4o1oLj?nVS3tlJ5ZNvmA+)?8RN! zhe>gw9B+K-1TDJ}lMDLfna-JxA=p;EHA4t(0M?QOC4xTl$U6WsE^++u8-H}QNA`~- zvs6D@mw*KDZEV7hnh&5(d1PlR@RIjR4UCx%{1SJS>XA;2P#WQgK{9wC@2sCuOblc4;5eOx3Li4YF zAFZE;39W{uT~U*M(J@t9B`)tY}EqdknhOMmwaRLnrE$Tf+on}jX` z2cWWISA}HqaPtoZ1Og$ZM6c2r15hPAecWXiC;>P%3}x(!;|!GjFm!CuZ?{TwA;qq) zA8trfS#bugBB;!YLO&jqRN|>eH2zhZf1*h6>VwwBuIB?_>q}dovW&6v>0jp5QEzYt z0>-AgW>!e%=(+hf1q1>irbMrjDQ9q^E6DhwQKAje4JFs>Hkm#l@yva25~n`YzaqYT8O?agi$^lmFR3CjM|FCbtD+sM6u%pr^rT0l$*&;mZ?N?(lRW&kWM zo`H>Lpr8`X3`yY@5D0{r0@%!A*X0bTl3LB5h*x`ls|IzoinLbbVJ*jRmfwO(v<94@ z^yY7+#&w>7Ysr8#X6fc?J}`$O@B#vX5K{n~av=zz1;mt!%^&;`Z@3C*v4P*S@Id9 z52JMG?Y*_iZo~mQihGm82N_4~0X~1|2%Y6c#3@O9x9!lvDGWV)(kdqmKP>mc8d)7i zue?GG1#7bifeRO^L=h-f@YH_w#mEpx* z4r{Y0hre!`S2R8K>#P(I2&8HEHm`|scx@J0zD4Cx}c`3*;TF`h3S}Qs55hxJ)tB}B6JtefM=kjcbi!X&{8GFkI!E{NG0Ce zl+GA{E8*!QB^=^ONL&PHd4@>Xu^~9(;u+X#2I79;cH7N5vzZ|-s?t(U_bgn!CB55p z%%xpIkPRan>HaFjbg&U6-Q~J$Jp%<@(@ar-7L`~)AP~063UPQo09=W{6AtktBrXE9 zJVPYx*bp3X@eIt!Ks&>xipj1DOGZ*qi5Z@ExTxMPAP@){OZ5&nW`VG;?2}9TMFGJu zB%}15Ng1Hup4O3?zqZ8rL%B<;C1olk9k4nhJ*-s5-J!*4xwL6 zU_VRVh|_=8$ld4-%YZcI;X*P;%Y(f%%tB%c73U z%q{B#C3mv?@{sQ_Ewg!-pu}XmNbsSefKrKc>wrTXW5SLi2+*1k9Ef;ZD%aZyW>#qQ;J=T0w|U?e{it$%)E2S&vZ#=Z=|pGjT}|! zpkfb9cpvYYJ?`|)oBwG>eBU#0bs5-l^Iz-v?V~tJ>I{ChhY_niaD5bCUacS!h`jmN zN~iO92CgXsTWtGK$*#N zg5Y^=3+;|x5gVdW1jCSf4#TOofsahcVFv{=rK8pa92eURBf4V+!4({z{z#@DE^AxucMLxOC|uSx1c7ziZ-4>&YVAP_<(dY!q}76_n9q+8$7 zp@=#ZO<@%Ko2E`NyTUWDwG60Y56D1PNLEUu?n+A;#R{2Ht9y(5Jz*C?=P?!xBZGjz z#WS$+3N$V9Kw83RxyJbm0PZ2tYdt73PBXJAViP{kfF z`mCI-ba?Z(vf{d{$pGDByEFkg$ckOf2WFPI`Iiug4`NDSQ!WCuDheo-aPvR>a~shp zg5m1}Me7RBKw$=+7vieJo>pfpsYJ`EH2-IXrCj~a|8_#LCk!DA)EblP$}&I?xz~}k zer?G|oATYu?S=ZTddl%aQcltMS84ue^U~^vJ9|RJS+R=|j}(a6vhmY@cHZ3Z(Pdz~ zO43lg()`bqSx>+|K&A>YLkMjE){+Dz2=%SPl=%PzF7q)cp6P21zwXcLD@#81DQk2C;-nS&>mj> z^f9H_#YpA@u*?;ZtRhI4i)Wxl2KEmT3o6lcCWTu-AP`~-U^9zdmouPBxQ{=Q=C9&& zg=gS;GEh*7)?gBp{dI2v0YlhErURKn7$LNPm=d4`T+bHL*?EQ-fqAsI0h3m zhisEJkK|5brQEl@--1dsf%&KNe#B8^$Pxtb5m>S}lerF1)Tg>(|`+TdBrCemW7t!d&UDyXs&`;@X97g0M+VN)^ zS;|G0dlAi_^52ntRRe9bvO^0&H7EWR4;dA#?)`Cd|1Og!j+l3&q6=Dt!dF%O; zh#ChxWHH(V!;qd3Gg!g1Vs@T=&bkC8hC6yiOi0;Pt{p|@kaxISmk7Sl~ zO=h5=63x5>Xieu<@`f?ap=H&eS+z5m6-S1xbx@dPfY=VK*&xP;_!Sx@LT{D zc)+p3VizMGDQss8NLCSy)}>Ykx>`in$a6ntV$3P3cCyzdFNI#h$>M%?G91a=eaVB>sPL1ql2%$yfN?Ot|{P6X#CIX)k$Tz4UfI?)omKU*U0<3RaJYBkJ zj@M=Z@<`sLRT|LCXlC4bWPWpagvOBk$hFewqZ0i)Uc783-6T&G(02lT;-Fp+ugL2OJtF5C|a? zy#|V1kpQYhrVqLL7L6hphA3KBcm_6@0afe)NyrMx%8GR9X9WZd0nwCNwHzlXL3o?R z$Sx$V0NgMHM_oJv8_z(%_=l?m#cGN-e={wv^bA~61`4{SH5_TOrPuMe42M)}{%7W_ z0B6I~8jiYn24-X+&akOs(p6!}NCNhTtK@9+4+R7QAyD7n%COcT`Sx)w|L)f_;2FT5imW2^M=rHx zyzzx0cL@ikUV^es;Y};xN&++pTCToDBMrImdcH9*#bw1#VE!%U1riW1;G@7r zSAcmCNL!z^pc0i+-1+nKr^9kDq8Xl0$S#0w7?F_xnhDC9OMB#RbOMaVeE>06`gv!r z@e;eV#Mo_5Gq5{VY-qpxNBhB!S4kMkNWy_DZ~jALbG>I^BN>>}{E-^z(gg$pA-e$M zf++_OQ`T?(Sy=rHm}xGwGnk+Y`M-KM@#;rVVE_e{Xn9Fcdh@qZ<2uj4W6uCTyOhQ( z-CWHF=1>G)Kp+re3Sd(%1R=D5m{O_v1>2c)1ZklGJYr*J`uDhfjnpR~#e z!w<{7utrvg5d!f+48ser=qL^j@3&}`lXyeP2VwK9D_WyQM}a^WN!O=;5yd>?_Q^cE zVXJ~wQMB=|kJf@IAP`8?=Ih6tS(`;W{(jSZN+)kmxch9=oFN#7Fm;DA9$$Hdp+}$J zX|M`QZ0Otg-gyG?K@7c3;o2-m4(~T(+sHaCUx}nNSBEL^vFD?qM|vHeVVhlPk3D%0 z*ay6TKp3%aIxb_8hA zWD5uc!Zrm{4j`sTA5S+~@-T>9ecFObG@WwYyc5Mq+*U3%yL zqJUr+Vvfm`MH!&qp4O2^a=(VAw}$jxw60@m%mKXSEwge6C|(zD{+K#s`bda?C!vC2 z6=jG5u35Ao7Hi&XD$V-|?%m}jWNGd$MxE;z2d*cHU2 zc4bipaLTMAIAvTs1D=63GqBbpvsi!736$dWGB@-KINo7xRW=OwUqXmIjbkIeSBl#UyX*7ur9WXxv_$L;byJ&RU!E0 z6zQFt(6iKdj#j54XAEjV@NdEPH{XKOgg3iNq`(0=73tCmN5%;RLP)9JKsz#rB zD3!?c5palOOxRHb0a^|D`%rIJ{!QQfw>$p7{_X9Kokkx2`}Q#YIn5u|TvV5A{_rc) zM{%(q8wF5&xUl(ygQX9LcP{yv<~#f1d{brFDb0lx?QB2Y%l);_e1_SsABExDee-|* z8we)hZMmqcmA=?4M3%b5z~ea^!x{|>F>-=-SjXYp~XLY>ph7oVQXW}-|zh8 z>)+zWi$m{QJp8zr;o=1Gx7vfx?KS`A|NW%vr~m$uZJ!G4Vy~kY7B4s~rDC2bJAw$j zh0QpDKnU5HRU}Ym@|+-usD*Y%uZRuND1u=K?0PA%VW@nP!wx&hl#Y7bDQt!j z9T*vc?Of)opWbDZ_$qFmi=e8k$McK(mie77rqgBoBd zs6>Vye@fkzmNJSJGNo4c7WsR^E`mNYXN7SHj=C(*K)ak`9>cavcwWx5Re)-|Yic9X zaPtoVhsOy7LdZm~(isC#B|LrH&2IktC#F#`yJ9mL*zASh{u_?+MK5js&kG0`0;gQP zB{PNud9;zR4Z^2|Qx4BlM{~}1z_DHVTDRS?)5zmH82&$`#~0g_|NUs#0o&t+bc8-^ zN>K&q#t8&M$V9KBLZqGH`2bKQ0$DZ#~U|hxs?y# z&C1zwB=Bbi1PlR@RBz^j5kea*r_d$50e(|JU_zRIZ{t^f|Kagzgmg|6ddR(w9Di@e zH8?GrUw^eZCYokU=rq})l0m4#)BSZk$laA zCMh1p=|3sr4E%3ai$4ovwVh}5YuVMy{O6vjiHFhKZ8w__4&cMqVQwfOU*aW7J=ASQYesF%x^2M8F(_f$cH4=cYbGiI_e&sB8zCJ_u%ee9B zfBo;^nj`4e3cjuHxZn!XbSh1(fIuL`6u@RSrM&SCPITPn4p-l-(FAgVt{{k_#oJQ3 z+D;H>k9@V}akyif9eWMK)vlc$plKCU;%UkfDe#(n3CcDy9mpJFMge#xf%fp~r;jPc zE=DpRfMu?LWEDZWT&iVY(c`cCC?239%f`1T_?Dg<_ihR*(F{ilw}3z(#1z107P~HI zK$UPGU)KB=B~QiV3eUiGW}u)Ft$`&d`|I8U0*0`SOb0TDFhXbnF(p6?xSlPfv-1ow z0s%`62{lOOa117B4%vL0-F(w!mdo!0mNP6H!MfIM@4lcC%`l~K3kU>4OaW|WvFlm| zR0)^%vgWU7UEvwnUf1VGi6} z8nbkBH6NHs5_kcDK!_=TO}P++&;nwLH-9rNu3RMp?J8c$>8_6Bf=aX;B`B}F`S*`( zasSt0FxW#*BfT2@u+w70d_ee1I>2yRy5TH=KnQBP5Cqdkf@=9LsSpw1d5kteHjE5% z&(U;X%A6`2AabD)WEdR|jS~ojkda=ckpr4Zq&*o$i$k1@4m*WW92btP)-t^E3Yc@D zRZaw6Kp+re8CiJcS$DGjOYRhVuZ~uv3CQAYd!$hW!w^k9Mf2_ya82yXnq)oKYkEJk z@{0EPR@1M-f<;vFbyzP5-#l*1q8zoMe7RB!1gjw&^67Z1ZYu-pA=rlqY@!py$L&6v8!4I zLWxWt{}PAB3DPhGM_jg>f%Z4IJ9dox{-RA9^Kc=Vd183UqXY3lOj5n7*c1FP?fK@v z^v)mNTs#BQGeD2tM0T=ufekcTmOA%bOPhcEc%|l_5)zTk76lWEKsby3IXMGyvPC6> zt`g5e8Z@v~1YNYUNMjx@B;^rMhF`S#*1k zsYp>J92qAN2qA43f)HBNswpyk1RUlV6Lt!tfRAc|a8{AQ1g#RyH9CDHcM>b5ZhzyO zwL~ectdPw3xbD39o9S_-XW$AlkPu^5>}ozRM1a=e zaVB>sPL1ql2%$yfN?Ot|{P6X#CIX)k$Tz4UfI?)omKU*U0<3RaJeuV#vMN6#@>g_O zlP#R09mXe3zX}WB1q1?VVl!oJ7VWsZIjl&N#V9T$E;WoC!ra-iD)y=<3_W~4y^*zG z?g|JP0s`9wBZPL>9lRZFebTg%b^-qtN?_{ZF0#f<&q*w28ei&h##_Yfgg#k9z|9qK z)msy^j1bxYttAOcz-K@5?tSd1Nzk}>1~!|4fRWRDKk{QV5K80;dBCA@0)Y@R(QBaC z6$zk9WcrY+Z_y}%VThu2g=b)c8L-=KR!CM>q)R_5AYcfHrqrtCI6(=*+bl+QA#nxZ zh9NlW;u+X@1_H)ETqP)0Q@r_`X>p}z;F>Z}&^4{$NRutSj>ly4VT(I zlGA_bFx>vpWFR1t@j^0V^*etvEn85AwFb$zk8AmNzn%fl0RB{D6`?&r`T5gfxfjt4Pbg#;z&4D?NC3?QWzD5M@;5pGM&mw!m@ECfGuL>D zU0P!7wx=1`ohr7pe?R!~DhWdwNjPxj&3|ZYuJ;UVBm;ArKT;!Ix`03+WEWsuFy#PZ z%KFVe3#*?2GtGr|1`~84|5xuOUi}Cb4PbxcTTqFXmjtCZe=9Yv^9)=|2Ba}dH&^q4 zITV2x5D0{r0@#!bK?p4%rc`YHt3AIp2XXJB3lS!0tJUY^mV051tPYbctJ3=*hT(-* zbQA}N_gl2eNxY%tBk1N?SF}crjsk%$lCDqxB8qv&?UQ+S!&U{WqG;n^AFTybKp>E& z&DW2~7hX|I%xK5oZ<0ZgRWQGq9-)oT(BNt0@6mDysPL`Kt%m>Y_U3OU$(0Y7 zf%XBpN2zzCM`s-@s6>;O>+bCW0)Y^dRPWL|{}%-W!w_>!t}My`{r0quto3Wl$!O8^ z){wr7)^#k6Ie^!^WmXOW#p~kDA5(`+9|;lgBvder90KFHvh~w{Zr>ayMmb1t}My`PMK8%r;Lkdz%#IB2Jl1A z8WQU}O`sg_7^YL{Pz3}6A*M4;NoWTrI_cJd*zAk-0w1uAcv~vh+X-g>@m#kwXLIe_ zxrTM^c6I5>*&g7YYtAJot|a+ta?&Lz*-7<|PdUj-3d&ka`^=ox6Ab&X=u35>6j&RF zxzVLs1|G?Cv})s-M6@4#g3WN14E8qZ(gg$pAy-npfp%mLN!U?QK&eEgkAOow2_@_( zf&i@v!2uV~z}7P`r}-miTvV5A{_rc)$CP3hqW}toUQ2rBT4Ymr(iE^`jSRGgZab>j z4>jR^yleKj(>HJary22m&%o7XV9U*at>?Fo;v}gv_|+ap4BnJJisW8P5D9^L^S_p_ z%j5J6)Xcz!n}7c!N{eKo5n`{S7Zxu#ETv*T8r~5^;4N&%2?RpOj$YbD3#}r7GLz>7 zK}0RIJ9*N|7$ zonpz8?#o2`nqKz8Dca{(5AdddKp-?{*e(Q-MWG#kscCpEn8R`}tT{7`%m-7;YAwSn zuQ2rR+3gyEf3ZU$KA}RSnrIDtS2ndo)qT3aB1Dv@q|M~5QnP&9>6>~ESn#q0{tz}7OL ziaj6$Ss_^|k-94_WfUu9O0DiK^7n*Y1f9oNFpLZW0vFG~#xoEw{;b%uDnYTD!p%Pf z93CeS2q6=_N@ol}mGJa&x3Ky5_pXZF6`p}DWk3~s!05Abw$kCv-^z;Xt|kL?qwdlK zqt4-~B{JOhOpz%L%F$kX~> z3o6laD$V~{0RclmG^JK8#|cUh-cBfXF_QTJEH3jhKo7ask+ptp$w!;=-OKHT`mTD) z@j_Bg(fC(s{+K#s`jAQ%&G;~~k*YCGQEdG5pOPxaKJE-~W0r0n5N}pU)&K-vdL55q zg-of{y+!_>u#2Gc7z>7xK|tU#F9Ut{=b0|E!ddC!8So6On1OCB-)()z1y_)!Q)yxa z1Og$Z0Jf~RW=%%Fr#$Wlc>a_- z7}|S)d#-{?JdGxi0EJH5|mfo{5ylA5n1s z*I_W&+tDl1tHBRDEjG+Y!@r~h3_mRQ!kP%Xj6i(Q0o#QjG7=DF@?F|PMEy;x?II%> zhTL;B9r(v>fXIbHkYRK!*(GEp?wjL9rE_8qZMfavUuAbX`Xcp7LZ{VC7fF1(# zvO4K&Vm!0*iuU2uEZXsB4lB}>5DfcZ>TFq+=WWw; zD3@&E6owu?cTZ(0msCI?5Mr=h2qIe{=HQUGod3`2TC%wXA7?j0#2 zzEioft$PVd%(e?V3W96lZk@+y6J*24Ap7ZCv%AJKu%Qg-V$Z4s#cE1`7L`~)AP}}u zE(8&dA=p+T(?`M~Tz!jXxY#uW#x9GjJ6_VO5slS`F}oLQ;a7$?Al+q{X zH$H?8sgcd=?F4O~gE?=jJuzPGiBq1X`p=(o{-M1%bYQ+d!F2ozQjud`khvk@@Hl}$ z2r1PYXve@|*G=D_$2%7(KLJ-a>f@#}jNd|UHjIZ8yf8*=T|LVU;AAo0I zW(E@MHro8ZH8W>#gjuE5x7Ndm)gHLM5nsLx!kfRO!Z$nv8_Ph#c(>X7BZl7pi#IgF zh#mZ%)nWrb?BL$0qnDOqD87EhOM8d-UT zp@+|RX(5Q%G(Eh4Kp@0myAXuXA_^@psZ=}s`L{XaC;ahfb*jhl^)m%NYn5Jf2?2uSs01hXM!WR0JMy zWSl@CgiQ1*nR5C92liBCZn&c(5@P_YFpAZFs6vb`o`J`affiw?Vh_+}g#^W^NZmav zAYcecqX7#nHUPgZAQ*;##liSBYMwGX|hac>1{WJAaj%%P88*xY1tl>)9ia!J2sJ*wDW!OV$#(wDS4j)FZm* zD$PGpBzX1HM;>FrFfs^O=1M!)aH-uRIsKOo!|fkU1_B~k(EOVM0)ddLM6W8%|L|{Z z?Kvweb_GEcF7q-F=9w+G!sVWeXTUSCW(HRKYYF-zm)bJk_`;C8gac?WXF6v%hG2WV zDWziwwv_~E5VTxB?at3>w5Ec-OKoE+HXJF$Qkj5=K;fLj3SR<>$=#^K9VR+#c9mT=n{T8iq5^pH^2)cRJ z?U#EIjV2g|+!N0G==$`}vt4A>;%u)fC2O-Nhre!`S2R8K>#P(I2&8HEHm`}XU~LxV zxB33jiZlfT!w{zKP{!jcuQ2rJ^E(YzVTlcW8{a!mAU=qpw<%nk<;davW^5Z-r{yb= zl;-L%1wMp2Vk*d5PIlLOC%}`9fPHkR0s?`sjdCG~a16n=5=7L)6Ap1Jvu%DIPsAkEJ3i&~Pai4a&^W;e1ja6&fsJRtoBzg703ZH_Ghnyfgp6=wHM0|- z^*etvH?Dl6GtjOzx?O$8OPl}O1q1@2@cGicv&G{4-^7k7jOQUI%N7th=4Cp3WkwGU_4j0e)`YNo7+9Q3`k=h zE+jK%hWlfGGd*vz5~s{6f@?XK$CQDt`X8Ybj;_Ve4KAJm&wyvZGvFEU3|u?|-uy3~ za(Cz%@C-bP48*k_MXxsPK8m#03L-Ww{^`sOebCd~n-2ff=}FV!Ulcuw1OLK5; zn*G<%7JLmy5Ln_#$(J62*)Et6A;8{tvt9kstoMco>p_la06kb#u}G`af}}2d?<<$n|kl z$NC!EK}e3h9B*I#>$pD+RyrAEyvIp6-j<9NZyb{R_P-AFW9t6B-=HrVyXUDmg8k{i Xd)eoClB1KV9Nb}U+s|MB$?g9S4STU- literal 0 HcmV?d00001 diff --git a/YL_dec_counter/output_files/YL_dec_counter.sta.rpt b/YL_dec_counter/output_files/YL_dec_counter.sta.rpt new file mode 100644 index 0000000..83478cb --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.sta.rpt @@ -0,0 +1,677 @@ +TimeQuest Timing Analyzer report for YL_dec_counter +Sun May 03 18:49:12 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. TimeQuest Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow Model Fmax Summary + 6. Slow Model Setup Summary + 7. Slow Model Hold Summary + 8. Slow Model Recovery Summary + 9. Slow Model Removal Summary + 10. Slow Model Minimum Pulse Width Summary + 11. Slow Model Setup: 'clock' + 12. Slow Model Hold: 'clock' + 13. Slow Model Minimum Pulse Width: 'clock' + 14. Setup Times + 15. Hold Times + 16. Clock to Output Times + 17. Minimum Clock to Output Times + 18. Propagation Delay + 19. Minimum Propagation Delay + 20. Fast Model Setup Summary + 21. Fast Model Hold Summary + 22. Fast Model Recovery Summary + 23. Fast Model Removal Summary + 24. Fast Model Minimum Pulse Width Summary + 25. Fast Model Setup: 'clock' + 26. Fast Model Hold: 'clock' + 27. Fast Model Minimum Pulse Width: 'clock' + 28. Setup Times + 29. Hold Times + 30. Clock to Output Times + 31. Minimum Clock to Output Times + 32. Propagation Delay + 33. Minimum Propagation Delay + 34. Multicorner Timing Analysis Summary + 35. Setup Times + 36. Hold Times + 37. Clock to Output Times + 38. Minimum Clock to Output Times + 39. Progagation Delay + 40. Minimum Progagation Delay + 41. Setup Transfers + 42. Hold Transfers + 43. Report TCCS + 44. Report RSKM + 45. Unconstrained Paths + 46. TimeQuest Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------------------+ +; TimeQuest Timing Analyzer Summary ; ++--------------------+-------------------------------------------------------------------+ +; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; +; Revision Name ; YL_dec_counter ; +; Device Family ; Cyclone II ; +; Device Name ; EP2C20F484C7 ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Unavailable ; ++--------------------+-------------------------------------------------------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clocks ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ +; clock ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { clock } ; ++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ + + ++-----------------------------------------------------------------------------------------------------------+ +; Slow Model Fmax Summary ; ++------------+-----------------+------------+---------------------------------------------------------------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++------------+-----------------+------------+---------------------------------------------------------------+ +; 444.44 MHz ; 380.08 MHz ; clock ; limit due to minimum period restriction (max I/O toggle rate) ; ++------------+-----------------+------------+---------------------------------------------------------------+ +This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. + + ++--------------------------------+ +; Slow Model Setup Summary ; ++-------+--------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+---------------+ +; clock ; -1.250 ; -4.462 ; ++-------+--------+---------------+ + + ++-------------------------------+ +; Slow Model Hold Summary ; ++-------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+-------+---------------+ +; clock ; 0.445 ; 0.000 ; ++-------+-------+---------------+ + + +------------------------------- +; Slow Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Slow Model Removal Summary ; +------------------------------ +No paths to report. + + ++----------------------------------------+ +; Slow Model Minimum Pulse Width Summary ; ++-------+--------+-----------------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+-----------------------+ +; clock ; -1.631 ; -6.519 ; ++-------+--------+-----------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------+ +; Slow Model Setup: 'clock' ; ++--------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; -1.250 ; dec_count:inst|count[3] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 2.288 ; +; -1.228 ; dec_count:inst|count[3] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 2.266 ; +; -1.227 ; dec_count:inst|count[3] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 2.265 ; +; -1.132 ; dec_count:inst|count[1] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 2.170 ; +; -1.110 ; dec_count:inst|count[1] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 2.148 ; +; -1.109 ; dec_count:inst|count[1] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 2.147 ; +; -1.053 ; dec_count:inst|count[2] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 2.091 ; +; -1.031 ; dec_count:inst|count[2] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 2.069 ; +; -1.030 ; dec_count:inst|count[2] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 2.068 ; +; -0.899 ; dec_count:inst|count[0] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 1.937 ; +; -0.877 ; dec_count:inst|count[0] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 1.915 ; +; -0.876 ; dec_count:inst|count[0] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 1.914 ; +; -0.757 ; dec_count:inst|count[3] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 1.795 ; +; -0.639 ; dec_count:inst|count[1] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 1.677 ; +; -0.560 ; dec_count:inst|count[2] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 1.598 ; +; -0.406 ; dec_count:inst|count[0] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 1.444 ; ++--------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------+ +; Slow Model Hold: 'clock' ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; 0.445 ; dec_count:inst|count[0] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 0.731 ; +; 0.445 ; dec_count:inst|count[1] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 0.731 ; +; 0.445 ; dec_count:inst|count[2] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 0.731 ; +; 0.445 ; dec_count:inst|count[3] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 0.731 ; +; 1.195 ; dec_count:inst|count[0] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 1.481 ; +; 1.312 ; dec_count:inst|count[2] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 1.598 ; +; 1.391 ; dec_count:inst|count[1] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 1.677 ; +; 1.470 ; dec_count:inst|count[0] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 1.756 ; +; 1.477 ; dec_count:inst|count[0] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 1.763 ; +; 1.509 ; dec_count:inst|count[3] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 1.795 ; +; 1.633 ; dec_count:inst|count[2] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 1.919 ; +; 1.783 ; dec_count:inst|count[2] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 2.069 ; +; 1.802 ; dec_count:inst|count[1] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 2.088 ; +; 1.807 ; dec_count:inst|count[1] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 2.093 ; +; 1.979 ; dec_count:inst|count[3] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 2.265 ; +; 1.980 ; dec_count:inst|count[3] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 2.266 ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Slow Model Minimum Pulse Width: 'clock' ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ +; -1.631 ; 1.000 ; 2.631 ; Port Rate ; clock ; Rise ; clock ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[0] ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[0] ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[1] ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[1] ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[2] ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[2] ; +; -0.611 ; 0.500 ; 1.111 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[3] ; +; -0.611 ; 0.500 ; 1.111 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[3] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[3]|clk ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; clear ; clock ; 4.263 ; 4.263 ; Rise ; clock ; +; enc ; clock ; 4.158 ; 4.158 ; Rise ; clock ; +; ent ; clock ; 4.017 ; 4.017 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; clear ; clock ; -3.130 ; -3.130 ; Rise ; clock ; +; enc ; clock ; -3.496 ; -3.496 ; Rise ; clock ; +; ent ; clock ; -3.702 ; -3.702 ; Rise ; clock ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 8.596 ; 8.596 ; Rise ; clock ; +; value[*] ; clock ; 6.897 ; 6.897 ; Rise ; clock ; +; value[0] ; clock ; 6.879 ; 6.879 ; Rise ; clock ; +; value[1] ; clock ; 6.553 ; 6.553 ; Rise ; clock ; +; value[2] ; clock ; 6.871 ; 6.871 ; Rise ; clock ; +; value[3] ; clock ; 6.897 ; 6.897 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 8.245 ; 8.245 ; Rise ; clock ; +; value[*] ; clock ; 6.553 ; 6.553 ; Rise ; clock ; +; value[0] ; clock ; 6.879 ; 6.879 ; Rise ; clock ; +; value[1] ; clock ; 6.553 ; 6.553 ; Rise ; clock ; +; value[2] ; clock ; 6.871 ; 6.871 ; Rise ; clock ; +; value[3] ; clock ; 6.897 ; 6.897 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++----------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 9.626 ; ; ; 9.626 ; ++------------+-------------+-------+----+----+-------+ + + ++----------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 9.626 ; ; ; 9.626 ; ++------------+-------------+-------+----+----+-------+ + + ++-------------------------------+ +; Fast Model Setup Summary ; ++-------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+-------+---------------+ +; clock ; 0.125 ; 0.000 ; ++-------+-------+---------------+ + + ++-------------------------------+ +; Fast Model Hold Summary ; ++-------+-------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+-------+---------------+ +; clock ; 0.215 ; 0.000 ; ++-------+-------+---------------+ + + +------------------------------- +; Fast Model Recovery Summary ; +------------------------------- +No paths to report. + + +------------------------------ +; Fast Model Removal Summary ; +------------------------------ +No paths to report. + + ++----------------------------------------+ +; Fast Model Minimum Pulse Width Summary ; ++-------+--------+-----------------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+-----------------------+ +; clock ; -1.380 ; -5.380 ; ++-------+--------+-----------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------+ +; Fast Model Setup: 'clock' ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; 0.125 ; dec_count:inst|count[3] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 0.907 ; +; 0.138 ; dec_count:inst|count[3] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 0.894 ; +; 0.139 ; dec_count:inst|count[3] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 0.893 ; +; 0.151 ; dec_count:inst|count[1] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 0.881 ; +; 0.164 ; dec_count:inst|count[1] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 0.868 ; +; 0.165 ; dec_count:inst|count[1] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 0.867 ; +; 0.209 ; dec_count:inst|count[2] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 0.823 ; +; 0.222 ; dec_count:inst|count[2] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 0.810 ; +; 0.223 ; dec_count:inst|count[2] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 0.809 ; +; 0.263 ; dec_count:inst|count[0] ; dec_count:inst|count[3] ; clock ; clock ; 1.000 ; 0.000 ; 0.769 ; +; 0.276 ; dec_count:inst|count[0] ; dec_count:inst|count[1] ; clock ; clock ; 1.000 ; 0.000 ; 0.756 ; +; 0.277 ; dec_count:inst|count[0] ; dec_count:inst|count[2] ; clock ; clock ; 1.000 ; 0.000 ; 0.755 ; +; 0.304 ; dec_count:inst|count[3] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 0.728 ; +; 0.330 ; dec_count:inst|count[1] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 0.702 ; +; 0.388 ; dec_count:inst|count[2] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 0.644 ; +; 0.442 ; dec_count:inst|count[0] ; dec_count:inst|count[0] ; clock ; clock ; 1.000 ; 0.000 ; 0.590 ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------+ +; Fast Model Hold: 'clock' ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ +; 0.215 ; dec_count:inst|count[0] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 0.367 ; +; 0.215 ; dec_count:inst|count[1] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 0.367 ; +; 0.215 ; dec_count:inst|count[2] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 0.367 ; +; 0.215 ; dec_count:inst|count[3] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 0.367 ; +; 0.441 ; dec_count:inst|count[0] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 0.593 ; +; 0.492 ; dec_count:inst|count[2] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 0.644 ; +; 0.547 ; dec_count:inst|count[0] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 0.699 ; +; 0.547 ; dec_count:inst|count[0] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 0.699 ; +; 0.550 ; dec_count:inst|count[1] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 0.702 ; +; 0.576 ; dec_count:inst|count[3] ; dec_count:inst|count[0] ; clock ; clock ; 0.000 ; 0.000 ; 0.728 ; +; 0.603 ; dec_count:inst|count[2] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 0.755 ; +; 0.657 ; dec_count:inst|count[1] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 0.809 ; +; 0.658 ; dec_count:inst|count[1] ; dec_count:inst|count[3] ; clock ; clock ; 0.000 ; 0.000 ; 0.810 ; +; 0.658 ; dec_count:inst|count[2] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 0.810 ; +; 0.741 ; dec_count:inst|count[3] ; dec_count:inst|count[2] ; clock ; clock ; 0.000 ; 0.000 ; 0.893 ; +; 0.742 ; dec_count:inst|count[3] ; dec_count:inst|count[1] ; clock ; clock ; 0.000 ; 0.000 ; 0.894 ; ++-------+-------------------------+-------------------------+--------------+-------------+--------------+------------+------------+ + + ++----------------------------------------------------------------------------------------------------------+ +; Fast Model Minimum Pulse Width: 'clock' ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ +; -1.380 ; 1.000 ; 2.380 ; Port Rate ; clock ; Rise ; clock ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[0] ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[0] ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[1] ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[1] ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[2] ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[2] ; +; -0.500 ; 0.500 ; 1.000 ; High Pulse Width ; clock ; Rise ; dec_count:inst|count[3] ; +; -0.500 ; 0.500 ; 1.000 ; Low Pulse Width ; clock ; Rise ; dec_count:inst|count[3] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock|combout ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock|combout ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock~clkctrl|inclk[0] ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; clock~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; clock~clkctrl|outclk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[0]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[1]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[2]|clk ; +; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; clock ; Rise ; inst|count[3]|clk ; +; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; clock ; Rise ; inst|count[3]|clk ; ++--------+--------------+----------------+------------------+-------+------------+-------------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; clear ; clock ; 1.919 ; 1.919 ; Rise ; clock ; +; enc ; clock ; 1.846 ; 1.846 ; Rise ; clock ; +; ent ; clock ; 1.794 ; 1.794 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; clear ; clock ; -1.482 ; -1.482 ; Rise ; clock ; +; enc ; clock ; -1.601 ; -1.601 ; Rise ; clock ; +; ent ; clock ; -1.646 ; -1.646 ; Rise ; clock ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 4.360 ; 4.360 ; Rise ; clock ; +; value[*] ; clock ; 3.721 ; 3.721 ; Rise ; clock ; +; value[0] ; clock ; 3.700 ; 3.700 ; Rise ; clock ; +; value[1] ; clock ; 3.592 ; 3.592 ; Rise ; clock ; +; value[2] ; clock ; 3.697 ; 3.697 ; Rise ; clock ; +; value[3] ; clock ; 3.721 ; 3.721 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 4.222 ; 4.222 ; Rise ; clock ; +; value[*] ; clock ; 3.592 ; 3.592 ; Rise ; clock ; +; value[0] ; clock ; 3.700 ; 3.700 ; Rise ; clock ; +; value[1] ; clock ; 3.592 ; 3.592 ; Rise ; clock ; +; value[2] ; clock ; 3.697 ; 3.697 ; Rise ; clock ; +; value[3] ; clock ; 3.721 ; 3.721 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++----------------------------------------------------+ +; Propagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 5.003 ; ; ; 5.003 ; ++------------+-------------+-------+----+----+-------+ + + ++----------------------------------------------------+ +; Minimum Propagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 5.003 ; ; ; 5.003 ; ++------------+-------------+-------+----+----+-------+ + + ++------------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+--------+-------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+--------+-------+----------+---------+---------------------+ +; Worst-case Slack ; -1.250 ; 0.215 ; N/A ; N/A ; -1.631 ; +; clock ; -1.250 ; 0.215 ; N/A ; N/A ; -1.631 ; +; Design-wide TNS ; -4.462 ; 0.0 ; 0.0 ; 0.0 ; -6.519 ; +; clock ; -4.462 ; 0.000 ; N/A ; N/A ; -6.519 ; ++------------------+--------+-------+----------+---------+---------------------+ + + ++-----------------------------------------------------------------------+ +; Setup Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; clear ; clock ; 4.263 ; 4.263 ; Rise ; clock ; +; enc ; clock ; 4.158 ; 4.158 ; Rise ; clock ; +; ent ; clock ; 4.017 ; 4.017 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-------------------------------------------------------------------------+ +; Hold Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; clear ; clock ; -1.482 ; -1.482 ; Rise ; clock ; +; enc ; clock ; -1.601 ; -1.601 ; Rise ; clock ; +; ent ; clock ; -1.646 ; -1.646 ; Rise ; clock ; ++-----------+------------+--------+--------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 8.596 ; 8.596 ; Rise ; clock ; +; value[*] ; clock ; 6.897 ; 6.897 ; Rise ; clock ; +; value[0] ; clock ; 6.879 ; 6.879 ; Rise ; clock ; +; value[1] ; clock ; 6.553 ; 6.553 ; Rise ; clock ; +; value[2] ; clock ; 6.871 ; 6.871 ; Rise ; clock ; +; value[3] ; clock ; 6.897 ; 6.897 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++-----------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+-------+-------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+-------+------------+-----------------+ +; rco ; clock ; 4.222 ; 4.222 ; Rise ; clock ; +; value[*] ; clock ; 3.592 ; 3.592 ; Rise ; clock ; +; value[0] ; clock ; 3.700 ; 3.700 ; Rise ; clock ; +; value[1] ; clock ; 3.592 ; 3.592 ; Rise ; clock ; +; value[2] ; clock ; 3.697 ; 3.697 ; Rise ; clock ; +; value[3] ; clock ; 3.721 ; 3.721 ; Rise ; clock ; ++-----------+------------+-------+-------+------------+-----------------+ + + ++----------------------------------------------------+ +; Progagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 9.626 ; ; ; 9.626 ; ++------------+-------------+-------+----+----+-------+ + + ++----------------------------------------------------+ +; Minimum Progagation Delay ; ++------------+-------------+-------+----+----+-------+ +; Input Port ; Output Port ; RR ; RF ; FR ; FF ; ++------------+-------------+-------+----+----+-------+ +; ent ; rco ; 5.003 ; ; ; 5.003 ; ++------------+-------------+-------+----+----+-------+ + + ++-------------------------------------------------------------------+ +; Setup Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; clock ; clock ; 28 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + ++-------------------------------------------------------------------+ +; Hold Transfers ; ++------------+----------+----------+----------+----------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+----------+----------+ +; clock ; clock ; 28 ; 0 ; 0 ; 0 ; ++------------+----------+----------+----------+----------+----------+ +Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 3 ; 3 ; +; Unconstrained Input Port Paths ; 13 ; 13 ; +; Unconstrained Output Ports ; 5 ; 5 ; +; Unconstrained Output Port Paths ; 9 ; 9 ; ++---------------------------------+-------+------+ + + ++------------------------------------+ +; TimeQuest Timing Analyzer Messages ; ++------------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun May 03 18:49:10 2020 +Info: Command: quartus_sta YL_dec_counter -c YL_dec_counter +Info: qsta_default_script.tcl version: #1 +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (21077): Low junction temperature is 0 degrees C +Info (21077): High junction temperature is 85 degrees C +Critical Warning (332012): Synopsys Design Constraints File file not found: 'YL_dec_counter.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332105): Deriving Clocks + Info (332105): create_clock -period 1.000 -name clock clock +Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info: Analyzing Slow Model +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case setup slack is -1.250 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -1.250 -4.462 clock +Info (332146): Worst-case hold slack is 0.445 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): 0.445 0.000 clock +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332146): Worst-case minimum pulse width slack is -1.631 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -1.631 -6.519 clock +Info (332001): The selected device family is not supported by the report_metastability command. +Info: Analyzing Fast Model +Info (332146): Worst-case setup slack is 0.125 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): 0.125 0.000 clock +Info (332146): Worst-case hold slack is 0.215 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): 0.215 0.000 clock +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Critical Warning (332148): Timing requirements not met +Info (332146): Worst-case minimum pulse width slack is -1.380 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= ============= ===================== + Info (332119): -1.380 -5.380 clock +Info (332001): The selected device family is not supported by the report_metastability command. +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4558 megabytes + Info: Processing ended: Sun May 03 18:49:12 2020 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/YL_dec_counter/output_files/YL_dec_counter.sta.summary b/YL_dec_counter/output_files/YL_dec_counter.sta.summary new file mode 100644 index 0000000..ff00f2a --- /dev/null +++ b/YL_dec_counter/output_files/YL_dec_counter.sta.summary @@ -0,0 +1,29 @@ +------------------------------------------------------------ +TimeQuest Timing Analyzer Summary +------------------------------------------------------------ + +Type : Slow Model Setup 'clock' +Slack : -1.250 +TNS : -4.462 + +Type : Slow Model Hold 'clock' +Slack : 0.445 +TNS : 0.000 + +Type : Slow Model Minimum Pulse Width 'clock' +Slack : -1.631 +TNS : -6.519 + +Type : Fast Model Setup 'clock' +Slack : 0.125 +TNS : 0.000 + +Type : Fast Model Hold 'clock' +Slack : 0.215 +TNS : 0.000 + +Type : Fast Model Minimum Pulse Width 'clock' +Slack : -1.380 +TNS : -5.380 + +------------------------------------------------------------ diff --git a/YL_dec_counter/simulation/modelsim/YL_dec_counter.sft b/YL_dec_counter/simulation/modelsim/YL_dec_counter.sft new file mode 100644 index 0000000..06a2ca4 --- /dev/null +++ b/YL_dec_counter/simulation/modelsim/YL_dec_counter.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (Verilog)" diff --git a/YL_dec_counter/simulation/modelsim/YL_dec_counter.vo b/YL_dec_counter/simulation/modelsim/YL_dec_counter.vo new file mode 100644 index 0000000..81dab14 --- /dev/null +++ b/YL_dec_counter/simulation/modelsim/YL_dec_counter.vo @@ -0,0 +1,681 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/03/2020 20:26:09" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_dec_counter ( + rco, + enc, + ent, + clock, + clear, + value); +output rco; +input enc; +input ent; +input clock; +input clear; +output [3:0] value; + +// Design Ports Information +// rco => Location: PIN_R8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[3] => Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[2] => Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[1] => Location: PIN_T1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[0] => Location: PIN_P6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// ent => Location: PIN_T2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// enc => Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clear => Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clock => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \inst|count[0]~1_combout ; +wire \clear~combout ; +wire \enc~combout ; +wire \ent~combout ; +wire \inst|count[0]~3_combout ; +wire \inst|count[0]~4_combout ; +wire \inst|_~2_combout ; +wire \inst|count[1]~6_combout ; +wire \inst|op_1~1_combout ; +wire \inst|count[2]~5_combout ; +wire \inst|_~0_combout ; +wire \inst|_~1_combout ; +wire \clock~combout ; +wire \clock~clkctrl_outclk ; +wire \inst|op_1~0_combout ; +wire \inst|count[0]~0_combout ; +wire \inst|count[3]~2_combout ; +wire [3:0] \inst|count ; + + +// Location: LCCOMB_X1_Y8_N24 +cycloneii_lcell_comb \inst|count[0]~1 ( +// Equation(s): +// \inst|count[0]~1_combout = (!\clear~combout & ((!\ent~combout ) # (!\enc~combout ))) + + .dataa(\clear~combout ), + .datab(vcc), + .datac(\enc~combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|count[0]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~1 .lut_mask = 16'h0555; +defparam \inst|count[0]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clear~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clear~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clear)); +// synopsys translate_off +defparam \clear~I .input_async_reset = "none"; +defparam \clear~I .input_power_up = "low"; +defparam \clear~I .input_register_mode = "none"; +defparam \clear~I .input_sync_reset = "none"; +defparam \clear~I .oe_async_reset = "none"; +defparam \clear~I .oe_power_up = "low"; +defparam \clear~I .oe_register_mode = "none"; +defparam \clear~I .oe_sync_reset = "none"; +defparam \clear~I .operation_mode = "input"; +defparam \clear~I .output_async_reset = "none"; +defparam \clear~I .output_power_up = "low"; +defparam \clear~I .output_register_mode = "none"; +defparam \clear~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \enc~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\enc~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(enc)); +// synopsys translate_off +defparam \enc~I .input_async_reset = "none"; +defparam \enc~I .input_power_up = "low"; +defparam \enc~I .input_register_mode = "none"; +defparam \enc~I .input_sync_reset = "none"; +defparam \enc~I .oe_async_reset = "none"; +defparam \enc~I .oe_power_up = "low"; +defparam \enc~I .oe_register_mode = "none"; +defparam \enc~I .oe_sync_reset = "none"; +defparam \enc~I .operation_mode = "input"; +defparam \enc~I .output_async_reset = "none"; +defparam \enc~I .output_power_up = "low"; +defparam \enc~I .output_register_mode = "none"; +defparam \enc~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_T2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \ent~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\ent~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(ent)); +// synopsys translate_off +defparam \ent~I .input_async_reset = "none"; +defparam \ent~I .input_power_up = "low"; +defparam \ent~I .input_register_mode = "none"; +defparam \ent~I .input_sync_reset = "none"; +defparam \ent~I .oe_async_reset = "none"; +defparam \ent~I .oe_power_up = "low"; +defparam \ent~I .oe_register_mode = "none"; +defparam \ent~I .oe_sync_reset = "none"; +defparam \ent~I .operation_mode = "input"; +defparam \ent~I .output_async_reset = "none"; +defparam \ent~I .output_power_up = "low"; +defparam \ent~I .output_register_mode = "none"; +defparam \ent~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N14 +cycloneii_lcell_comb \inst|count[0]~3 ( +// Equation(s): +// \inst|count[0]~3_combout = (!\clear~combout & (\enc~combout & \ent~combout )) + + .dataa(\clear~combout ), + .datab(vcc), + .datac(\enc~combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|count[0]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~3 .lut_mask = 16'h5000; +defparam \inst|count[0]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N10 +cycloneii_lcell_comb \inst|count[0]~4 ( +// Equation(s): +// \inst|count[0]~4_combout = (\inst|count [0] & (\inst|count[0]~1_combout )) # (!\inst|count [0] & (((\inst|count[0]~3_combout & !\inst|_~0_combout )))) + + .dataa(\inst|count[0]~1_combout ), + .datab(\inst|count[0]~3_combout ), + .datac(\inst|count [0]), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|count[0]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~4 .lut_mask = 16'hA0AC; +defparam \inst|count[0]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N11 +cycloneii_lcell_ff \inst|count[0] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[0]~4_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [0])); + +// Location: LCCOMB_X1_Y8_N30 +cycloneii_lcell_comb \inst|_~2 ( +// Equation(s): +// \inst|_~2_combout = (\ent~combout & (\enc~combout & !\inst|_~0_combout )) + + .dataa(vcc), + .datab(\ent~combout ), + .datac(\enc~combout ), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|_~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~2 .lut_mask = 16'h00C0; +defparam \inst|_~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N2 +cycloneii_lcell_comb \inst|count[1]~6 ( +// Equation(s): +// \inst|count[1]~6_combout = (!\clear~combout & (\inst|count [1] $ (((\inst|count [0] & \inst|_~2_combout ))))) + + .dataa(\clear~combout ), + .datab(\inst|count [0]), + .datac(\inst|count [1]), + .datad(\inst|_~2_combout ), + .cin(gnd), + .combout(\inst|count[1]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[1]~6 .lut_mask = 16'h1450; +defparam \inst|count[1]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N3 +cycloneii_lcell_ff \inst|count[1] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[1]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [1])); + +// Location: LCCOMB_X1_Y8_N8 +cycloneii_lcell_comb \inst|op_1~1 ( +// Equation(s): +// \inst|op_1~1_combout = \inst|count [2] $ (((\inst|count [1] & \inst|count [0]))) + + .dataa(vcc), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|op_1~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|op_1~1 .lut_mask = 16'h3CF0; +defparam \inst|op_1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N20 +cycloneii_lcell_comb \inst|count[2]~5 ( +// Equation(s): +// \inst|count[2]~5_combout = (!\clear~combout & ((\inst|_~2_combout & (\inst|op_1~1_combout )) # (!\inst|_~2_combout & ((\inst|count [2]))))) + + .dataa(\clear~combout ), + .datab(\inst|op_1~1_combout ), + .datac(\inst|count [2]), + .datad(\inst|_~2_combout ), + .cin(gnd), + .combout(\inst|count[2]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[2]~5 .lut_mask = 16'h4450; +defparam \inst|count[2]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N21 +cycloneii_lcell_ff \inst|count[2] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[2]~5_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [2])); + +// Location: LCCOMB_X1_Y8_N12 +cycloneii_lcell_comb \inst|_~0 ( +// Equation(s): +// \inst|_~0_combout = (\inst|count [3] & (!\inst|count [1] & (!\inst|count [2] & \inst|count [0]))) + + .dataa(\inst|count [3]), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~0 .lut_mask = 16'h0200; +defparam \inst|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N18 +cycloneii_lcell_comb \inst|_~1 ( +// Equation(s): +// \inst|_~1_combout = (\inst|_~0_combout & \ent~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\inst|_~0_combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|_~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~1 .lut_mask = 16'hF000; +defparam \inst|_~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clock~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clock~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clock)); +// synopsys translate_off +defparam \clock~I .input_async_reset = "none"; +defparam \clock~I .input_power_up = "low"; +defparam \clock~I .input_register_mode = "none"; +defparam \clock~I .input_sync_reset = "none"; +defparam \clock~I .oe_async_reset = "none"; +defparam \clock~I .oe_power_up = "low"; +defparam \clock~I .oe_register_mode = "none"; +defparam \clock~I .oe_sync_reset = "none"; +defparam \clock~I .operation_mode = "input"; +defparam \clock~I .output_async_reset = "none"; +defparam \clock~I .output_power_up = "low"; +defparam \clock~I .output_register_mode = "none"; +defparam \clock~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clock~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clock~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clock~clkctrl_outclk )); +// synopsys translate_off +defparam \clock~clkctrl .clock_type = "global clock"; +defparam \clock~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N4 +cycloneii_lcell_comb \inst|op_1~0 ( +// Equation(s): +// \inst|op_1~0_combout = \inst|count [3] $ (((\inst|count [1] & (\inst|count [2] & \inst|count [0])))) + + .dataa(\inst|count [3]), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|op_1~0 .lut_mask = 16'h6AAA; +defparam \inst|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N6 +cycloneii_lcell_comb \inst|count[0]~0 ( +// Equation(s): +// \inst|count[0]~0_combout = (!\clear~combout & (\ent~combout & (\enc~combout & !\inst|_~0_combout ))) + + .dataa(\clear~combout ), + .datab(\ent~combout ), + .datac(\enc~combout ), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~0 .lut_mask = 16'h0040; +defparam \inst|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N16 +cycloneii_lcell_comb \inst|count[3]~2 ( +// Equation(s): +// \inst|count[3]~2_combout = (\inst|count[0]~1_combout & ((\inst|count [3]) # ((\inst|op_1~0_combout & \inst|count[0]~0_combout )))) # (!\inst|count[0]~1_combout & (\inst|op_1~0_combout & ((\inst|count[0]~0_combout )))) + + .dataa(\inst|count[0]~1_combout ), + .datab(\inst|op_1~0_combout ), + .datac(\inst|count [3]), + .datad(\inst|count[0]~0_combout ), + .cin(gnd), + .combout(\inst|count[3]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[3]~2 .lut_mask = 16'hECA0; +defparam \inst|count[3]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N17 +cycloneii_lcell_ff \inst|count[3] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[3]~2_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [3])); + +// Location: PIN_R8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \rco~I ( + .datain(\inst|_~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(rco)); +// synopsys translate_off +defparam \rco~I .input_async_reset = "none"; +defparam \rco~I .input_power_up = "low"; +defparam \rco~I .input_register_mode = "none"; +defparam \rco~I .input_sync_reset = "none"; +defparam \rco~I .oe_async_reset = "none"; +defparam \rco~I .oe_power_up = "low"; +defparam \rco~I .oe_register_mode = "none"; +defparam \rco~I .oe_sync_reset = "none"; +defparam \rco~I .operation_mode = "output"; +defparam \rco~I .output_async_reset = "none"; +defparam \rco~I .output_power_up = "low"; +defparam \rco~I .output_register_mode = "none"; +defparam \rco~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[3]~I ( + .datain(\inst|count [3]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[3])); +// synopsys translate_off +defparam \value[3]~I .input_async_reset = "none"; +defparam \value[3]~I .input_power_up = "low"; +defparam \value[3]~I .input_register_mode = "none"; +defparam \value[3]~I .input_sync_reset = "none"; +defparam \value[3]~I .oe_async_reset = "none"; +defparam \value[3]~I .oe_power_up = "low"; +defparam \value[3]~I .oe_register_mode = "none"; +defparam \value[3]~I .oe_sync_reset = "none"; +defparam \value[3]~I .operation_mode = "output"; +defparam \value[3]~I .output_async_reset = "none"; +defparam \value[3]~I .output_power_up = "low"; +defparam \value[3]~I .output_register_mode = "none"; +defparam \value[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[2]~I ( + .datain(\inst|count [2]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[2])); +// synopsys translate_off +defparam \value[2]~I .input_async_reset = "none"; +defparam \value[2]~I .input_power_up = "low"; +defparam \value[2]~I .input_register_mode = "none"; +defparam \value[2]~I .input_sync_reset = "none"; +defparam \value[2]~I .oe_async_reset = "none"; +defparam \value[2]~I .oe_power_up = "low"; +defparam \value[2]~I .oe_register_mode = "none"; +defparam \value[2]~I .oe_sync_reset = "none"; +defparam \value[2]~I .operation_mode = "output"; +defparam \value[2]~I .output_async_reset = "none"; +defparam \value[2]~I .output_power_up = "low"; +defparam \value[2]~I .output_register_mode = "none"; +defparam \value[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_T1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[1]~I ( + .datain(\inst|count [1]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[1])); +// synopsys translate_off +defparam \value[1]~I .input_async_reset = "none"; +defparam \value[1]~I .input_power_up = "low"; +defparam \value[1]~I .input_register_mode = "none"; +defparam \value[1]~I .input_sync_reset = "none"; +defparam \value[1]~I .oe_async_reset = "none"; +defparam \value[1]~I .oe_power_up = "low"; +defparam \value[1]~I .oe_register_mode = "none"; +defparam \value[1]~I .oe_sync_reset = "none"; +defparam \value[1]~I .operation_mode = "output"; +defparam \value[1]~I .output_async_reset = "none"; +defparam \value[1]~I .output_power_up = "low"; +defparam \value[1]~I .output_register_mode = "none"; +defparam \value[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_P6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[0]~I ( + .datain(\inst|count [0]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[0])); +// synopsys translate_off +defparam \value[0]~I .input_async_reset = "none"; +defparam \value[0]~I .input_power_up = "low"; +defparam \value[0]~I .input_register_mode = "none"; +defparam \value[0]~I .input_sync_reset = "none"; +defparam \value[0]~I .oe_async_reset = "none"; +defparam \value[0]~I .oe_power_up = "low"; +defparam \value[0]~I .oe_register_mode = "none"; +defparam \value[0]~I .oe_sync_reset = "none"; +defparam \value[0]~I .operation_mode = "output"; +defparam \value[0]~I .output_async_reset = "none"; +defparam \value[0]~I .output_power_up = "low"; +defparam \value[0]~I .output_register_mode = "none"; +defparam \value[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_dec_counter/simulation/modelsim/YL_dec_counter_modelsim.xrf b/YL_dec_counter/simulation/modelsim/YL_dec_counter_modelsim.xrf new file mode 100644 index 0000000..7ba72fc --- /dev/null +++ b/YL_dec_counter/simulation/modelsim/YL_dec_counter_modelsim.xrf @@ -0,0 +1,32 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.bdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_dec_counter.tdf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/YL_DecCounter.vwf +source_file = 1, C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_dec_counter/db/YL_dec_counter.cbx.xml +design_name = YL_dec_counter +instance = comp, \inst|count[0]~1 , inst|count[0]~1, YL_dec_counter, 1 +instance = comp, \clear~I , clear, YL_dec_counter, 1 +instance = comp, \enc~I , enc, YL_dec_counter, 1 +instance = comp, \ent~I , ent, YL_dec_counter, 1 +instance = comp, \inst|count[0]~3 , inst|count[0]~3, YL_dec_counter, 1 +instance = comp, \inst|count[0]~4 , inst|count[0]~4, YL_dec_counter, 1 +instance = comp, \inst|count[0] , inst|count[0], YL_dec_counter, 1 +instance = comp, \inst|_~2 , inst|_~2, YL_dec_counter, 1 +instance = comp, \inst|count[1]~6 , inst|count[1]~6, YL_dec_counter, 1 +instance = comp, \inst|count[1] , inst|count[1], YL_dec_counter, 1 +instance = comp, \inst|op_1~1 , inst|op_1~1, YL_dec_counter, 1 +instance = comp, \inst|count[2]~5 , inst|count[2]~5, YL_dec_counter, 1 +instance = comp, \inst|count[2] , inst|count[2], YL_dec_counter, 1 +instance = comp, \inst|_~0 , inst|_~0, YL_dec_counter, 1 +instance = comp, \inst|_~1 , inst|_~1, YL_dec_counter, 1 +instance = comp, \clock~I , clock, YL_dec_counter, 1 +instance = comp, \clock~clkctrl , clock~clkctrl, YL_dec_counter, 1 +instance = comp, \inst|op_1~0 , inst|op_1~0, YL_dec_counter, 1 +instance = comp, \inst|count[0]~0 , inst|count[0]~0, YL_dec_counter, 1 +instance = comp, \inst|count[3]~2 , inst|count[3]~2, YL_dec_counter, 1 +instance = comp, \inst|count[3] , inst|count[3], YL_dec_counter, 1 +instance = comp, \rco~I , rco, YL_dec_counter, 1 +instance = comp, \value[3]~I , value[3], YL_dec_counter, 1 +instance = comp, \value[2]~I , value[2], YL_dec_counter, 1 +instance = comp, \value[1]~I , value[1], YL_dec_counter, 1 +instance = comp, \value[0]~I , value[0], YL_dec_counter, 1 diff --git a/YL_dec_counter/simulation/qsim/YL_dec_counter.do b/YL_dec_counter/simulation/qsim/YL_dec_counter.do new file mode 100644 index 0000000..9244f00 --- /dev/null +++ b/YL_dec_counter/simulation/qsim/YL_dec_counter.do @@ -0,0 +1,10 @@ +onerror {quit -f} +vlib work +vlog -work work YL_dec_counter.vo +vlog -work work YL_dec_counter.vt +vsim -novopt -c -t 1ps -L cycloneii_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.YL_dec_counter_vlg_vec_tst +vcd file -direction YL_dec_counter.msim.vcd +vcd add -internal YL_dec_counter_vlg_vec_tst/* +vcd add -internal YL_dec_counter_vlg_vec_tst/i1/* +add wave /* +run -all diff --git a/YL_dec_counter/simulation/qsim/YL_dec_counter.sim.vwf b/YL_dec_counter/simulation/qsim/YL_dec_counter.sim.vwf new file mode 100644 index 0000000..6f96b9c --- /dev/null +++ b/YL_dec_counter/simulation/qsim/YL_dec_counter.sim.vwf @@ -0,0 +1,348 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clear") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("clock") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("enc") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("ent") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("rco") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 4; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("value[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +SIGNAL("value[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "value"; +} + +TRANSITION_LIST("clear") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 860.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 100.0; + } +} + +TRANSITION_LIST("clock") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("enc") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 360.0; + LEVEL 1 FOR 240.0; + } +} + +TRANSITION_LIST("ent") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 280.0; + LEVEL 0 FOR 260.0; + LEVEL 1 FOR 460.0; + } +} + +TRANSITION_LIST("rco") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("value[3]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 825.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 125.0; + } +} + +TRANSITION_LIST("value[2]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 175.0; + LEVEL 1 FOR 650.0; + LEVEL 0 FOR 175.0; + } +} + +TRANSITION_LIST("value[1]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 75.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 550.0; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 25.0; + } +} + +TRANSITION_LIST("value[0]") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + NODE + { + REPEAT = 2; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + } + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 500.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 25.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clear"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "clock"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "enc"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "ent"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "rco"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "value"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; + CHILDREN = 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "value[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 5; +} + +DISPLAY_LINE +{ + CHANNEL = "value[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 5; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_dec_counter/simulation/qsim/YL_dec_counter.vo b/YL_dec_counter/simulation/qsim/YL_dec_counter.vo new file mode 100644 index 0000000..81dab14 --- /dev/null +++ b/YL_dec_counter/simulation/qsim/YL_dec_counter.vo @@ -0,0 +1,681 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 64-Bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "05/03/2020 20:26:09" + +// +// Device: Altera EP2C20F484C7 Package FBGA484 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module YL_dec_counter ( + rco, + enc, + ent, + clock, + clear, + value); +output rco; +input enc; +input ent; +input clock; +input clear; +output [3:0] value; + +// Design Ports Information +// rco => Location: PIN_R8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[3] => Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[2] => Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[1] => Location: PIN_T1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// value[0] => Location: PIN_P6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +// ent => Location: PIN_T2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// enc => Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clear => Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// clock => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \inst|count[0]~1_combout ; +wire \clear~combout ; +wire \enc~combout ; +wire \ent~combout ; +wire \inst|count[0]~3_combout ; +wire \inst|count[0]~4_combout ; +wire \inst|_~2_combout ; +wire \inst|count[1]~6_combout ; +wire \inst|op_1~1_combout ; +wire \inst|count[2]~5_combout ; +wire \inst|_~0_combout ; +wire \inst|_~1_combout ; +wire \clock~combout ; +wire \clock~clkctrl_outclk ; +wire \inst|op_1~0_combout ; +wire \inst|count[0]~0_combout ; +wire \inst|count[3]~2_combout ; +wire [3:0] \inst|count ; + + +// Location: LCCOMB_X1_Y8_N24 +cycloneii_lcell_comb \inst|count[0]~1 ( +// Equation(s): +// \inst|count[0]~1_combout = (!\clear~combout & ((!\ent~combout ) # (!\enc~combout ))) + + .dataa(\clear~combout ), + .datab(vcc), + .datac(\enc~combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|count[0]~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~1 .lut_mask = 16'h0555; +defparam \inst|count[0]~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clear~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clear~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clear)); +// synopsys translate_off +defparam \clear~I .input_async_reset = "none"; +defparam \clear~I .input_power_up = "low"; +defparam \clear~I .input_register_mode = "none"; +defparam \clear~I .input_sync_reset = "none"; +defparam \clear~I .oe_async_reset = "none"; +defparam \clear~I .oe_power_up = "low"; +defparam \clear~I .oe_register_mode = "none"; +defparam \clear~I .oe_sync_reset = "none"; +defparam \clear~I .operation_mode = "input"; +defparam \clear~I .output_async_reset = "none"; +defparam \clear~I .output_power_up = "low"; +defparam \clear~I .output_register_mode = "none"; +defparam \clear~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \enc~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\enc~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(enc)); +// synopsys translate_off +defparam \enc~I .input_async_reset = "none"; +defparam \enc~I .input_power_up = "low"; +defparam \enc~I .input_register_mode = "none"; +defparam \enc~I .input_sync_reset = "none"; +defparam \enc~I .oe_async_reset = "none"; +defparam \enc~I .oe_power_up = "low"; +defparam \enc~I .oe_register_mode = "none"; +defparam \enc~I .oe_sync_reset = "none"; +defparam \enc~I .operation_mode = "input"; +defparam \enc~I .output_async_reset = "none"; +defparam \enc~I .output_power_up = "low"; +defparam \enc~I .output_register_mode = "none"; +defparam \enc~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_T2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \ent~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\ent~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(ent)); +// synopsys translate_off +defparam \ent~I .input_async_reset = "none"; +defparam \ent~I .input_power_up = "low"; +defparam \ent~I .input_register_mode = "none"; +defparam \ent~I .input_sync_reset = "none"; +defparam \ent~I .oe_async_reset = "none"; +defparam \ent~I .oe_power_up = "low"; +defparam \ent~I .oe_register_mode = "none"; +defparam \ent~I .oe_sync_reset = "none"; +defparam \ent~I .operation_mode = "input"; +defparam \ent~I .output_async_reset = "none"; +defparam \ent~I .output_power_up = "low"; +defparam \ent~I .output_register_mode = "none"; +defparam \ent~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N14 +cycloneii_lcell_comb \inst|count[0]~3 ( +// Equation(s): +// \inst|count[0]~3_combout = (!\clear~combout & (\enc~combout & \ent~combout )) + + .dataa(\clear~combout ), + .datab(vcc), + .datac(\enc~combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|count[0]~3_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~3 .lut_mask = 16'h5000; +defparam \inst|count[0]~3 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N10 +cycloneii_lcell_comb \inst|count[0]~4 ( +// Equation(s): +// \inst|count[0]~4_combout = (\inst|count [0] & (\inst|count[0]~1_combout )) # (!\inst|count [0] & (((\inst|count[0]~3_combout & !\inst|_~0_combout )))) + + .dataa(\inst|count[0]~1_combout ), + .datab(\inst|count[0]~3_combout ), + .datac(\inst|count [0]), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|count[0]~4_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~4 .lut_mask = 16'hA0AC; +defparam \inst|count[0]~4 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N11 +cycloneii_lcell_ff \inst|count[0] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[0]~4_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [0])); + +// Location: LCCOMB_X1_Y8_N30 +cycloneii_lcell_comb \inst|_~2 ( +// Equation(s): +// \inst|_~2_combout = (\ent~combout & (\enc~combout & !\inst|_~0_combout )) + + .dataa(vcc), + .datab(\ent~combout ), + .datac(\enc~combout ), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|_~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~2 .lut_mask = 16'h00C0; +defparam \inst|_~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N2 +cycloneii_lcell_comb \inst|count[1]~6 ( +// Equation(s): +// \inst|count[1]~6_combout = (!\clear~combout & (\inst|count [1] $ (((\inst|count [0] & \inst|_~2_combout ))))) + + .dataa(\clear~combout ), + .datab(\inst|count [0]), + .datac(\inst|count [1]), + .datad(\inst|_~2_combout ), + .cin(gnd), + .combout(\inst|count[1]~6_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[1]~6 .lut_mask = 16'h1450; +defparam \inst|count[1]~6 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N3 +cycloneii_lcell_ff \inst|count[1] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[1]~6_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [1])); + +// Location: LCCOMB_X1_Y8_N8 +cycloneii_lcell_comb \inst|op_1~1 ( +// Equation(s): +// \inst|op_1~1_combout = \inst|count [2] $ (((\inst|count [1] & \inst|count [0]))) + + .dataa(vcc), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|op_1~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|op_1~1 .lut_mask = 16'h3CF0; +defparam \inst|op_1~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N20 +cycloneii_lcell_comb \inst|count[2]~5 ( +// Equation(s): +// \inst|count[2]~5_combout = (!\clear~combout & ((\inst|_~2_combout & (\inst|op_1~1_combout )) # (!\inst|_~2_combout & ((\inst|count [2]))))) + + .dataa(\clear~combout ), + .datab(\inst|op_1~1_combout ), + .datac(\inst|count [2]), + .datad(\inst|_~2_combout ), + .cin(gnd), + .combout(\inst|count[2]~5_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[2]~5 .lut_mask = 16'h4450; +defparam \inst|count[2]~5 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N21 +cycloneii_lcell_ff \inst|count[2] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[2]~5_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [2])); + +// Location: LCCOMB_X1_Y8_N12 +cycloneii_lcell_comb \inst|_~0 ( +// Equation(s): +// \inst|_~0_combout = (\inst|count [3] & (!\inst|count [1] & (!\inst|count [2] & \inst|count [0]))) + + .dataa(\inst|count [3]), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|_~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~0 .lut_mask = 16'h0200; +defparam \inst|_~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N18 +cycloneii_lcell_comb \inst|_~1 ( +// Equation(s): +// \inst|_~1_combout = (\inst|_~0_combout & \ent~combout ) + + .dataa(vcc), + .datab(vcc), + .datac(\inst|_~0_combout ), + .datad(\ent~combout ), + .cin(gnd), + .combout(\inst|_~1_combout ), + .cout()); +// synopsys translate_off +defparam \inst|_~1 .lut_mask = 16'hF000; +defparam \inst|_~1 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +cycloneii_io \clock~I ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(\clock~combout ), + .regout(), + .differentialout(), + .linkout(), + .padio(clock)); +// synopsys translate_off +defparam \clock~I .input_async_reset = "none"; +defparam \clock~I .input_power_up = "low"; +defparam \clock~I .input_register_mode = "none"; +defparam \clock~I .input_sync_reset = "none"; +defparam \clock~I .oe_async_reset = "none"; +defparam \clock~I .oe_power_up = "low"; +defparam \clock~I .oe_register_mode = "none"; +defparam \clock~I .oe_sync_reset = "none"; +defparam \clock~I .operation_mode = "input"; +defparam \clock~I .output_async_reset = "none"; +defparam \clock~I .output_power_up = "low"; +defparam \clock~I .output_register_mode = "none"; +defparam \clock~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: CLKCTRL_G3 +cycloneii_clkctrl \clock~clkctrl ( + .ena(vcc), + .inclk({gnd,gnd,gnd,\clock~combout }), + .clkselect(2'b00), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\clock~clkctrl_outclk )); +// synopsys translate_off +defparam \clock~clkctrl .clock_type = "global clock"; +defparam \clock~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N4 +cycloneii_lcell_comb \inst|op_1~0 ( +// Equation(s): +// \inst|op_1~0_combout = \inst|count [3] $ (((\inst|count [1] & (\inst|count [2] & \inst|count [0])))) + + .dataa(\inst|count [3]), + .datab(\inst|count [1]), + .datac(\inst|count [2]), + .datad(\inst|count [0]), + .cin(gnd), + .combout(\inst|op_1~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|op_1~0 .lut_mask = 16'h6AAA; +defparam \inst|op_1~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N6 +cycloneii_lcell_comb \inst|count[0]~0 ( +// Equation(s): +// \inst|count[0]~0_combout = (!\clear~combout & (\ent~combout & (\enc~combout & !\inst|_~0_combout ))) + + .dataa(\clear~combout ), + .datab(\ent~combout ), + .datac(\enc~combout ), + .datad(\inst|_~0_combout ), + .cin(gnd), + .combout(\inst|count[0]~0_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[0]~0 .lut_mask = 16'h0040; +defparam \inst|count[0]~0 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X1_Y8_N16 +cycloneii_lcell_comb \inst|count[3]~2 ( +// Equation(s): +// \inst|count[3]~2_combout = (\inst|count[0]~1_combout & ((\inst|count [3]) # ((\inst|op_1~0_combout & \inst|count[0]~0_combout )))) # (!\inst|count[0]~1_combout & (\inst|op_1~0_combout & ((\inst|count[0]~0_combout )))) + + .dataa(\inst|count[0]~1_combout ), + .datab(\inst|op_1~0_combout ), + .datac(\inst|count [3]), + .datad(\inst|count[0]~0_combout ), + .cin(gnd), + .combout(\inst|count[3]~2_combout ), + .cout()); +// synopsys translate_off +defparam \inst|count[3]~2 .lut_mask = 16'hECA0; +defparam \inst|count[3]~2 .sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCFF_X1_Y8_N17 +cycloneii_lcell_ff \inst|count[3] ( + .clk(\clock~clkctrl_outclk ), + .datain(\inst|count[3]~2_combout ), + .sdata(gnd), + .aclr(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .regout(\inst|count [3])); + +// Location: PIN_R8, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \rco~I ( + .datain(\inst|_~1_combout ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(rco)); +// synopsys translate_off +defparam \rco~I .input_async_reset = "none"; +defparam \rco~I .input_power_up = "low"; +defparam \rco~I .input_register_mode = "none"; +defparam \rco~I .input_sync_reset = "none"; +defparam \rco~I .oe_async_reset = "none"; +defparam \rco~I .oe_power_up = "low"; +defparam \rco~I .oe_register_mode = "none"; +defparam \rco~I .oe_sync_reset = "none"; +defparam \rco~I .operation_mode = "output"; +defparam \rco~I .output_async_reset = "none"; +defparam \rco~I .output_power_up = "low"; +defparam \rco~I .output_register_mode = "none"; +defparam \rco~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R7, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[3]~I ( + .datain(\inst|count [3]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[3])); +// synopsys translate_off +defparam \value[3]~I .input_async_reset = "none"; +defparam \value[3]~I .input_power_up = "low"; +defparam \value[3]~I .input_register_mode = "none"; +defparam \value[3]~I .input_sync_reset = "none"; +defparam \value[3]~I .oe_async_reset = "none"; +defparam \value[3]~I .oe_power_up = "low"; +defparam \value[3]~I .oe_register_mode = "none"; +defparam \value[3]~I .oe_sync_reset = "none"; +defparam \value[3]~I .operation_mode = "output"; +defparam \value[3]~I .output_async_reset = "none"; +defparam \value[3]~I .output_power_up = "low"; +defparam \value[3]~I .output_register_mode = "none"; +defparam \value[3]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[2]~I ( + .datain(\inst|count [2]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[2])); +// synopsys translate_off +defparam \value[2]~I .input_async_reset = "none"; +defparam \value[2]~I .input_power_up = "low"; +defparam \value[2]~I .input_register_mode = "none"; +defparam \value[2]~I .input_sync_reset = "none"; +defparam \value[2]~I .oe_async_reset = "none"; +defparam \value[2]~I .oe_power_up = "low"; +defparam \value[2]~I .oe_register_mode = "none"; +defparam \value[2]~I .oe_sync_reset = "none"; +defparam \value[2]~I .operation_mode = "output"; +defparam \value[2]~I .output_async_reset = "none"; +defparam \value[2]~I .output_power_up = "low"; +defparam \value[2]~I .output_register_mode = "none"; +defparam \value[2]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_T1, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[1]~I ( + .datain(\inst|count [1]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[1])); +// synopsys translate_off +defparam \value[1]~I .input_async_reset = "none"; +defparam \value[1]~I .input_power_up = "low"; +defparam \value[1]~I .input_register_mode = "none"; +defparam \value[1]~I .input_sync_reset = "none"; +defparam \value[1]~I .oe_async_reset = "none"; +defparam \value[1]~I .oe_power_up = "low"; +defparam \value[1]~I .oe_register_mode = "none"; +defparam \value[1]~I .oe_sync_reset = "none"; +defparam \value[1]~I .operation_mode = "output"; +defparam \value[1]~I .output_async_reset = "none"; +defparam \value[1]~I .output_power_up = "low"; +defparam \value[1]~I .output_register_mode = "none"; +defparam \value[1]~I .output_sync_reset = "none"; +// synopsys translate_on + +// Location: PIN_P6, I/O Standard: 3.3-V LVTTL, Current Strength: 24mA +cycloneii_io \value[0]~I ( + .datain(\inst|count [0]), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .differentialin(gnd), + .linkin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .devoe(devoe), + .combout(), + .regout(), + .differentialout(), + .linkout(), + .padio(value[0])); +// synopsys translate_off +defparam \value[0]~I .input_async_reset = "none"; +defparam \value[0]~I .input_power_up = "low"; +defparam \value[0]~I .input_register_mode = "none"; +defparam \value[0]~I .input_sync_reset = "none"; +defparam \value[0]~I .oe_async_reset = "none"; +defparam \value[0]~I .oe_power_up = "low"; +defparam \value[0]~I .oe_register_mode = "none"; +defparam \value[0]~I .oe_sync_reset = "none"; +defparam \value[0]~I .operation_mode = "output"; +defparam \value[0]~I .output_async_reset = "none"; +defparam \value[0]~I .output_power_up = "low"; +defparam \value[0]~I .output_register_mode = "none"; +defparam \value[0]~I .output_sync_reset = "none"; +// synopsys translate_on + +endmodule diff --git a/YL_dec_counter/simulation/qsim/YL_dec_counter.vt b/YL_dec_counter/simulation/qsim/YL_dec_counter.vt new file mode 100644 index 0000000..1406301 --- /dev/null +++ b/YL_dec_counter/simulation/qsim/YL_dec_counter.vt @@ -0,0 +1,306 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "05/03/2020 20:26:08" + +// Verilog Self-Checking Test Bench (with test vectors) for design : YL_dec_counter +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module YL_dec_counter_vlg_sample_tst( + clear, + clock, + enc, + ent, + sampler_tx +); +input clear; +input clock; +input enc; +input ent; +output sampler_tx; + +reg sample; +time current_time; +always @(clear or clock or enc or ent) + +begin + if ($realtime > 0) + begin + if ($realtime == 0 || $realtime != current_time) + begin + if (sample === 1'bx) + sample = 0; + else + sample = ~sample; + end + current_time = $realtime; + end +end + +assign sampler_tx = sample; +endmodule + +module YL_dec_counter_vlg_check_tst ( + rco, + value, + sampler_rx +); +input rco; +input [3:0] value; +input sampler_rx; + +reg rco_expected; +reg [3:0] value_expected; + +reg rco_prev; +reg [3:0] value_prev; + +reg rco_expected_prev; +reg [3:0] value_expected_prev; + +reg last_rco_exp; +reg [3:0] last_value_exp; + +reg trigger; + +integer i; +integer nummismatches; + +reg [1:2] on_first_change ; + + +initial +begin +trigger = 0; +i = 0; +nummismatches = 0; +on_first_change = 2'b1; +end + +// update real /o prevs + +always @(trigger) +begin + rco_prev = rco; + value_prev = value; +end + +// update expected /o prevs + +always @(trigger) +begin + rco_expected_prev = rco_expected; + value_expected_prev = value_expected; +end + + + +// expected rco +initial +begin + rco_expected = 1'bX; +end +// expected value[ 3 ] +initial +begin + value_expected[3] = 1'bX; +end +// expected value[ 2 ] +initial +begin + value_expected[2] = 1'bX; +end +// expected value[ 1 ] +initial +begin + value_expected[1] = 1'bX; +end +// expected value[ 0 ] +initial +begin + value_expected[0] = 1'bX; +end +// generate trigger +always @(rco_expected or rco or value_expected or value) +begin + trigger <= ~trigger; +end + +always @(posedge sampler_rx or negedge sampler_rx) +begin +`ifdef debug_tbench + $display("Scanning pattern %d @time = %t",i,$realtime ); + i = i + 1; + $display("| expected rco = %b | expected value = %b | ",rco_expected_prev,value_expected_prev); + $display("| real rco = %b | real value = %b | ",rco_prev,value_prev); +`endif + if ( + ( rco_expected_prev !== 1'bx ) && ( rco_prev !== rco_expected_prev ) + && ((rco_expected_prev !== last_rco_exp) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port rco :: @time = %t", $realtime); + $display (" Expected value = %b", rco_expected_prev); + $display (" Real value = %b", rco_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_rco_exp = rco_expected_prev; + end + if ( + ( value_expected_prev[0] !== 1'bx ) && ( value_prev[0] !== value_expected_prev[0] ) + && ((value_expected_prev[0] !== last_value_exp[0]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port value[0] :: @time = %t", $realtime); + $display (" Expected value = %b", value_expected_prev); + $display (" Real value = %b", value_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_value_exp[0] = value_expected_prev[0]; + end + if ( + ( value_expected_prev[1] !== 1'bx ) && ( value_prev[1] !== value_expected_prev[1] ) + && ((value_expected_prev[1] !== last_value_exp[1]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port value[1] :: @time = %t", $realtime); + $display (" Expected value = %b", value_expected_prev); + $display (" Real value = %b", value_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_value_exp[1] = value_expected_prev[1]; + end + if ( + ( value_expected_prev[2] !== 1'bx ) && ( value_prev[2] !== value_expected_prev[2] ) + && ((value_expected_prev[2] !== last_value_exp[2]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port value[2] :: @time = %t", $realtime); + $display (" Expected value = %b", value_expected_prev); + $display (" Real value = %b", value_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_value_exp[2] = value_expected_prev[2]; + end + if ( + ( value_expected_prev[3] !== 1'bx ) && ( value_prev[3] !== value_expected_prev[3] ) + && ((value_expected_prev[3] !== last_value_exp[3]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port value[3] :: @time = %t", $realtime); + $display (" Expected value = %b", value_expected_prev); + $display (" Real value = %b", value_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_value_exp[3] = value_expected_prev[3]; + end + + trigger <= ~trigger; +end +initial + +begin +$timeformat(-12,3," ps",6); +#1000000; +if (nummismatches > 0) + $display ("%d mismatched vectors : Simulation failed !",nummismatches); +else + $display ("Simulation passed !"); +$finish; +end +endmodule + +module YL_dec_counter_vlg_vec_tst(); +// constants +// general purpose registers +reg clear; +reg clock; +reg enc; +reg ent; +// wires +wire rco; +wire [3:0] value; + +wire sampler; + +// assign statements (if any) +YL_dec_counter i1 ( +// port map - connection between master ports and signals/registers + .clear(clear), + .clock(clock), + .enc(enc), + .ent(ent), + .rco(rco), + .value(value) +); + +// clear +initial +begin + clear = 1'b1; + clear = #320000 1'b0; + clear = #280000 1'b1; +end + +// clock +always +begin + clock = 1'b0; + clock = #25000 1'b1; + #25000; +end + +// enc +initial +begin + enc = 1'b1; + enc = #400000 1'b0; + enc = #360000 1'b1; +end + +// ent +initial +begin + ent = 1'b0; +end + +YL_dec_counter_vlg_sample_tst tb_sample ( + .clear(clear), + .clock(clock), + .enc(enc), + .ent(ent), + .sampler_tx(sampler) +); + +YL_dec_counter_vlg_check_tst tb_out( + .rco(rco), + .value(value), + .sampler_rx(sampler) +); +endmodule + diff --git a/YL_pulsar/YL_pulsar.bdf b/YL_pulsar/YL_pulsar.bdf new file mode 100644 index 0000000..5b3dd8d --- /dev/null +++ b/YL_pulsar/YL_pulsar.bdf @@ -0,0 +1,162 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 168 120 336 136) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 168 136 336 152) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 30 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 80 184 248 200) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "key" (rect 5 0 24 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 464 120 640 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "output" (rect 90 0 120 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 336 96 464 208) + (text "pulsar" (rect 5 0 34 12)(font "Arial" )) + (text "inst" (rect 8 96 25 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 43 45 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "key" (rect 0 0 18 12)(font "Arial" )) + (text "key" (rect 21 59 39 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 128 32) + (output) + (text "o" (rect 0 0 5 12)(font "Arial" )) + (text "o" (rect 103 27 108 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)) + ) + (drawing + (rectangle (rect 16 16 112 96)) + ) +) +(symbol + (rect 256 176 304 208) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst99" (rect 3 21 32 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)) + ) + (drawing + (line (pt 13 25)(pt 13 7)) + (line (pt 13 7)(pt 31 16)) + (line (pt 13 25)(pt 31 16)) + (circle (rect 31 12 39 20)) + ) +) +(connector + (pt 328 160) + (pt 328 192) +) +(connector + (pt 336 160) + (pt 328 160) +) +(connector + (pt 248 192) + (pt 256 192) +) +(connector + (pt 304 192) + (pt 328 192) +) diff --git a/YL_pulsar/YL_pulsar.qpf b/YL_pulsar/YL_pulsar.qpf new file mode 100644 index 0000000..56ece46 --- /dev/null +++ b/YL_pulsar/YL_pulsar.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 09:42:20 May 04, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "09:42:20 May 04, 2020" + +# Revisions + +PROJECT_REVISION = "YL_pulsar" diff --git a/YL_pulsar/YL_pulsar.qsf b/YL_pulsar/YL_pulsar.qsf new file mode 100644 index 0000000..62b9865 --- /dev/null +++ b/YL_pulsar/YL_pulsar.qsf @@ -0,0 +1,66 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 09:42:20 May 04, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# YL_pulsar_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone II" +set_global_assignment -name DEVICE EP2C20F484C7 +set_global_assignment -name TOP_LEVEL_ENTITY YL_pulsar +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:42:20 MAY 04, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name AHDL_FILE YL_pulsar.tdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name BDF_FILE YL_pulsar.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE YL_pulsar.vwf +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST ON -section_id eda_simulation +set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.vwf" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_R21 -to key \ No newline at end of file diff --git a/YL_pulsar/YL_pulsar.qws b/YL_pulsar/YL_pulsar.qws new file mode 100644 index 0000000000000000000000000000000000000000..af74d614b1a5963882eb2bc69ccf5ae3281984ec GIT binary patch literal 3046 zcmeH}&uddb5XZmo)kD3AClM40B2t7jO`6iCUW&Dz3W6w75K4Z$Xi02Jl3GP-!J{|5 zdGqSO(0{>;SG@@S8&>P*yLk~!Lxn;O6!$UvW@cx1XJ@}N?=4KI-!7`DvO4N&Rata#UvtZd`kDQjY z3@xglJWoz5$gAwM$!LfD+Cz1dthlB6$i3)tn$devNxe8lJeKvGIL;EeA~CH(bIQW! z;R=CEkV_b8;84!-2qoG={_zx$LgG^t;Z~}gyU=Bv~4>$cseJr_16eXD-DaM z9TFt3GPulS^y%Y=u#ohb;S1cwX-@MN{wp+(%r^0B_+B$UXYg$rlobhU5uda0B{Wqy zSAiO2d>VN&Wv_3f8ZSCIAm~;PX@kx!XwweV<=|f()h}} zNI^3w<1WphndR#8lrMiuU6is=g=ZLOJUR%P8EpOW9eptizY)HOKVgevYzO56%*g0cN-DTs{4iOL^%I0|^%ejC literal 0 HcmV?d00001 diff --git a/YL_pulsar/YL_pulsar.tdf b/YL_pulsar/YL_pulsar.tdf new file mode 100644 index 0000000..6292f61 --- /dev/null +++ b/YL_pulsar/YL_pulsar.tdf @@ -0,0 +1,35 @@ +SUBDESIGN pulsar +( + clk, reset, key : input; + o : output; +) +VARIABLE + ss: MACHINE OF BITS (o) WITH STATES ( + s0 = 0, + s1 = 0, + s2 = 1, + s3 = 0 + ); +BEGIN + ss.clk = clk; + ss.reset = reset; + + TABLE + % current current next % + ss, key => ss; + s0, 0 => s0; + s0, 1 => s1; + s1, 0 => s0; + s1, 1 => s2; + s2, 0 => s0; + s2, 1 => s3; + s3, 0 => s0; + s3, 1 => s3; + END TABLE; + +END; + + + + + \ No newline at end of file diff --git a/YL_pulsar/YL_pulsar.tdf.bak b/YL_pulsar/YL_pulsar.tdf.bak new file mode 100644 index 0000000..e69de29 diff --git a/YL_pulsar/YL_pulsar.vwf b/YL_pulsar/YL_pulsar.vwf new file mode 100644 index 0000000..f8e6fb4 --- /dev/null +++ b/YL_pulsar/YL_pulsar.vwf @@ -0,0 +1,191 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 2.5; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("key") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("output") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 8; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + NODE + { + REPEAT = 40; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("key") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 120.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 140.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 27.5; + LEVEL 1 FOR 62.5; + LEVEL 0 FOR 27.5; + LEVEL 1 FOR 42.5; + LEVEL 0 FOR 37.5; + LEVEL 1 FOR 152.5; + } +} + +TRANSITION_LIST("output") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 520.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 460.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "key"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "output"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_pulsar/YL_pulsar.vwf.temp b/YL_pulsar/YL_pulsar.vwf.temp new file mode 100644 index 0000000..7ff19f2 --- /dev/null +++ b/YL_pulsar/YL_pulsar.vwf.temp @@ -0,0 +1,224 @@ +/* Simulator = Quartus II Simulator */ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("clk") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("key") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("output") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("reset") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("clk") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 50; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("key") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 2.757; + LEVEL 1 FOR 3.1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.9; + LEVEL 1 FOR 3.1; + } + LEVEL 0 FOR 4.296; + LEVEL 1 FOR 3.1; + LEVEL 0 FOR 6.747; + LEVEL 1 FOR 1.089; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 6.7; + LEVEL 1 FOR 3.3; + } + LEVEL 0 FOR 6.7; + LEVEL 1 FOR 3.489; + LEVEL 0 FOR 6.5; + LEVEL 1 FOR 3.5; + LEVEL 0 FOR 6.5; + LEVEL 1 FOR 6.997; + LEVEL 0 FOR 13.0; + LEVEL 1 FOR 2.225; + LEVEL 0 FOR 1.49; + LEVEL 1 FOR 1.633; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 1.7; + LEVEL 1 FOR 1.633; + } + LEVEL 0 FOR 0.211; + LEVEL 1 FOR 120.0; + LEVEL 0 FOR 1.157; + LEVEL 1 FOR 3.799; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 2.867; + LEVEL 1 FOR 3.799; + } + LEVEL 0 FOR 4.533; + LEVEL 1 FOR 9.8; + LEVEL 0 FOR 17.005; + LEVEL 1 FOR 9.0; + LEVEL 0 FOR 2.116; + LEVEL 1 FOR 3.666; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 3.0; + LEVEL 1 FOR 3.666; + } + LEVEL 0 FOR 4.244; + LEVEL 1 FOR 2.466; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 4.2; + LEVEL 1 FOR 2.466; + } + LEVEL 0 FOR 2.218; + LEVEL 1 FOR 640.0; + } +} + +TRANSITION_LIST("output") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("reset") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "clk"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "key"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "reset"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "output"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/YL_pulsar/db/YL_pulsar.(0).cnf.cdb b/YL_pulsar/db/YL_pulsar.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..98c693b665c309a3deae535e27ff1df907818ae7 GIT binary patch literal 845 zcmV-T1G4-P000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IN>00000007tl00000 z004ag00000002z`00000004Lal$6U(!$1s0lk)0<&p>SWg0h-lK`0B9id1zwy@>LrL}>F3IPy3nTte-aq1Yq_+dTQ4Tj(<5m0=r$@+( zl)4O(hH?)l3|Zh`L6DqFcipzRsju(un|3%TqeGHZAqP2;@le$z6FN+*(t5#CC`IE$ zhgJAg*=#I~nX05F7DKXRZrMDGIrxv6R7Mw9i172Klp_uS@!N6c%s-rZNvS1HjHI}Z z0(X|bip(r~R`GCr!B27t<3MQe`R_o3Plr*H5U+KUfLjJ#tX**E2#aJ&KQf=msZkCb zuByAx-){f_0RR7Z0d!G2O9Md=p36IGVu)5Em_kIh=Xb~3P?QFo zvMEjBRt(3L!gTE|c2EEqpnQ<%G@~hOnF7|`ic~jDO&29;S|zcfl~n1Ji>~mVGjq9Y zc8$pt1_mh)xj3JWvZX(s3;rL^5do@0J|5_++`uT{m(vYl7i~@VyNy#5w4M9*2Z-Ke zKKpwT-1 ziQ+(nQs|}LdU^WWIp?=b{In9IX>hym-Aj%e1N>ynk~Pczy%YY^C>=pgHafGMdAL7D zRT9*M@%mM7LG=-MWlETK;kZ3}?nIzdfM37R2*5dib|lrJBa}}700960(-3=8 literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.(0).cnf.hdb b/YL_pulsar/db/YL_pulsar.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..5edecda2dbd60735c5e2a12f48cfaebbf7bdd31a GIT binary patch literal 587 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRo&lK#1U^u@7)pCHLBuN@M-;X)u5&!-5W22T@#8^7$9Z+{K02fYsCaNPs|y$#axi#4o$X}p zpkyFj6WiP@dpGvtl}!d33|Ie%T5c9w62{drSEe~u`H`(nY_m-B99yNw%zv7l9@+lA z^T(0vz`CT=3k;jyyl4ND%6v)4;f?egx%cm0HGOd1u(^HpdgTDNM@nUFEDG8gZpJ4J zd6XP_79BRdXME(UxC$$qOv3m1W^*DL9~}xg?97p5rYvodb0p}VW@E@lX2TOc{^AJ{ Q6}uQ?`Gr`m#lhYO0N(24%>V!Z literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.(1).cnf.cdb b/YL_pulsar/db/YL_pulsar.(1).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..99ae3665e855f914439347e23131db2086d3df12 GIT binary patch literal 1659 zcmV->288($000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D&q00000008j>00000 z005B!00000004Ui00000004La)R^0f9Yqv|dnQH`6cUhtNWcVw*P6UQy^G9Mgn-){ zZ?a!iFXDv|6;DY#wf|RDf6eOJ-MxD!4(J-Xt9sSHj=gGi?HR{${9D&s!!Z1%F2MTJLR_QWed0t9CKnAtpOJw^OH>XK`Gl^Nc-T$-JMj|(sBJ23CYgEGT!^Wxac zdu@gWjKH|v{(NNps$`07|}^tRU$#H~o1+$=p}7)W^(_FPJm z=VdI;DV)61V)e2Po+|74RP7vp2~WNB`w{-B?&;J$Jye$AI^Km8i=vnAg8B~Hh5TmM!2|MLm{b3#z>+PjCI9|;2d zO}zu-kHGT@;B41`t^sM13Z2k=MjNZ_19i{pdWwqg-z&; z`c+G_{^0K zYJK>&cp;9+NzMN00960cmZ@#yGjE=6up~=@s&iPjUX6AEYuUezpl!U0+`uqyvH}a>I({eaMDtRnHy2E|BeY(QR zDBzc4mX!$A;5w>#C!93aMd$K)rBCwHmG;~?xOf+jZZsuERX88sjVqWQ0k2UB(;TxmegFUf F|NmbDIC}s9 literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.(1).cnf.hdb b/YL_pulsar/db/YL_pulsar.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d1fa8de373e8ce22d5a47212401e69d1081c6b69 GIT binary patch literal 521 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRE(e(g1d34F4N7w|LBuN?&gJ@O@}%-vG1Xj^@sIXqrtC86^}RmT&Sdqet$KN}Evwa&+RX|k zKEB=S+q>?-t+XEBuRC^IglTI&@X7x9>4AN^uVnh2FOA!k4;vm(T%)*4FY0h%Q=;D8 zyt3!s&%PhsWHZkW=-mJRD;Sf~6H+n~5)vZr&6vrxM53j^;>KP5d&lqJG(2)y{=^3! zK1NRi14ct*X7&j-%G*^LRFs&HC~Re1=XlT|bX}d|$AgNF^XlGxbVv(O@!({(7ce&D zVDNl8+sWEN$w0a$wz*mMZtTS?n+!AvjH_d=OmnRABU_u;W|`(Wwn~qg z|1>*2vi*DKk0aNCbxEwRXKqe AKL7v# literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.analyze_file.qmsg b/YL_pulsar/db/YL_pulsar.analyze_file.qmsg new file mode 100644 index 0000000..c644938 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.analyze_file.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559273027 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analyze Current File Quartus II 64-Bit " "Running Quartus II 64-Bit Analyze Current File" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559273027 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:27:52 2020 " "Processing started: Mon May 04 10:27:52 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559273027 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588559273027 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar --analyze_file=C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_pulsar -c YL_pulsar --analyze_file=C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_pulsar/YL_pulsar.tdf" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588559273027 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588559273692 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analyze Current File 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Analyze Current File was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4584 " "Peak virtual memory: 4584 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559273772 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:27:53 2020 " "Processing ended: Mon May 04 10:27:53 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559273772 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559273772 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559273772 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588559273772 ""} diff --git a/YL_pulsar/db/YL_pulsar.asm.qmsg b/YL_pulsar/db/YL_pulsar.asm.qmsg new file mode 100644 index 0000000..533e1d5 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.asm.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588559809760 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588559809761 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon May 04 10:36:49 2020 " "Processing started: Mon May 04 10:36:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588559809761 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1588559809761 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar " "Command: quartus_asm --read_settings_files=off --write_settings_files=off YL_pulsar -c YL_pulsar" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1588559809761 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1588559811032 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1588559811094 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4558 " "Peak virtual memory: 4558 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588559811908 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon May 04 10:36:51 2020 " "Processing ended: Mon May 04 10:36:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588559811908 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588559811908 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588559811908 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1588559811908 ""} diff --git a/YL_pulsar/db/YL_pulsar.asm.rdb b/YL_pulsar/db/YL_pulsar.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d4e481f596d6d81a3d30ee28d0d8ba816e23c7a3 GIT binary patch literal 1524 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gzg00000000~X00000 z0027y00000008s_00000004La>{eTEBQ+FGf#rS?Ado;v93%v-M4L%kN~w6*bdv72 z+mLRPtpqPCXX0sQcP?4m({1*J{|zkRJL6nt+N4#h3he`yJoY(bf9Kfe^RY*x(dZx4 zQmJ$owY0QUdIwESYZ-MzsaSWuEWHWMOHBIvT>T63pQF(q0Qne0rXbW#qe!UXc_5bW zpf0_J4<_>D(M<$EFjna^_oun z1->#}Z*~y=^F<66F5;Gs_GCrHgWZ%`ixau+#)}BTBgk7@bT!J#fq}u-Z z4oy4tJQ(oPkXEUg#MBf+ayF>qY_D%_|5%}_Q+4d{578WfBO3IeUqvLX`du_r`&RWi znocrU4dtl}sS%sN3@@MY7G~^@(<28u{Chmqddg6nqlwEaqv;_vZ)? zplieecL)uH5@CoX&kmJ{d(;Uc`7o8*a1S|}YOx51FQlSgs#v~YjSov}Y&qZmYG#Y) z2+xp`g9Hv6c3_To1;4+>fJq9;j4Fg4$)p7AJ`94$0gFExM>-XG*0XP%Wgd?v6>xmvfQwOAP;(9o{66H zf=Gn4%=8LZ;bkPu3bnEuYhtS}&v1pUWF)=T8kpvJD|uLLIHibQkIxOpp)h~OX*Jhf zhISE%m!z?wUZA8m%Sz0SM+1CN^8IfhAIVS(<{28LsVi~tBpDT~!XG2YjuZk>5WWWn z^LiCtXA3A2R`@uiB$Y}OTetS-<*Y6IYl&OrL6DNV8N=&5Z|i zj8_K|!xh=+7XVM$*p@0laF4M&pq~xyB&hln1j6dC$I1e{p#4U@;ZnO(J3KfC|3pML zfG_Yt+k!6(vZMLIJY}iKJO@>qUPTU zM|{^4|FNlJo&E&?0RR7Z0peg_WDsCrV2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%B$c z3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V@%~O;uFeoGoInMvU@bz1Ys^4eM4(z+ zeVtrgTwGnCsu|fB6o4|ZJ08Bu0@5&pAqEDA1bO<~#-P{IUTy{1@W6ZP(xg;aXArUDtxs7TU%@hWUC2~1(t;{i~QEWH0 zOUo1{(P|imt{by1VTOt>Q!z|4=Y8Ggot}0d=bS&zInNW%aE7~MapC5B4wsI^GlTUOZJwN z$ZAq@|LHy{dH>r^4w~|dvyVu*TA|R6zvf&BQP2$B;_Q1&^4oj3La%Wt#iL0jbC*BuoTgNf!!l{8Lk=ngpA767t z=fmPl=ybtEeBR)=kBDT=#f=Ga5;SG}+-q@(7xDFVnfVQqr8i9FALi~I>3J=$!pwJ~ zb~-OI%#WW;C5i-%-QDqR?04N+ej=esSQuUS!h3zI-vCi~!kp!^;@=Z_kxmEds z#$DtR`Y4keKXtjV!`vb?Q1FjmLn*#O=u*&e&NQ+%4q{Xi# zZFNP)1Xo?B)h%SXi*_=>i&f9V6bf*#QM(wI28EQvNlL)c9tXE`b>H03tM6aJX>Fir zKImrXjjQHTQ0MA&c01ly`Z3W%S6apS+(*RKbO#f7W^ijCC;67DvV2p5#`3RP3^z4| zf1iEeN(OUZ)-%rfi)wF{yb4=XR-Ko;8=kA09O99^4uMOt-Et{AL7|L7Qodfl+6-@t z-D-@8E-U6Mj*@w)F_zwxe!FJ{mK=IVfVBu$LpqY8mCQO#M60HO^UVy^J4;jUTvB8dR_F0t!K}Q+8{*YL z9O&)@OOt3=r8sIXr>{}51pW78Ii$iDR?;`ldp4QXHppUvo*f}@&DRYd|Db2Du8f+^ zs(Xly(`9N-Nd6i*Apr9rjJ_5P(0gw}-@LB^&Ui`i_tU|)9{SlMq-&fK-w zQUi7wi2zCuV67Meq!bga*+j;g7t{|IaH;pKk`ek$H6M@^HDy3*URz){<+N8V(-*1L zcDkWWG13qLl-^WFJ|A+UHFw+g&G*xr2m<$p&w@kZac|K8lAU7HiuM`Kkw`#*Ru-KT=E{gB;-hyoZ#2 z`g~7Z+q{1%Bm`H>HrZ z&B^bmYL;0tVyORVEwmXrhY>2VD?_a>Q>4}A0DBS75mNyxnnDq6SNbXZj9uay1I0|4*+zzQW0Paty;73p3R^Lf#9Rpb@)n9k5JHI-PR0WEy<1Wu6eR}h#^9R zxaGl6xLAloyCN$JBt>zqplJ>xx}Z|n{ob&1&oSM8kQs?Q2++Qb?fhxpe7pnb8IBz@ zM3^6_=$qEoS-Uku>hB1hA-^hx`qu2wJpeMJ=~>8)-W!DWhyh!jXL)TXQ9`HxDkPiU zHV~Plc`jhRB3HKTZ}@!fNAKnu)rfhRjUV$Flq;fmAHN6nSo#Nd1GI2|t3ALFv*LdT zR7frd0)xX7RJWN#GS4K90Q8JZXS+KR?)WsQNk}xPGs8pF&hIqYwLr=5d7*@`WFimn0s^*G3kO;Bt2 zxU_~O24s)RSncVBhxEl52tRHkizbA^;zOc|H~bJrLWD(_M)XD#_64y(u>k||=X^AJ zs=T#d+!H=WYla4FhwNhJ$wJaNkZ!ID6&r`YO|`2L z7p&4>i~~gP#a{ovYaEE6W%ZpK5;(jV&J}`J_`Fg+Cqwu==I23Jg_wuO$(C@^7Ja1TD&lxjgxmUR4ED!2T zG%aMqK6x{+Ur``4YV3sEC|cDlObp0wM$r?(!b2)~#Q`mAtt9v>`qJm72uWk$&rtIb z^Y9oZ68U!FD*VM5h{y2%8DkJHa^u+4)9K}1HgU1md(mf$+E=C&PfsXwYn`=bfPrAG zo8Rhy!xXe9hDHcA;+~jZPXWVHIxtJT?N%IxqB&Z|B27prqmb9=;m2f+K_q{&Vw&8@ zjfqxa4-5*t{B42#Rc`*Gf=|!h9tbRqA>5zd zs1@(OEsJ^7S4SJ?fEy0bmGVcEKS<6j+!G%TTGtO7%=x65p{iq^EF?DyUd6~0;sNf+ zgL>lKRbMs=Up5N=w;Kh%NJdIZB#M&u_s0ch<8Zh=ZwQI%+5XFA{hM*UQQF(eqx4zX pQLZ*-@!6ZcQqeGyVoYdik!;g{gs0}@%O^EyTzRT7{h5YH^iRFIWu^cC literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.cbx.xml b/YL_pulsar/db/YL_pulsar.cbx.xml new file mode 100644 index 0000000..b262802 --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/YL_pulsar/db/YL_pulsar.cmp.bpm b/YL_pulsar/db/YL_pulsar.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..e2d25a4d4084cb9153c69cd5270f9f5c0ab13115 GIT binary patch literal 560 zcmV-00?+*s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FS?00000006WB00000 z005c*00000001xo00000004Lav{F4!!!QsH9|8#p!NST=G6P8{se(&YZcX3r!)p3#}9}s`SKIreDBhKJ`4}gmz>bMRz zq2WpYE1tr4=-K6ik`?io{{)bx{O)FaJL5f6A~PTc&k~tFF_a;)Jz@{R*Ufux3k%d} z$R50Oo|S&3ESniQcIsI&AIkiNl~%LKbTaCvDSMpqqSRhErcCDKTACm<&*v<&>q2Rn z^Fp|wg0jZ9<|}7yXcameO%P7dOrpyne+gI#m-D%{+0#&z!Sbav(un}Yl<`4>u3|2xz^hlaNgK0Zo0FNB0b(}1McZEeH{aNW5gSaFTa}TH*cAU z?52B#Y*==e*7a>Q@Xd_G1)%M+wtNBr0RR7Z0b*ugWSGFfz@U;kEeuF8CD@Y{+6A&{qd;pUFgBPy`iSPk2NOOQ= yP>5%Ur@tRakbxD5nHU^^Vh(0?9LQ0x&keq^?qNo7^8sIGo1OY`AROA(b@<0Vekf@zcXgJi%N7NePtpi>W^JkWwf+`?e~UimCgiuJyps|PO;ok{OFvWU#2_u6S6Qq(px8yVwYGit z597PtxI05<2Bh6T_z&0Y)7463xfBTD;sos43v zS-ETdm#)35MBs-;Sq$9GOlw`c7oaUZn{blVJ59*Br(@p_FFBYb$AIm1oTv7TbOTQq zB`|6v5fJ}o&!D}CMwaV*o#E%~ZmlCi{g(1h&b{pseT45Fxvd<`PytQ}kFQIMoqH9Q z$%W)vSSZBZ&Fz88btl1+RRO`CFDDGKJa-7mzngec7L*0n2pYJ-9+dNojMNM}LIYZpq|F&ZM6JsEUs%{+BDVo3GN-INId{5_2ks-yQETcnR*xHW0Y z!*sTkhVV8ott#c*D|&@L$fZaAmDYj(m~Yi#6JKt3TFS*-{I@$vGq|CuE@wkF4Zs}2 zt`$TFXLC)Yl=pk61Uns?f2<^WYy``pho2HYmj5u z2U;-$#{+g{4*|^%xo_RF8xV(Hs=K2t=xywByDnRx3Z}|ovx-xvZc%pckZ?Zw$dGLM zeso54T}jBf=62sO9%Dt>fZ8n~@|!oA;sqM!GtDQ7MEt1cqKNskQS6Oqp61yvn`>Ov z$GEWa8JpPFYFdUX9@RJouRb8ox6hj^deGC;+D0F!#|bL#lVZBYG<0oiQA-@L^(XED zrMmu_{Q?s@{dxN`S}S$Ne_I`vSCSil=pi4~@uiX!ev-yfTU>uobtgyQ2lvLAsfhBd zlAo*MkEs&7qXGe+gK;N}24BVF=8a9VtldJ>!Qpx`LoAg4AVQeLx^cF=!wvmHvz?XO z_oWxQ!WyrmzdyzyP}-xmzXwLsV?^o!^W8eO!92KJ+L64)3Cj`R4DtQm8B23~JmYbF z*DE`ufS(|2?nz&h!%+^7=vlwERiile#Vf)+)#vUkrhx}@7X z`E3knF5(%n(&Dm^BN3hg=<0YE_`XU7;1&aDOCzR%7%D;$;cQ;nv#X}xBLS+IRV}Oj zY&0`1W|uBUV=JAP_0Sj$HorU~Aj`b#O>zfWSCGJ|Uvx zGda2LML(p|uCG-(rxxle38UDIz`lVsXL|-Cvn<%{;Zrklz;)VW&HZ>47;1-c>z~%% zoBc!Se_~$8MY*ae}vof<9ak z-of;GT?K~%hZ-`;qakiU^Mv-Cy*Bs0q0)ywQ#?x^ZM0a=z1WxdlQ7f9A)>s&#lC!8 zKe7ThUREOC^MxQl5un`%TFn< zNS@Ixq0oi1d1RLZ!Z5p4kT6qCVY_<%#55bCZc*as!>D_i@!BZy(laPW90I z4Ffmzmyhz#Dg)EKK(q%SiJU6?7H+?~fa$AIcWji9d3c_eEzmNJj=Aq7%$~pi5i~mb zinv=4K1@{Vm>YEbGu2ZGo0?L2DsasB*<2V%p)9!oRKF1<;q>?<&Zp2_^?+-dn_d@| zCtvJXQ^T4LB{*X7vfGyGrhXLOCCzjA>ss0C^LPsh{>P3hF9OY)9js5DGlesotSbg| z=QKfGwmb0%+*XNtaoN=FWcoxsYq_hEZJlLgeD05r<#2F6TSo!K~p7?@TSGVy$aT5W85VFd8#PLI(I z6{nEvt}AiK43A58+syhhigKegwGU_x`-q--3O^-u2=GWrtR#2SLd|u9`@5XQ_Bu>> z7Pv>P?!2;N-JBiMU?bs!#fA8GtU(GK(dfoQ)RLpZEhiAg-aJ!)0n?_OCyj3Syb8%w zf!_BGfL9?_F!*+dwHt}a_&=|ytszpg33k}QeWw1UPJ!9&xAz!eG%fh^#kT$5=#>dd ze;wS-L~<3x&~ezOMn=VA_Wa59JB4ttZu6&U6g7BX__qi82+{NN5vJhmrc(s6if#xY z{Z?`x)OY(haWMXPhxV@h49H!i$+so7r+&MNkXJO%JUxV&%tvRQ+gm*3v*C%E0=U+% zF!9PKbq*D`?aK;pC4O5D+#wT#T3Vq(`XUBMTW;;iXGT1RRo2ql$#X@bbsS&$_rUrq z!~CaEYgL~|>?!!`+`pXgK^W-za$rp7XJzD7r(Fo(bK zx-N}+BW$%)96?@a2${zDaZ_L`dl9xBDCbSE8=~agMG?2ArjMb({axrnP z#MaPDLj-b5wDp_WBTuBT^4Ior)Y$udE*`hNPI5jW_eNMhf!@hNFq48$OjRfFw`&?6 za1)GWSI(i#@-)*GI&B4W&o>O%eUXOLYTSZB?oJMhe*N26X}l#xINPdS*V=AcA9s(} zg6ncPSA=qf{@B6la&Q0~+?+l{n$R}}zHD6HkwXZzypvo!t+~>c3CJ7N^Fq>=$ie(NL#r8h3GZm zej+2sx$&zrTrVe*?0hAlV=GN5rZvpEh1FM4+DPi`^N1_TWf8tm_Ukt`ov*2Jrtmo2 z?cLo9dQd(;qjTkjH0%HDHyo=mIhNvNzuGZ=I< zQRIL>{e2~e-H+xLtdQSb$Y$S{Ap>53?8PG-KrSPP(mZiBp*{7t8+}*b=pCnMvv8<@ zk^1L?XYx7J6mJo6(R&X`x;(OId715I@z!PcClaiKmR3658$o9-xvJ0UhYKAP z2vi(>2#phyWxwguvwxf_Qta{L>{_4uUr0TLSnxl#z6lj^7~&m?T=#23=1I3vV_LP- zjU6+VbSZZw5p;~@iE*nY7CD{7zAk1zJZf<>dV#v&a~$^Xz_#SGGkJGnPk&7&^M3%p C!Fh!M literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.cmp.hdb b/YL_pulsar/db/YL_pulsar.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..250424b11959fd00730eeb441e1718e7bb92ea4a GIT binary patch literal 10002 zcmZvCbxa(7X>VUfibcb6h9THLj`Ebi{^x-3%M-QC?OR-ibv1xj&uD{im9_wwa? zf4rIGoSC_~=T0UgxygXw;NWV};s2rAzbgKZwJcoSY@8e^Il0;2vvX34d)U~UQ*v>0 zQNI7k!O6wJ^`4WPQr*JU)5gq#^0SGV9VI8Fx;&+}g(;A{C z9?s8)#|>J2`K)R(^3wNn^+ovZGa)`=qB0RfxWG;;CEO!=3pc0 zC`&~U(^h%_vLm@4bow;m2_UF{h5~-spxf|10T%bdmV^>^S-*jZdko>M3C$lR!1bt= zI~#)-nsBDBURGF~8N?@f&C2`StY6!0%&~ zza5(-xDVY>mXnytU(tq!_+DWs-Ve}a&}OKNgVpD*?V_@XApIucvR5O)&%m*Ulu?{L z%I9}JLBj(&0(r>vhsK}svs7kEim$;8KUYV6a9V?E|89Q@Q@TLBBF~Qq+aW4ydDed; zW!rAm%`109-ys-dOMpFn)N{h!|dPcCDfU}fzJxDxSv1=%~oil zs^hFP3q-`7T?P;F6RUB~hAP18<%4S0@L452$q3wN z;AqxPqgh6)`Q5`v?37`%Y)DGZnK0bL-|;9-BIc=WqsVFYdf5~$)bnC0O;YkAQ>?J2 z>U)Bg#;KpKETnaz8%_3Cg$^o@9ulbWXO1WWD14Zw=D)wM)C?&lUIc3w zQpHnR`)K5Pf1=3LKi^r^$(o$0mjQ3PmZh#f#4x$%diSd9k?ku5O0_nRts9jz>3`eQ zGwD<7^s8{AvupT7ROEX67~7nd?Vuo{`}fBW>CsCy+Tt(;bmdjf)~R+n2tTUf)5(de zpPG&!We?ZCAm0o#HO?b?b8B13Z!QFto7g-~GuQ$@X#VTdp0WtY6fjy4Hp!;LldJer*QLthN`cneB=Sga%1ko##MN@R z)ZsN#u{9I1q!Xd$G_hYC#%U`DEt51&xaE#bj;j(1+tBQlxU>X|9nFEO%5TH>vJWSP zN)p8{+Y(_Gr`d%jV8dauvo2z@vn-L~ANr1`)=XX=o_DU4QGY-NTa%(QEAu}V<~!+X z6WuYedMi5_NQj$htrS*{((>?IvsIRU=uc|J3<>%!5s=!A%0%`W>GK4Mcn+nD7W~Oammm`)Qe!EAd_^bDmU-Lu;0o=sxc;y0NquWiFvDWY^WttKiuH z=S}(K1`?OtmF;@M;ra8!;?ElWwT_-nv3-)piND>m`IqzGXh(lp_wR4-1|mKT>=HG6 ze#UIxpFNlcE1r*8+PX6Q;EKEmBTUfKoeIMFv5&$2yQGIx%?KYB^?^y7-~eZ?%f0@^ zLuqzzNL0&*XIkpheEfVLev6z6QaY}@fmNN^_o1Ir6^iXN@#>WLJtK|FNu|rCk=X;u z$=Xv3zl7zk0#Q8pm4112?(jgaYz3rZ{_uwKt|%guB-{7n~9}p}-7c}tol@+aQ zleJm^&=#GyKKLHKzttOoI8m8b-;ejzF**{npTy2k{)XXT{^4Q>ioq@aVzqf7zGX-* z>t--+#3zoRW7<)3LB7$x)$tCc+-%L1-W16t@t!%_`@IsZ9Tue{_TDY~H=1kumT?w0 za<8|p*kxXTh~wVfzrLNF?cBTzBac&3Pa{{ynr?2}1dSRAS3cny8-GC+9n*U0eL<5Th@W@R3kunE+|V>9Bk3#x@xT;+mK_kUmJb zc|o5mN%^T2)?8*?V9WzYlERXIZ#4;ua)Q*M4zQn@?U(u%D6bl1_2@i%^c%}YosQ?TWHXnEu4X?!pW#bpd zKX2k#fv%W1u*lG4d3b7SDJmRlDu?>Bp!f`RB-ZvihTQI3O^+5|JTL${wOA;>X%xv< zoueX66u>h*%J7SJ={LN;7FkKEY9U&Sd<`{afd!406)qK?4VDH|#!3dc+Mx16kZ}AHZONlSk(I%*s&Jk0S0tUl*u!35@!8np$qNl|S9G z%>Rfa&NFb}8$Po)sZh;&ZfjcJ%1@o=x z`L?0eMXRsvF@>pi@|R`vz~V<`wX(ys<(=H9({crFhrA@Mv~NxV4yk2+X`YjznROIX znvn7bm8^gu;V*tNSplU@%Z@5n*8#^lm0t8h3uO-mdb(LtWp>9rSqrIjUo7=>^#n^& zOXqSNGwYvdVrJ9Wv(!;sl(qtAywH_f@>GIZyiI%qU$>aA_epYXcZ1@Nv+C-*y|fO? zSs&J-?GV=dWT>cu+D^#}85hqDZ=kA$9b+r0VT z_r&jbvaaRs$i&ikAT#AnJdpC6!x#wv zt6WBR$+$19Q+a*)2HDolJQRNtCkTphXD$0y^~^q6@Si$dkM#KO-4+Hd`^Vml)eYC;c*bolTLnx%mtb2ZL60C z{t3J`c}{wkU`~IwD%-tY4dnjmRCnCJcFJ&=pMLzHeRbbbykb@MqC!kzbiT{odM;is zvhdnGdy{{DrSRg`H^DK^@Q`2frZ_?OP~s~0y4dxqxSPB)GqLaaB4gVhVJN)#O0#TL z_b`+4YRA?;98~sCBwj;=nWCS-WBub>H{5+g3*kLY>_o~PETkhE7}ypvU^ucO;y`T* z*0(Z2wI0TPPC}9hhRONnVO3DB;Y`6aH`@h?5Q;br%m;V|=jer|$`6+pG!H4!tPYq% zjK4;J8e@;D5x=t`aY89+?oaCJiFI-r#ius12t(T3n0>!Wkz{g(@4_ss4a%r8UU3xg z_3x?i!>TdM!ZwhZXc4MWq~qkOxbI!?p#|RyUp?q(J}YZyCdZ2MPwl#AlZr_oxqkMK zz$|ix(suzg-Vrm;(v|7+m_6y(6NfHWBj$cS-s)`+{ne^HcZ=E*knf7zg%JPQSwcH2 zrfgvTJ#`i+nZ`If_ghab4kKYVZXd|g7!^CD?RWSyBV!m@VYNNQjRpS+4D4N)TcH)S zMoqe!5>jHX+*S$xGaFIon(@7r#jB>Sy!t}I5LhRWff-6tlF)$rMX4^7!`_B{;9g9C zUbRdD?8$yt=?ib_!b8)LVOcGbN~|%reuc*Mchoy9_A7bTwA2;cU3)(HA!~i*hdV+n8u&r#>yMN)D8F*B8=KTGEjAsB<+#rrr!@)Z-QgL z|T~~8+e&z&L~2ICZQpF!=;uI4G-cpdmT;2jfYVCof$U${kxPQrjA_FLRLKUF#^8; zDswN{w$ZyUKC|h?+@(9r&egg$$ZZ@3?p;L;-~Th|U^ViUPEB?}!t=xMphFpLe#SX^CnK%_w{!niTAIfK2CNx^=E@gtwFlWit)SDd8c?!Amm zm4?nm z3Q`^W4ZCdQJGQR$@np=EOsFSQlUFNnEh0_BuPHqfwt+I|;p`FWg5PDme^;fH3_Vlj zE-dt)PX3x(M(Vn9)Vi^#r}oJ#JBqZa4IRa$eDfsrmzBzf7)xr=wvIjP4{|(>&2=AB zRIYn3IdCZO6jKaJKr?pn8ko*Bs(R#jGxWr?ycrL-l!FwYipO1M-I}D_s z+|2zttLA#F1>198r$T!SRNy?&b~3&&2zP3KzfGV^?X;($SU47IDXE@3lnPO@kh2K4 z=gfr0Ca}@37n`#;u{SAMh@^VxTD1Ifhn&^u7ErB7v>n=0n#W8br{_TTxtaw8CJD3K zX2hKmJalPX=lo_--7(xh*fCxi7;s=OcaFI}mr@x>sm&l05d=bSN}k6Y5}riQ0G(Jn z!vEb^xPe#Z(eaXrtAvpM|2*`oc)RG+7A}FHpj)k*^G5h2(C>!iiI-Zq!-)fyTc zG_SmlS3()1yL!C^MGG>NP62NR#y-a0=BJ$uH!895DKq51d3b({2=nNy{U^WqAm`w} zz2}sP-Zv?;bd>voyS`T>%cA1oz}1vnD-X9v1_vBxbK<#c%dp;`3U+>Iq)M^VCyh~? zKhW=-AH$&Ig%c-kE!1y!^+1=eRJM|#!>SYvQ0J@%jL7Nh6Fi!5Q)+Zn*0-zg`!tH} z388}lZ!V%2BFr%%_vo2pi3uO%^uxZZa_u;9?O20dft2siI`MU<#c&FAaMv^&-#Q6k zG5+{a5Q8e`Wd9t(94&VIIQDHQVg!(y@Vg{CL6klT>Q!6p6-+#XQ>U`PqP}Dj^lMO{HRVxKDwL-FdS_z;h`^-N8 zgHv;MzrSZQKt~^CJj8e$8)mtL_KOK%Vn;aOD^Ah*Q}0^E9T~2jbxGkjcIrT8>Q?}2 z7Nq~+bY;*Z=S@xGISAov|KR-G^6J_TE$cJ;bLQ3$H)$tBC+Zbc_gneqW7okY*$+sR zjK24A8!Q{SgoPT|JMWQfj)fK~h~&vJWw+P{M9JWR=i7aWu?V9sEZRsTtffJ0stLbK zKcxC@{z&B7VHCYsZ=C0oo`cDox~E;kZR!{O&^@p{GWe-(ePqqlk}e>B8gLr1R!1Ph zOPd9`%u@ZK?D*+a+l&ANjNaxlr_PKo9DNX#o$?A9-iTLVFxiwip_PS2W z{DGYvA;B`%#d9hlaVKr)v(3uW4x33itx z{a-oJ4kc#mu(Gy~*ZbmOS=;_q#1|HD+x-EoKB%RGI#&%qA4#YXvjllERZs#|{6vBk zoZQ_4Qzj}CYT60_J8(JXR8L3u2&1*w)p za_uYX_fr~)+f=G22<10fFH}m>!mb!4htj+h(9vgfHi^3-^RZ2?9aOMd0+oXK*ngG_ zeDx9R+5+U4hV~dhNm(S~0ElnJSecHcU~N4Zk@A9Vhz5+0hC2V!Jbb{C8f-G=n<<4I zHnt9-L{8=S57fgA!WZ=}sU2~*xA4V@9Y`GfgcG>gVBqyT~P;Sk=; zu%@yQwjdT`@fKq$Y}oCChfL_hpC2fJeSdniA?+=%2l7+SM;ojK5^zO6G%6tEQi+fa z?KlB%8EY0|y22fkV6h7xbaJ*U0crXxPw*9{D5J}HR-Kxg`u*in!h^SJPAQtIwvz@r zoeDPSgD9#ezbdV3r{WG8Qe~q^!!riu^XzZ=8gPmN+0^RDt*MNYI%N5l^-#Oq{8w!p8X%82?^ZK(nyAyScTyl5EDWHlj|=Tk2=6h99A=%hs=jnDU{rvo!g4 zYS7>1C7ZGG=g92ip~%BX;Uwo>S-!sV)iDuyre5P~%UM<8`DiV7{&Rm=k{#=!-D6~b z*D~s+$dPOO7{j|7+AU=P4}(U^{t63c-egDwz2cFGLq>)A-;Ju zpRb0OBk~5S2;#!3q*L!SASOz!_-hb{h&xTl=1YZhu+ya)3dY)sfdDzc&}q*01j~GD zQ!8ykI3qD~j$l_&2#Cd3{TuBC9Kq!c)R-`1V>a(OC9?ljoHpA#^DjPn9=dF3KZ!q7 zYFHYbBy5a6am-fM1!!BKBJ-)n*|O40b92&k;V^<-F+cA7n2RwoDIY%3-9eaznjM9D zybigT#eB?YJYi-cfnz+u%3{ndcq8a9|4^;<-yX#sBvHPJ0fVBYRg--C9#qnNRy#Yi z)9Syx`YbsbM|1@nIJc$W(&4|pRZK$n`$A0%bKB@}IZJ%-Q59`+Q&FWo8TpZb9~hui z8VAJM3E!f*5sgxy++%FWm^Hc3_cDM+b!mA)K;)avOr+E2N$Qy*$A}en0&1vbw_el% zg^(v8^fr!-pIF1Q-GsS`&(Hj84->$02AI*yWqdmwygUl!jP2oguj_B!&yyqO^v088 zat)YwhOVvyNnb~W#*H5cQWhL&^31U}2|JQtLMrU4 zX6opKuQhxrhhIyrlmqOva$0)MO1iSd3wv#p3N0V<36E@#1_RWz#S}l_D0H4(^F z;E|LA^NCtS^>?Zp$T@3;+&A|U=Ps{|m;8}yG2rML)!m642F3J_7t>_uzwxR#pKF?C zh@R!~`D^&BLN^O9&i%_Zssf1QgwtFGs#4tpr zy`p3~O`x(^k)Swm?3!yw3Vf9WTt=4l1-K@=afz!Gbnfz3z9f(#9+z<{nS-!t)$H0= zOk3+&Ep?R4$|Zv#y3@ zLnfKHGQ9vve`K>jBK`?+)=A4bXsD@m^^NUn&D83I4-s1Ep&e2wrHs^C(J(E_5(4HZ z4P{(VIe@AoC|kC~fPS7zLcl^c9mp>Mbq7!{x1nUX#~-JHYtyK#qW#xssDHs=ci%&M zRK$Ki){vC0VWBdp-Gl2(>%j`YMjVxSafeXMT`5wiEWoa5z(bVqVrok_C9~hStHI0mktBiv6-P2;xina}&ufEwBpkt~)bDaasaN{<VX+u!gN(d?>z_8b%Uz*0RR`D=t-ZE2+doY*Zr4 z8c%R=jKzd=OP7EZ1B;OwmXRDL0J&ts?pt8@v9Nnq?D?t9D_{nTq;ft$yyM1@3FqP8 z1ygS^rb(S&KQ7tFBvF@6wVp=BJC;C#Pir9_$V++Xbx$$jA4n~6Kf1y?Ra1U?_nWFK zTbNQJ@EvTY^R4{7joXHi#n)%ODBRZi>RpeVNI1EM`b)g42I`~>(c*ETBJ-%1o-i47c9B9WF*o|S<&@}|*#Oqck*zX39{XtR`7bJ>3BLLme(f~{QQNlNJ*4ssa z&Cg#EIKy8Gfe(qmheqJTJIX^(LF|!ts6)&sbTq&(Sjy5wJl}$`S^ea=Kw(p(=G5tW zlu*X}=6`R`n{fycn>TBVoZ6UiOSwf44-pv>DuuJQ*_{={$__kHut{MKjFSOO+|0uuiFYC)k>0jCK|RcIegtj z$pMoz!~t={z_cZYKT;xQzrejDt!)3qXbbi$pED9~kIIM7nvGdSd!-nN?~ja+i;Yd^ zy^D`?B3gexXaGO37&H0wQv`l0q`SPizPnQvPgrU*;2dT{_6lZvC2Oc_E3-V0DB>~{ zV=}`MYKOD_%=5~{Ob4_5Gu4L>Zk$#BJs}7_{CKIA;bTrmPgx%oZKO-&OBUnIV#vnF z$g`qy#r^PmPYMc6$+33xdJ>vM<^pzN|#J<4*LcB=|l3v9|g$$)w19 zWzOY4mv=3Wf7PP?{&^5$$mWathH%2%qoF0-THE~aw?m|mB0@Uiz5|3GyhzG>zcZ_P zvJ1JY6m$#S93-huszAapl|lr3@A8mC%ov>u?MGmPH1`uLBymu#h5(GglJ+_WBK8 z(Cp#@+!(%l?`}4w{S@AR^D(HtL1#-StY3BJ32njIBK??Jar<@K74?_Rw3bJf7kcjA zX^f3~#CWd;@m--T$360d>GU;52Ijo(-!>&4s&|AE)v>jxYvxSTXP z*y7y$Sr(|Epch*k;5p3l=~5geR>ydw^`$2>aNMJt<8={W8!vUiIi(Gh^C>Z#PpV6{ zF4;{a_u%p=*(|oe8k7mFJhEGElL5ZnnXi%e?2*JD&oMCJt_;RrzP{9~>|7HVN^IP; zCvx?aXJ@`yRIv-!?g#3XUefjbcqAtLDd;AD?qyeWm&P_PWlUl!6=!1pIXcF|X18ndFXXkX{JQksIifV*={(Hs z)0JZKWpaI?|H=wEe}Cq~#v1?*?(NMRzC;^hk1s`(hZN0r=i2WlDupJ6#vEa|+-x<& z51BUnvl^CyqFt)56pa`~Czgm!ON1*%B_4~6%ce9-h)<_l{0@zF7?zHLfhA;p5t^QH zZwy!bc6UCV=LoTWmpo}dGs(ODe3vOuqz43v+do)55&i{z_;H=-p0H3W+8%A0z*fgLo-elveQ`YxPj4OO58kbAh5_v$ z1K}C=t}Jr%FN1Khg!HoMcQRGWWoD4B-8&9PrO?i^uF0x>F#iN8_I?qfl|z0`RT_o{ zuQsCDM!Ue{7yB-6xbTa_a=Shg$ctS-w@wvm<6%#CayTw7^*ah4G_aBfcSxn4t+H1m zJ+dkVjlhB1&B}<#JUeHufU^2S!`Dl|P!V7AST^(!&-#P?U9{$3nFbGnH&O)}Pu(gx zJ{CI^OLigniMyys8=((&u+t~qDcR|TkYgE zFQZsA?HSb>GE>nPt*I&Pp*sJOJDj&VXVTFBWy zuv_lBaj+Ll?I>I#_#|kA5H9@1MU*yZK(@PTg_y5OHM-VU`csSVX!a5(^Y21@dk3k4 zWjd!d`ssz=d!OQi<$g>bZzmS3dZ`$#7nrPd61eTwq+K z*pQ5f*opHrt?;;WhI1X_JTM*MB;GpZXTXNoBYnPu7($Qf| zkH-#MkwQ(jz{Km_8jHv-R%($fG-0D3NQKenJMAPzTyl95T<@g=;iQq0w?r|ml$$KQtd&TwgJbxqm{pXiUR!kNQFRTv8`GN6`k)Jk{-O4HE1)>vo z336bS39mA?n#{RgHCz4iGd(ei(q3YOXi&>jOBm&Ce5G)opfq~B-1IzE&pvrSon0P> z&-J!aOuT}1bi^SWioo_|7C^Q`_A?TGQ0p6UUH|=ScY*;y>pZ!1h`%u8K5_HB#n_hA zBMueM)Mp&(g~GSzjAXBsr-!JOnb9Y9r=}BSllHmf=?{^vG`E@rBA1LZOzSLGl>wFR z;hv0u>I?>YpBl^DMFwOc%&q)GW=5GhY<^;zb@mmuUq`ecOJsu;W{3?k_5|`tu&SlB zD1q^)=3_hjo3a4<^r6LF8rJ@+nmo$Ew=6~;bMIViVm_)EQ+VpGm~75Jxbr_r|ALVN zvF#dU=PGiZUjdK9u97p!9R~>fx};@|TF(vGO|b>jA1M9D7{TnT<(_XyPch$jst9pS zTyXkPzsG-iVh9{J)r(I3S}r>q!0PJrEAw$!_*BTUq*p?UrY6ZEV1=3D6i)gve;trl z*QF<{Q<(mJ-r17pmqx%lEP2g)hjh8HU`X6lV|x|Bh_-o!$VReP%U71q1Gd4pF1l5o zpRsQA?`3}+_Gt(7!Y3Cdx{B%D{4LWs{E%Vy05w=2GOt-kcO<#~;=;Ki8k~^`l{L>`J6NYWFGr42% zl=tT|yvPKk?c5-*DfHCic2UBv!HD2*->iH{bJ@1&l)WgfreZUj(T5twkp}zQ^GI^- zfvKkf;tUGMhnz}%_bd*9H|iM|tZc)$*X$|8zq0BNE~IOgpK}%+*zeCaS7r65Cxc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Hs+00000000>T00000 z001BY000000040X00000004La?3PVPR8bVi-;8OcW#3Ij#Vf&0(Tv%L3)8+R2C}4& zom7(!GNd!&Op#z9w1}Wp5V$M|f)FaDR#8%mz@#yXpxQ+cEnMiT{FvAI&3pHGn>4K+ z5!8kI{{QFn^w=&v7{Vd`+LL>BSmfpmBYzKEF_#Q>5{w z8egXIIqUykUfCp43aOn!ok%Ju5pm~_#{5D>1f0!HXR%B(TK|&5F3b%Jn&5(_=e*LWIf1w zZ0I05h)ykh9H2Jo$CA-jbAMCfRHVr~5^IbL)V3y?6RB7tzR?UHGLxxDydl!skThFj zakHfV@_1P@0WWuZ7pw3_=O$&~2|dzupUr_FG@2?s*~5=g%jPe0vImumM5nEkuEv{%bD`8&s4W~7?2=zf8MB79JVy-!v@fNQ z^@#cQ5biVjET6Fz)pk|edA#CI7#o#H)F6~HscP(@G)sMmth ze-_F8gwb1e&8mK$UAx})P$lr#;Zh&1HdiEc+$q6zwdzllfKvSKcJ0l5`<$W#&o0Tf zE50iM?}zEyyE6Z-mh=weUgpum>E>d`=QaOM`v;Knx()7=T4#k^gF8#*=nB6?I;tfJ z{kS<^PG*$57ySn^!SWs-s5Hik8%9SjxmZ?4u9G9@W<90B^^&{7HF&Yly21^iz8fE{ zx3z=m(%XweAOT)}=q^)%dWO^ET~%XNbz7mkw@`_)%6+Lho3{6v^V(2T*nxXz{_U)9 zo$Itl+A9YNE<6c>l&JmH%`){aE6RH}!&+-+%Bzxkl^mOL&$SoHU48Vr{OH`uvB37h zbfBg*^r`zz_LQO%j5ZYL8s(JMouB-a?hf0h)G+!dK5kwl*EbvC#!81j z_)t-mn_T6I-d=1p)~HOYDGB3=Et=%#NZ(0w{v1B`H5Y$BvPW!2l?G-LdXJ$Z!>?N*{lJ@6dqw5Jx8; z*Z2@u-vA%S5LX*_SHJimSLYBrMpm${i#rOqLApxt>WX*C%*!s#jV~@qv9d}^EY3^@ zGK(_v(ruD65{q_@U|$1~M`-OaKZBw&b^g6i6W{06Nq) zJ|M_53>daxBS8v)7Bet#F@W5;J^HgTNJI#TL6&>^g}4U!Ir<>ff@A>z00960JCu*% literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.cmp.kpt b/YL_pulsar/db/YL_pulsar.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..c682188aaac3924fca747cd3cc9df3343f32eba7 GIT binary patch literal 222 zcmV<403rXZ4*>uG0001ZoTZULYr;Sfh41+lkG-2@lj6zbAoO7I&>~cN3Trar60_OP zZUmt}-q;1DR6+1MZ@%vh%&fJ(YBn&cqe6TwD?99+;<)atz&Se*JKdW?$XE(|4~qTZ zuG5b&z+!>v%Ve%SK0^eV$7w7vAN0OKmS;$(iOiBLl{r?#2VE21Roy~j^@cTV@Y-lU zlA?@&Sxd*t_S$$?Ir8vFJKo2%B_>moz)lm7i7muW0FuANeTipfTxfdMvhBH}=0VMc YQ0MO8`VZdNDx=Z&`=&BF0bRn9>HoTMIsgCw literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.cmp.logdb b/YL_pulsar/db/YL_pulsar.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/YL_pulsar/db/YL_pulsar.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/YL_pulsar/db/YL_pulsar.cmp.rdb b/YL_pulsar/db/YL_pulsar.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..fd785d430eab5d13b901b3c54113dd08d81a2a14 GIT binary patch literal 19345 zcmeEsV|Q*r)8>h7+qP}nIk9crv2EM7?VQ-QlXGI*nmq6GVP^ipe3-RY?OxrhH>#@Z z>Z`j8>DRAcH84Owcjuo=_@`7ib#k_}wdHYHRvG`1#WB27`FsmL+fY)jx!8s7udQflbQF8mrte~DtjruEbkb3H>uyB2B zYGQIciDLHk&P09rIM46vb$5PuVPPR}Zg-(bLPozR&uR|mW&3Fk;q7b)2lVd^2G!$x z3&B#nlwO=~j**`X+eh%%m;TwcJ>{3*>vi2JhF|zM!PoobtiZSV(!V-oG?(kjZ8eGh zxoh`EUmV|uG(Q9rI6L9*Gtcr|RRP74?d=68kDBIM>g@uIRy8)r2vxbq{ndU-)uJ(# ze$$ald$F^DsE?{%Y;YkC&&tmJlwGB?B3}rf*rk2fZ(*=OYX(&%2QKeTc)k$Qnb>@R zXw}2|dBq@C&I=WBmC^n7#IjD&F2AC{c?U-9N|`_BVrR^-o`5iA1~j&O`k=Wk=#Q@y z&yS&Nx6UG%V1{mvAt*M;psM=qb0R82t&g4XFtOiH0oMDDJduI1yZD|MXT&Gbo_c;3 z;PDf$K2{~>g(s2Er-ToMeoQVAL5tRHp=;JSYn`L8`AeO+K| zf16Jgl%3sb`?CdtBX(k3&8_p~dj$SvB8w zS^wNTvmh4We@0%B-2SR8Jrom>4L!b`Pr*3lsrbhKbe4Y6UwVJgr`{!gYnld{%G58S z&(z$9BVx}023d5?%P?9CGfTETqC8=^_DH)_ax2eu3vy<*oTj1^X(aeW{xqkk{`h?D z5rQp%iT&a`=fgnFYEWZiNQ2tE4FYwPZJ$#O`iswHH>%G}Nq7t&d zzlc81YL|j&a?;t~Dc%FM7F8CiJ~s82DYI|G{xb=-7S^3#N6c zd55TpXxYHNBo=Bl!hri8UwWHVl_HDm#s?BpaV+uRRA)ktXF<=<&xeE~{fxW%v7~T` zx1VS|@C4YL@cq7oj%AZt0dGVUDQA%~wwSbdiH6|v6ZP2`jw=*whplL*vK8M~^Vq1s;t5*sBG6{z8>~4HV{QbSyx+{CFTm}{ zDCny>oHzQ@2cyjmV~&ONp~QhB9}K0i0FNTP)-K&Tncn!dprEJkl=cP^d4&e{T z$;+s+$kZAQ-m3E_9EF4`!xMyhEBGMzQ$nq|$|oGHG~)Zn%Ew3SoNj_rF-;mefaN7G zF@!jA3hO0wc>@OPE}eNL6>!NTKUmW;WX)T}u+OC_7m+Gcgk$G=SkQKC^R+DT%|Mpu?zJLYo5>_`){`#sAR+d7)2qNk*H>ILNi;7{%hw#i&Y0s`k(8L8{{f{#P&f+|e@u$Jl-DGiI(rmCbwKI`bgB?&)ZpR~5h|03ZKt>5X zcAEu7S76)^|J;t@c(B~;wJh#>Fs$JU6m36El!(kKe8ApG>TwZ6d zeKBG#L_X};CVfYha#M!RM)8JCW>VLOdcrx?`P>bL=A37_XP$R}i%_PuHVteXvd|=T z`yB&|BI?Ft5k`}HAn>`YUFo10L<&0H*9XAf6?Thnf0-BA3FKRp;&YQrSj<9WO?xXB zCEc=8gYmz?sGMG_sMflu1#N{EFInD02u;UP{)zTehcXMU?F+y;)$*L)_ZO}KM4!x zRn0p99GkeIJF8keYqaQ7)Qy||Sh?26xUG=Y!G7@bUIPq&8UEW(fqymx#(P`yzZkI{ z?34bU0lc=AbD16FuG2x>6>h&n*#d{ii%UCqh@j4c?exg}83hrZ^>kaL|9lkpX4?tR zn`6?5d5@24l{+6mCEGIy1tt5tS0WJomv9vf5@)cVsqG&rOqwp;7U7a5qa?{bQ{5Ts zPz90IfcOrHFz5*kY2Wj9w1UA+%upOY7|n#A-OvL9k(osd_IXoOWfn0U!pF!bF^F&CK!|m7>8;eYrCeVK!!xf8_q4P_@i-x+~R1m3#&D z`hcF;NYI?SS%Gu&yuVJtma0kqg$AQY+_H6hU>22P&uSe1W?M&~a8Tuf104gQHk`w( zBSiKhv&fjI^dDiGCB|zL&PMugQbhr!L|%`*76!rpbk6h#sTmXm3fsv zWx~bYQpr@JsjyM;L2+q{`l8Dx@0j~b(Vqn715Y>QkJxz>TnDG05r6Co6zpoR)oMT# zU{@g7DY;fl>S*F(PCCT}w>NS!b|pfLdxlAysj%`$Z0X>p>zcX7{c9(WC@aGFeu=5+t8Qq z92+?b4xVIewt9=U&PIWDbDD*7oOL8(3M>h%ye$d>NJreyr%w=X;r~2v%5YDz83ayH zhk6w%gXIN-D=~E17lprL9>#MxUNOKH2GY><9jKycPMg8f7I4QS8QPPFQve%*GM2F> z!x%af|D?HX`%73Q8vnu2n>v!^wC*Wql4#z7r8TxE%4<7NO(#^p1j=aYNR`pGCm%_w zd-s>n))p_K>qtA3()8{rqyJv8grP6tO8ozy`G3-A0x&uQGAq;8D|vHuUID!u_w<_& zhYo588yIie4-{`Keh&)|>W>=TfG>Szru#P+?@>yR40=;eqVR?E{Zsee2eFiO0+&&31W?gf3%eC_OP5elT z-Qsr6?Tg*=dJ*zXr4lYe`6K@Ru-=+bdyr*<$C*>F7TP2QqH#xTA36iUyN%U_7zIAv zmz*a>8J=UMeli~zYG`r8FzOP>Nd0*T`*$lzef7anaC+4FP?KC}yt$wFaOF7hGOHww z=#zkZkSHndjkrVzBJx>#-mzWewKfLUr_LjHX64pjQ4L^QvX1wjrQR%r&j(+2|7tEQ_edPD)*!@T0uavGIYgkq&>r|>h zp+S(U7frr`K+-@8-lqMtR4@4hjDAelD6daAajd5|V|uR)FKke)Rbs!T8-k^Ed3Iv#7CB#a0cOfW?HT zI4XD4hqR1tjIU+3c{Bb!zMP`{UCyE3E_46Bhd6);SpS`T@Vq0D+x^q{ zqbgx#Cfduy{`c6+JIpdUY?ha z_e<6kV(%8d+(qY?yYh!29Zr7d33%l&$KLr48Wc~4>Ysfjz=h{ST5<ovc8{K}t7N zDDFzJlIb+9SgZ~h^3eG0PO*$~OUVDRlJlSPTIvb#_)>YhN%&dFHCvL4Kf$VB4dvOlB{LJ?gp#Y36`Y}j z5pYNxD^WZ=N?fQNQa?OMaOG+B5-iMFsWi-9xR8mN6)xO#@nHg>V34>e_Dr-ay10$u z`PAZonl1QMT668+pre=ZD{**U_#1FuU=gYEp&>{T5lK+v$gHPtaB?LoSFhseH*@|{ zvO-3^#|V{av7UHNS?a!CyoPrkld6JwEd zOBF{^Q0pf0t?=E!j`P@Qc|WU!Q9Dk0eiT297B?<*N1K>%TuWLM-K&$uH2$QH&gUnq zVvo+p1ViEGF&?|1+x`)hhbeCskL$cX2cO)&3%7GthaRWFxKlQCR4#&_c;d8F!mvcJ zP=*84=cvU=sn^RF6XL8nJOJQ6}Bz@jQXlCJU zVnbSr59ih7a`N1?rL{$iy3VRvDsPv*;z;5w#jVx~gTJqo3ew;2U(c;l3b)lW=18G& z-MM*?5{Fe7`LX??0u3guTMvg`nvcw)jKO91?$zryjtU6BmR8Sc-=xSVqvcqW#HIGf zhc#*)+r;wS#@EPC5BBQ7M8JbjJ*MF( z!4G95t0xUjRAsWLaZv$jx4dhoigdzR8hu*_c zimBe$s$vggHC_VSO1iEpuqV@gHg7mTSv&Pcapj6-6(9x>7qq#|Mds&;z?&iwQ;nwEnHgULpNtXokWaZ)E zsWE@Kp?7%Uaar!FY`Hx1q>rzr4KXT_^0uy2qb6X{sslFagE3Qy*|?|MJi7%we*obs zNHWTx9g|km)FWNx)avK$r17`2AAP}(f7ePuR zi#~gpQnRi0de6eh$B2K@C}|*mPW-UgC7(-?ua+PWQ>%W9($?1Aw%WE4J69EKiZKIR zSZHTIK%bP%34=tr8W!ePsMrqQ#0Pbsz{O5-)ELWeU)*JkOoW-|1c2 zgU#(&h&U!r)I+LGqP5W_RRgEr#@F`+nZd0N^G8OLrn#*A4=5hEAZ)X6nZ2PG?={g7 zT-|2`x9N*}xl%dN5#JlrS@(u}g|(W(*pzWz&eWXBcgD zjZe6rsS3wPcXOi|v0@)iR@eF2O@X zR~pH}s{=SYQ&cHmp&#+Befw-=;su)a;bt-jbgGcxlvImLmtNP86niWsa9k`ziuFMI z+!P1W5eC8b5xf8O4OT2H@RU~uFmhPtU)8kM}9t? zSy^8R9nVYcgD7Vf0VT*^(H`3aX=av#B*=dgAT2dNP2!;sUUBo2M;Au)E2?TjX8?Zo z%V9POFl1KHtq50c(@70S?gJ~0>8cS@1eRKojt>R(}`P^;ok6vxx1aUMJQv(eF}w~L^TD&%cTT|sUvq@;c-w+k)S5F&{{x) z@5;-I2=7%RCbTFjYguVdw`SRT*{Px#n`2+NR_H}}I;Hr8H;H%*L`!Y9Q|K6_<#@4F zw5x67LdR>3<&2j$fe9{FGJO(-|IBDC^l&-W8)dlEIR-aT6kagL6?rCotJW1`V5w)* z#7~;+Y(r$09&tkjG_cs2KzsIPa9adNWxW<_&>9+4?P^yo1o zqd{tr&F|UkaD080ia<<++Zqx~gKk$P zDUh&gl^!b05T%LW9&h6xN*r6HYCv|1-6Gjr7?&Mp+Sn~jvP}SWWkXe-XsNz%Y05O) zTLFwRnGWk&2w%Av&%HWrueisV+J+5AET0*fx*p)1bld>WCq^Q#yN382|5f>N1S6#w z1{$rREAQ&8{Yjmqp!wc10)r#J-LU7|^U?yth91qj;}eN)Mij!xrlx{biwCS;AS$KO z5>LxQmrwJZkcArbSY0R;D**$YZJWIFnH^GqNzN!lu<$*@k-$%K8{gOLiqZC5YQsjA z)oGTHys1bI*Ys*tXJE&GD)e4oCsPVn{QLtmEJO&S9ASx$kUBq0sC)WBXMUMA1M{iY*@E3w4c)w_ z*Rk9uOOGC)nTUBu>>L%lB+m11mrirrf6;dqbDbW#V2qW;Y^tW5#o(uk@HwrPzW)$L zHiDltwKd))wbLaR6iI;^os@6RW%JJF(+hDNbO}QwE)`%PySiiv zCv6OB;OZbPEoMB57kIkJLCh)vZMoM*ii$))=3~zs%ykCl_^nx-t*bn`*!W^kJW?y| zPh4MkVI7OeGfgv_~Kh{=iiS7pTWq%HTRU2Q(eiM}^`)<1ecZeaHo zgXr3%BX7%#5+-d~yT4d)tk)03N2^WuH|Qy3lDN5kHDh~`Kia+4Tf}HDmf@sYlK-_R zv~gL;F9HOmt`Rl7iJ!(Nl9*X|g!#r!VH?PLmu&~Zjx2Cq;UVEk4>?Gc@4-WdVjkfn+*s z9>E7*ERPau=8->!A~@;L!(`32Rjp^#QuX`*2InN0AvgCn2k%*-;2Dj9kCNCxXlA3{ zQD~geO!PjYlCF2@>}e93%lA4UhuJ9=6$W?-|3yZ}frpZ%7m5Ihn6@pXA;ek<5t(X( zT1dkr8v&{MO=I)IYVnb#4{xz8%)<__Q%TEGM$|K10iPA0Z_Hg)6S#=}C~yHK!rzH{WSCI|`SXlc=*yO}lP z$_)BykQSW<#Pi^2R51=_(9r2OJVG&ED0g)Azptz{@|mE!#haP@^vKSv&S&zKra4yY z2qy{rbmPVUEP`q5f%9;e0no;R3UBe3F>)hSu8^@atQH!}Q~l5IFyJosaeYFs#PV3Fs1u zRQp4n_z@B+TEE|n48E=0={j;_iX67N*9^RGr55IbEbAuG9M&=lB||6jvPjr-6&t(N zF99@9$|b;IVCzqW)eaae{Sj1hzGz<9;_=<6WA8x3E)pJrlbfZaT_lX>(KXi>HXr}; zAW{lffh*#NTMN1IoUudx$}qRpl1a#2pr4kpnN*A;cIwexhz*qJ0UV>CY~v*1wzmzP z(m6a+684CN3fI9a1YO1B-X_ap9Mm@po6pI+0HB&zPK!?t$SBu3O26QovB7n<8^^%} zXJ^a`hh92@c&^6CV@rjFk376lc@bw_4fUy&m*=dzPT}bGaUD<#tV^K<|6Ki5ol9W= zI%-d9d}A`&a3_*tZhJiPytA<-hLU!d63{b)pN%X$k$D59lZ;uEU%n^!_-Kb@G`4s{ z7)xwH=81re1&6#FAN+Fn3edwA>oe)a{sa{Br?06p$<#D=5G{+-1%TZ zRp}=7P(CeKKKJ-A7N~wY3Q||+B3dMKbI^&WcQX3S+f61c=`8$BN~}?}!IBUG!f|7E zAJcTVfz7~GT5J@?p#(B?pR7E4@>fg{sFOL75&Op5Ya$okXdl0*%ChJdUb>B8d|`}w zPIaP`l)I))LjW(-fqs34f;ycEctgT;vS`pS zZ>~})uEB#+vB*?cr0cqK87>fL6`bF$wx%Y4Lq=wNjk?5Sd9|!8uWT8raKcrB4#`8< zjT(b92~lj44tS2l)14dq4Z_EX>hIWq)S&}-6O#(SHD{Gq*>l0y`mE3kAP1a(J=YWJ zqA_AkuWMq2MF?>*kZ}(*yP>OvyRC&97pr~7Wzr#Jj-8q}@9E-dyzw4P_Nq7cy`reL zx#GfG@%ttvJ&brc!cB-0a^IFy`?2$hDlE92lz8ps;Li0^TrcK;|ERb%KyFQ2t0p_U zySK&}k;lfd!>pz8cbBbHx)}Xyx9>-_@*i6vI*ySBFQ*Ub?V9=%^B%3L-A*M*1eHzR z9eXm%oFUb6lKqc`Q4{zR)>*W5V%oG558Sr6Y|LR%(2JB_%H=!mRiE$K3uj;rdu*jv zOm|+eq>$ja6_cZBJeN8@(>RoXJ6m;JW?Tk3v3{&Ytn6hOne^Jj9>iHWPJszhbRc_e z`~Irc>7d_rc~#<&VFj+^9?g^W%WzdpxcT{W#55O>ptwJ z;ls6))YVM*rTpTaDf(|kK}X`eNVce(Qv|cVK~jBe*<}G0!SlJy)N=A7D!GC zd?uEck}L#}=7$XGAan8w%0&JadW)zq>RnPykP$byB@&kSmZy$H$b(M1!u4P@6$&#* z{(_SG$!O3gS%IqchpKKOnl~a&tPBZ$<8P@ZwUC0^@S)zyhi2l!VMIb0BHQ_8%Jlg@XH5r3_rZ*HC}@YSxrpAjhi z*yJ6uD2&L$pJ4PcB zJ6YF1Nl^o(m|HIIR}khyAbH8rH@n1(b2=*v8~+O!Q|k7fT5#@t=RZd=oDYO*)fHvy zGP0{jdMT}vHOPZSicpCtETl;N9qb&HPB;cyNxLEy5$yrFU+iYh$a3|*s@b(t(I4d7 zB+rA5+m=LXxRW`kF1q8WoM0h|uifAZa48#QoW;jk5_~E&A~O*d+mws2LXDGMGoc~-g!skaXObvE;|K%T4lpyXqm`$bzwzW#n^ zr|MhZ;oqm^c{cpF7N#f(;Q6GT`4_j{Z63YergFUaUud1fA=D2SSUH0ky5MkzNqMY6 z3#{&g8Kn8Jk{W{~$8ALhPDo=oK#>g~rQ?86v4>rL)Noa?v8XO6z@VM@KJ~)AlF6@R zg=D!~^(;%~kb|;hv|=YiHYqE2Nj+;r*nQ)=8*F5`zfKZ7@)@oAC92>8H+?G6wfe<> zik2hct?i#4a1oiE4Uyo!kJq_e*ZFOdQaGE+^yp_C($@|^P#PXIW9>5^!t%iv%xVu5 zxm^5v@}8qz*s+*guM<95a`#|7tdG{@u-xjI%me@;Qd4TVYfYLy+POxkS1JNSBh(hq z3t%CHxgkK(jAc4qcm1fTOj|i0cJTSCxo_hj=x;eKpM<{bfp_yE{EWtm3~AAqigRsY zA)?|M^W$>OELsNi9ZA{rp!eqL`2yQobw8W!wrPu)<%=%v`zNnNq}-&Msy`Gg z*gF@0dU&!CUry|Y8>gk#qv8xdfuMJQF1|H4^F2M`^k60XekW&3rtgj)M+jaI?H{{R zpBf`KH{erE$YCT?g|8LRFW@llw_O{MQ@<6-fX;&wX!l2E?s!(klQg`5LUV1sq(4HQ zL7*&Nr_R>`eSYM$QZ=W`_Ef@b3H494ZT|AXwxf`K-)r!mS}638LX1!G`RIg1fT&C+Ym3lwfh(>Nv{27-<<+z#CyQgQO5fiITB^&= zR=HIvRJM&nqyM(hj6~z-O6`tcF?R^R35rq(#q3_js49!zC9JpJEw;PyLoGSSc_`Bl zb^NC8!#`J);&lS=&?yt>+-vLahv_B)-n9CClrx&t7?dRLjm*_dw=3==^EMsO`W_*R zdAbnE_PPcb1}EEbS{337YBX|p(56A4Y(Li5d&Be0z)<*@LUK<(&`nkw6Js{z-j2{2;D_5LYm;R`l02?FxuF6nvC!bXT`vH5_&=_awW*dLX`=duAD*UHi3|pNFQLfG}Z zC*q9DiPiSufKeL_H7%EOx?fJRXJ-!{f~n@tl0LLy`LdvfU>(_N-Uo&~Drh%}PH3Y? z%|~>b=+LUoK5g8%+Ki%WA_&t!6XPFDAOhk=tPO!eYb7oc+UrHB>ruDh{?Y9ig-;joF=?&`LX-aFHi08J zuBANJo#dcO(4*OwG>N?VvvE(d^8M6EADuJ|r=31vEKr;^>VGhS+Uf+I`aCV8zwNQX z>JhLS&358Md~?^?Aj!=V>UT%V^m-wonyi1BxY-F;SDq+F3$LCurrv3G%|c8VnEMIJ zJP{T%P|oS4&y?yT-g?riWkGRcj@UagX*${vouZRqr%44**~*F&-9>nt#aO3kL9noGz*dtwQ+l%+_yX09L#qguAw(Z%!V@P7_(yNN#&o<#JuIF^l6Zy*(?k#|*xF(QSJGr@ zF=CCf6kWZrNm8yO$UbcbD{=b1VB=})YwGi>Jua$O*;z>)^!cYBqi#>Xlu%n-2V+F9 z7ZaoLECEWG!)KuJw@N4)+n_S9cW9=d+Q&78mG1v85edR~fs2od+V|@0t37Ou!kQQ- zWH)HQ+{U~}rgMD@a-4LYM}uefGYOQ$y`EA7@M@AkHtzNoy~tmq)yK)2z9A6%}Lu z=qTnzLd+G!>kGQ)t1vxLUKgPuG*_1lc3o^eXgTc$84HH>5Q3xffqO^^Z@ZK9lyaAz zk}3jy;?3HVPq!<^!BESIhdrbP00E9qc;l4Q-66LFch)&OKESV4FtpNi@ z5$ruT;A~RAbu_NAc3Vx+3AEGo03KnfZ90=02X*^C7Xx|xIX10Ct%or@2|fE-EAq$M zEU-q#bnO)&(qIIKN!MDq81(T_pkT6Mby(2^c_IYQ9}dGyV1Kd0kk^Xk#`0@+Y&%3w z>Wk=NFEg+c9@z=O|7jSq9H2wf2fw0=_=(nDEXLBu!ASj`kx$_QY|?(ybC82gvK_VM zM&gdj;_bO$J;HFCX67kSiFMHgh9)}L?Rlcfe;~RvE;@vmYE@hGz%Nu1} zfwBZ5^Fc_s%l^ItF0aAJ5bvJ5FK_u&3Pd$^K%^Te@S&ylFGO~c{>0L8#EnV|=5|ZD zP(@_1q<(QwlM84(C049~(Bze1Il4@EJA_1KYA76KR=l4kY1#%wtl{~9R}CqHyTles zXQE&Tk+|sPLuVq<{5$20QIOzzNR0eZcMDK@hMK4rz~A6{@3R6;jH=TCD5SA&lRx>K zX$44g-OWt11qq%mA}0w0VdB&)as_)Z6rxrubU-4J0D<2&DU9p+Wbwm@u+{rXU5H3z;o)5JvvxlPfZq zsfsZD#v2xzkq@0~peA212W47<~IpAYqhKv#^H~0@f4! zj}CPWm7_{U2=DJ^bXddwLNxtKXxsuaai74ZJ-(-f($L#Mnpr2s^2pnDH*0fYEWYra-0y{{mf_qdsYHxU;t0o} z@zSH)a-JLur%!qW2-b*!`+JJ9`W`eZr}^&PUJVkpS7@AbNG%#qeae5UdHX<;|qEyCrZ6tO1DJKEemnmJ{Mg zWHPq6*=SxONOPLg5glR(tNn-=XV*dFDN3AiSK&rqd<$-##*r2Ih=Puf`6vDMz;^$qolMsM^()-)S za}AH$EPTWbG?_50V+XCOyO3yKHNQE_KhVAqhwpOf@A*UJCgCwl$E+@fAD13)xutD} z#B;Q{uJabgB-X3T%I^$>ndRL}cENWk<~Av`O&6AQ&HB*0tKDXI)Jhqg(qw|NgY7+e zTGDGMBZvcQHW{G9kg;JIuL#a5rXRm_fUVduw=3o@3jd_RQ#R5RH)^ncZq?Qas(NTk znl+SPc8D$7LF!O`_hYKWBTC9ggC*{(0PSO}Pq^ngs83>OqVl0vGq%W%ad`be=v?NZ z3zGzNAdOiebdIJT270w3F*6&v3L*^U=sn6DX(Ia5{$CHne!Pnixbs^{;8QNB5TA18 zSwx(G8FzPalUy2*-+B(aNU0jVf(EaFn+v24tNkIwQiwNNSBAX2Q0mYnqGyf1ti4I~4Wcigw~i>(Rlh1S#*4+Q6I+93P0K43hTT zuY^0C@*e3n;*SF&FSuuIR}C>HTF$HAbgk)=t-EYobghk$FuX9_e>vUK=erR!bh@dw zE7tZLQ(b8}7j$og_`Z)38?R==Xx0+6P*UisbolPFe1$@N?FwM03vV%QgfggYMO4JPfFi?x#P4mz5V8(Y$%O-F$!E*tS zle(QlkQaD!x}A3gf}DA)A?%Wh4r^0MflQE>?rB}HQ&60KykMN#li57JpYGU^GfDU5 z@5Ww{gK(`dhr66nZPp7RclO@$(zEqBExG4)Fldw>)z3Pc^q{)wX~q}EQJp%@^vU0S z3iE*1Cr@~*8OcplzBHb$B*`=~dIE2AM-1`#P&N=`!QZ_Gm4eNm4UgbKg^g-&uZ%|j z_`P(2bsHElID`6jYVdD!8@?Jp(u@P@eRwepU)hI%sW4SOFhT8hsdtTIj~fYBy%F1g z_wt8r4y(X5A8_(-2)N4&b!LZ7K})fBy)(1n)ym9G4nc|=OyBES$LTA#Mz2cIK9LQfOAe-HLp<)rb~&Q!-&Z*HemoI3cxy9z#M9^(x-nJ0SXv>}Kl zF%A>1Va4;@)M(?KgieOsvCBMq0PlA4HC?=&3%@>l%YLJ+zoY@Ztkf8IA_o0w0UZIfejErt#$O&*M!<=(j67aEHxlvK__ry>sA*Tv= z#0=?H76ytIBO))Skp)1)oSLuh_qKUDzDL6?PVs3sd$GbH9ap%^?pHs;LU0n_7(_>|!<$uj zrMYqp+e0Fjx04F+Pl(*m3>6X>6+PHozm$t3b?O9Z7Q7=ei6I>EgQCM_5h3DhANjA- z*tJsEq)lhPF(f!h@q_3d@Jf2d41>)5(3%d*`gChtVl$Bd%6g)PdW}+aslcHDBSQRK z&?w}??B#vNVWQ}FkBhxi*ANzW6uI2(>iiFtX7MHm_s$a;562SPrg3Qy&M^l)* z=r6e5bJniplxb{TrGXTn19xhJ+1?l!(6BOWC$XMBF7*?~2H9LfAW0tmN5U#ZD;L8` zK-%-^p;?#V2Uk)yvOoW%+^@A5lm7#VU^Mdo1xDQDG9<~BbntOT2GI2G$%k%+Y`f?| zOQ8U~;H{jfnBXptu*bIiVobey@dc1Zk{el}_=z7lb%2A*45M3x(>Ay~%7;1JWXux! z0pY{V8&taV--G4P!93CR-eU3rF9)K1M{?+10g89Ic9}3L%>tmz3JCwkaRI$oO~0n@ z$mGOgyMK*p1IM6A0avNheeaVR+z8y-o%nV7#|)FideC9XyTe-~22;@|(p109eh@6BLp8iaR+_bU*vDBIH>M5Dt+@|ojOPJ2 z(aQiEXg`U0faDA9GhtL|5L)Hmu$w?sIf%Bh)A+hCdc>aGF_4)Oyn;;_GGQy_*UK5= zh5-3UWCWcma8CbI30CO33w7hS;5*{>pM zIHMM@S*7jj1sEh{#T8~(#rxS)$c*KxLRO$tvM3|uNqRjy9a zev~~X?GG7hdmchQ4fxZuDouD{o7;$)5V)6|QJ8U-NEKd^wErqqHoRsBt3&yWmHBIx zrs5pcnj3{)*wbOB`|C{S%1SgeOTa$~BOC$7dh zVRsS@7)slleq)Ej;#^3ur%A3sB!9l=60bpr+jJ+QAD!!UwNjhCZ$@S2)jPhP+$bs! z(I2hJ?6Elb6`6b~ZlcH_KqgLgdh=td0w;z9c&4xA-xHaZno313aXM--@pD<8t9QhBlyJbSA{t_r9 z%@C|wAh%wo+V(Pq<9D84$5yI-y+XN4o>E%29N^ehkS4@u)CiaiVvW zbs~hv{@L%RllWAqSI@#9T*#?7jhCSRiS$k9LPB(`hN~ml4!SPA^~XAr!H|6Qd(Zlt z6rbH@>zNQn_73WD(8?Vn@}O^8+&@D71gi?qnO!CQ)IQ5sFQdtV7$ai6U4(HEam`24 z?hS1o&@jN)g+kX|Pwpw4;*E4RSk6@T#DsozSn@>Obg2Shh1ILbj#2*!jEMG-_8-s} z-GVhEj2BBJL~QfM?&h@kF+vG};VY;6PpG5%N9r;$I290EH_0^xYCC6chTJXv?Ysn? zLtkXZfH!$tnIB$7w;)k&ye=FZ8ViZ7PGB^-;RS#YT7>@vT};NwcDKcfCk--XBM;i= zO6+G5Mu_~-pltKTm}K*k3tnevX7`On6Ll6tnCst#oHVML4GW*C4Cg?(6b zIs__dG%$(Py=Ka6m z2NfHP*$91-jQnS}ilh4NKNevas3kDcjrh%6PdupB9)`2mZDGE1zbW(%B$EH^6J0MT zA1OoroCo*!&NMunKRBbpsvXL0UboJ6=^)o^+7GKD#AT7+*F+8kCjVw%KE<0%R(HKp zIja2<#0YVAA_83xj9)gr~C3htS9oq9R zFTK#~4@pdv5jXVJXbvalnBPyDp2t}}&{>w=rJqCvG>@rcsK)X*lcwKJ))wdGYE`;g zn(C=)DFGuX*Pfe;~f^8j}ruEZCty-u0=t@q3SseHSDp3u312Wwj0KD+MupM@;Z`4t6;pqxB z2&e~Fen(ZkZZ|TCm;rmpvaVVWGEM{8O3E!oL7v@-ery9<* ztX1=*bX1;r5u2uf66wN(eX{idLCEsD8t`<xqqCe@7r zOnn{l0c*zuZw8~V<3WL_Rkh#l(_Rs!(gl@)0c-K_9`rA~PxYN8=&GhS9Qyq$laOFA zgj$(&5&T`G;#yX6{zT;;XU}n7QBNvXx?i!i>BZ2Gcqj$&BA6NxaYqElr%pW}TSALa z!xu1+c)d(QZ4yxH%cyybE&|ddcKgb-(WkbqqUJ z>to%jn*LQEnAa3`^ZKto|NL`P4H|769G%&3sG>jX<`&4Sc7qNpLa^z^6K0587gkzWz&pk5$2^5-Sj&vs?+tXDY-+#tafC>cOI1-*-l)a&2}&$AJ$H; zbtd1&WY>)+m~AeA7jykx+)>wMdv9s!uj9Ke4N{T5{w5=zYuDvQ+2cWSq9rsH2x|pF zH#JEP2@iG&uP#@*1o7JH$tigfy1^A2bf~E3UZ3s^J=OPyT|$EDG6>b>sNX?rG_xYV zH)5O_5cV=Ofg{H}>Hi2#hg1NSbc6ntx{mSapgp|i`2-n{KOhVQd)OW*pByb$)X0my zy6Rk${C~>ntDe!MY-E#3S!DA zk*-7&kdS8~>3&7FLS1-o{EoN$*1zx+eZ_cHTPtD)v`wViks1V{#kh%TpxIeBU3L9o z=UQF*V1B}=#Ef{4a_~q))bQG)A7GWxVt*7o_m?mH&{RbH9K?V;(JmyX zLTe48wKE(MJn9ojMy;A$;vDK_QKGDLK{TUbIMUg5%F@U56U*K3+7B<52kmfpqiTB< z;sA+pC+PLiho}?!10$0s)3CM?C>-IX_i zp#cCiUd({&CK>X5;4=~pSFnXU7TfvZq{%F)Y z_d>{ou8o$8w%tg5Pq8M&u#%U0NGikcm}+4hPutxQQwBbn3S&7l`Gh@uN-3_nIG-9t zn21d>l-FTE;4LEWQfw|!p3&8JZe8B_&qeX&sl~OSp3pvXaytEq3Ni`!)>HyC@K8pZ zP)520?e9`_GV2-wUW-D1NQI6`0g#~3Tm_F~;N@087)OEH0H>b;CDAk|Mb-)WeVY3b zrP2i~Q6`AJx$$&uZ9_d#-&G~`O~nQVV7pq{$|{IkYgDlO6waO}YR#4UDoSl+6@sv% z(E1j&mMR5Qv8NT7>iYdp1bwB6^kGghX6T@YTnLhjP7&o#RB7E&237#_cC(1HtSId~ z-QFx`vErnh*(=M6($n2^r@)Gn%tDqG8(U9z%PCeQXNB?eShtK)PbzQ7;$L|*m)BR; ztp5U%;l!z4c@|MlGks~!^LRp%c>X)sgG5HU6yghs>n7{~4H7p@VzT(ANp$}sCoh$f ziQ6t}Lddz3mB{`kcMG7!o)Jl z;JzED@*T$sioc}B7aDFT0C!8IRO7{uqdck8EIDR{b&()z>yBh$tXOd;$A66f$T`M- zB#v<(iDS%1;u!Cd$gFpK069+V)19S-Y@)-Z2kx+=Bgu3^cBGs;L#4eUNm;nhoP8Lk zgWCTO00960cmY(ByG{Z@6o$`o5tNHSM3F`+qKSn>LZmSc?10Gb0?XnBA!}k`Wu=K0 zRwmlnXk+CIXk$!_v7w^y4K%UP#=-}%vGL6842I%lGBe-*&$&zt6o>#oJConV1p*kR zTCh!8ubCD*0T+e`mK1>HPGio4yJ-vtHCwt)%q`m@1&!LZ!Wu0)k~RVXh@j+Rf9KbY zyO@xaNvlkof>naBg6FyW=N>MQz+kq5QHe!ts!^R9jw`z#<%z^HcR3&KCWM0O1*)o4 zmA(;99dzUGUL>XjRa_;eHI%Fph7?{td_2B}aFq!-^;8U^)1d^eX8^{zBAvb2OQT{E zgQYALmgJQ&&UK$YzkA95jyYV@GAl-TfJXVlCohLb=r-t-gNphUFDzg;bLVFrHh{Qz z)}R~1^@KRKK9bi{h(rbESUK}i+>k)0Fdp`vBRbA)o`h07jQ;h)u0a1+v`SI=cVWJP9b4VmHA-X$69gT*AJCFL z?@F|*hKu40yq9V8=^dpXFOEEA4~{ zOA?adSSX)LQ4qUd3bU{xy*A-)h?we~mgn2srs!IOud&r<+~)mdNb#FOzVRW^%RHNO zQlshK>RsLp)zJ}I1+0w7#?$Qg?~eK2p=!nDzsrqXZ>^FE@Z8Mq2T}Y*+Q?wfpWnt< zJ~Ux?aYTL%TJ_+m>eRHoenwm_kv%y>gr+{sxn}WJQZ*y^W0T^&i)OkVCZI9yacgb%-gtO(+C| zTBbO!y5>c7Q|2(BAtAoD?SB8w;?m2wlV?rr&<>I@=i-<3`R;T4sz-AsC}d2mVB!9g zH`HZOiyZmoJM=q{^&lqioYV5;p0Q(~OY4N-`w29MVVO&Skg>Oev*6Pm)srHh-S-4t zyO&tDUqX_EdteN0r;N8w2j&O$@h37yCbzxNF+$Q4z2}59mIiK_*Vm3jk5fu9OTpJ6 z7rXQJ*%+=9MrVVdlhLOpf!B1x=m5N4%ilpxBjK|m>uW?g5B{5|Jnz3OpQkxkZfC>q zCwYQE43sJ~`lXJ`KU6xGEHF5_f4LJDcX$jF1ZWv}$uOOQyloz(YnE9r7!#L{-Co4F za$VTFH~U8O;kc`Yn_<0S^`dqDq%G;%GUODVuWjB|H?3C(bQzdEHW~`i@ekT?)YK4R z(EK7NRHh^F1-v)o2ntR-^pA^0Q@Lxza7&`mxGq@BIR&I7vQ0}ac-3M;#mlC23`=E=oU2gc-TB~d zlk!jRKXBT3Q@`LVZ%-B)V)Op^=C9aC3#^_j_Y`nKfi8r%1fC@X|J0sby{n{o9kjsKFIF(|K*CKk*@st8BM<>He1fvu*_udrV zeEox;^euc=xxUw1wL~A^7WMXfQ;XuOhJmNxmw;4p{f3cYvxO5uD`XF=$ppoUx8w%j zkelZlAz`BH;YlHNsr~*l-`1{pY3+8%ZeKKYBEeSuO)wdm)}jCxJVeC;+ET>pSLUGm zG4Bj#Rhw9X(fjK68@!XXX5>5MvG2TJ*Owr42EJ&5Tu-z4`SB&J8aaL*h_@U~r9JbM zq$@LAV)u}4xY|VYcFPL%__KW{T69yoo* zD8eiSscJ!?VXZaV`bP);3^&sy4eF(QDE0NdnKt|U=HJeSEnZqu=`3txs1=xa-8FkZ zr#11XkhS@>!apbQ#8qkezLm}Dm)|YFVovaMQ9RWUw{kw^tI0b9&xzj2<^6p6AYCtk zoy-+`zBX3Y)6nSb*&l+kvX^#tMlP?X$S%NO$D8}gY+s+68zO(P2)r5BB0{O&5;ZS4sVp-vN;Qq0Mn2kt8 z7H7AA?BZvE5=jxBxB5*P-DyuLs*nfz-PXasmQ&=F)Qhx6{Ezv6KH`u$f+58bq?h%( zC=XR42@`DL`^UU#k8+AGp#JAMPv%Kp)%UBEL_*9AssHho8k`B5BkDi4A>&I6_|-k$ z^N(322_=IKw^XJJ3mV|^_#fs(mO``sHLs)%ng3^K8AX%S#s3ZMzghe5Ui&W${{LM( zkjIH}yY1yv7gQjigRP+FOW!(Y_=5yoT0Jbo&RDA4rzdeoBS9?IVUhhnv+w-L}(8lo14r}nq&x7ZYVn<+PoHJK?l27;59T4%@XA! z7RyKPa^Wh$%WRLdjwip9g%~!Ymwh=F)gB9epG~)g*Dk-V<}+964OS!FZx#MGr&2co zk5ykgRk!NZ7ko!=?j78EN}t~1p@PIH@rsc>?&R=;u&c5YeY?0?Xa}uMVq0Yb(qe%5hp)SL&k+5TXZ&~vak`mXUvWuW<1Z zh1)$8tHJGJ5xt?IVZ9%f9}bEB>6MJnoXFX0NO|jP;kLE6^U!}o`fry0yO;ipxc|@A zrE~>O&kaIY$!dCzo@D`jwura$H)WioYe?j7)f=nCeqkQVaOec$`fe(~ApMPAeRbEZ zMZjuKp#0|K`>pDG$UXV(1p3o9+Y`s-p1>fZ|MpWrY!~`d9tkKdRa^(>fH1gE^I;wT z>3y!3^#XR}*0lRZ60>bS#pqbz=vTrWCim+Exh7b~#iiwGt!bSy%sIxdob z7!0#)Kci$2^UCu>=dR~^HO2!bf<9eLOi;b^9J**l;4k;1VnOJ}t0D@ZoDSdNbz!pX zJ2gr!xdH0Sb$2>LVCQW5Kf9`+zzVztV~8Jy(DRbCLYvKfYU*$atT>I+Y4%d)5#^Prk_!zGZO&|L-)xd5M_O*=G9Oci$d0 zZ-ANiy@(*RR&sZn;pmKqqF&t>@H`sYRNigs(fR5@h|=wPA5=#3e)hP`4Qrp5@B3HeKhUF zV)I*oQo?eeMyF(#2cMkK>tJVpsnMoauPw`cWP4%^BQy@~8ky(+vk(8xuPMv`>yJ?D z-o|+vpZhgtq&?PL#fNX-w>sC(?}w7A?68GW*W5eMmUl`2^2eI- z_N-oX_y@A|d53AAml1*LmUI{YKo7|KKc0Cze^dUKjc_*5|KN}6|1c8xKh*vYv82S* z*#ELoWF`2+*Tc~N7zu^;FKYjX*l;*Fgnv5vaLD}+k%e6U$H;#fezEmG#BTS9h;ILj z>mrnYdQJF0*#2)w|0tdRW-0W)d+EQ3`&VcGzg(9hFG&?Nm4eXPxbQ?WY0?D_u~?O?nkaWUlY9*@vL$!!={9tEb}ps?0?E{ zhuSLqx`Ta5xT+6%H0A$pM;(HBed(j%&+;bGyA&ZURZXMWO|!r^Plo%Y_!nfY3D>c> zy?gGgs<(Qobkw*>be%hW^yE>CqD8d(#wf6lJ{=pv5lk;QG@T4fKHEmAXj0-J{3kEM=c92C z@-}sqE0C?4n|65O&ko-Pf`tl{N>)TKdxtsKIa#$;-AKmxtZ(e!`c)04{U-Igm<#w!7Iu?eMyU$5U(%wgGAP>GyxHnz zStq5#c)}cHmsL#8W%3*^yQP7j@Lt(?G1-Jq@tMqhsz~g!lAyotd3;vwtI(LwAFx!pv$!fP7G-Q(G`8L15M=@wi(vR zRG1&-zz~UK^STh02AN`6=V|cKgQ9$0iOt;CD$2xO^)cKBJqJ+GK#=QsXbCC1PjA@9 zc++~p{Vu+Hsl1?h;f97+_RA&U()p&Xqw>y?k06>TPN&o>w9{kpM9(S5gZs}ZNkWDY z>;4wVcafz2?CIpD;0L8cm=yt;BWiD?o#>rhoAN1pA@+}T0*&7IPaUPlJkC`5Z!mp~~-|O^GAl88Aah#xw=uR;%uR3_mMmMD(c; z7oP~`ADCv zOsZFtBT1Tdh9#|zB{vImBTC%zyAf1mg#`T!ACk4lj3Y-gEUIdF(fLeCY8)DE1DiFG z4-N8>X2Z)iThVF~+IS5GMT8j_W}lt3*LTZWJ2BDSI*Oeg6FZ%=M8g0kKb>YKxzOEZ zL?n*U3w{)sQq-&-e;ArXh0Z7Bg9b;Gl2yl^XKW%LR6=es{s#>^X|?rlQ#~GC>#>|1 zz*%{QW;Tj(!WqjxOX;jGTNIno#deCuCPs|?AITFozs3UG?X+o1TpqfP`-OLD9qW%K z^llv4TzXOMoY-8RNql)K4^IRxo&@UlJU9WZjn}DSw+jU{VwIohC&p%?=-baRiWi5nRg1f{$A!H?Asa9n+Vq?X%tBzurOgqb{6)5ND?GNPuY9Ue>vaY++e z>!rEfFuP<*k<3<^rxYPT^cuMhr8H-!0IYS`75}x8j@6kwMf90!G+VrpaRw1;6PTpn z_gHc7S&88nnIez=hxZkH0IiIjhj8Z-#`ua+suV_f)~ZRz0xBxOaS=4u;~v9YN|I3H z9k!10vmp1X|4z&L9e&qrJeFU38H~lD_+_@O2+Hg^1j2}8`{l|?i*^B z)BRT$0fnW&_6^;%jY~aH)(?_>Gmd!}5Bt=G_$cV6Uqwnw|q5s8XEr0DofjWO^(I|Ee;Q` z_;f%jzf1{6s`wXN2MP+7l@MOO@j$&HdJl1BcApLc`xlz)q%C9;5lsG>Q-w3Rl_uL^ zJiXPl1_o0q3~B5`UyoR7hwG?dsrhvUsFkOlvlSVB`|Y$VQM)*5kHPJCTZ@;zV^6M= zf@}{hE}~`?z$82;Rdlt2r3P?IcFeYkJinZy7MEjp%{em1YsGBm&UV1WX*m#qR!^)} zL5t7I_M{pQ0f}RzV54@tZGeK~C=tse&E0f>e9mZG-E4$w%yD$TF(Nv{klI2LKTNaO z5}|j>HPHfhTzsDu!YFgOUlVIPd!6ArjjzsqW+8oGypQDy7hA>x%zw$j!jSYS(Yw~WF{hC4ePZFvFpYosEV7A{JzgW+=;DmJ{ zqPI=n@+8{bg`xwl2(Kjwtt?+G{l5Ij3AVHD=~)_eQZd(3bVFfJI_TTuTpX{US5KY3 zdva`iHRDC(8vQEZpRe3mZV`_)a-=&8hIEMmXEvwQx5Nad#z}U#u%8cG> zcuDRarfiuWGL`u8W3TCwbho&0!#clx_=C&e{gG<`lh5+`O9TKuCwOP+-Ez~L&#JO( z@`f^_fB<27MOlkHd+C2pKWAq%e)%J`2%ubKAPu|27#Rx`Xewa$d5}1Zr7W*n)JErL zEr0bz6NNJoY`^LX#Uk&+4zn(0l!{&EF`QUFZsq8ZF0l@o%3lw;`1vt&8ZjeWBG#D@ z`Oo^Z5;eX~L*bR5cK0`%sx;Im_%ck5nbeMgb1Z$G>2UI_E~(3@0=gBJ|sDrf10A5-!sr2 zV|M5#uWq^5KgT#d-rxX83ki2nKmD)Z&#^`k?HD!$CD>)^3n(4F50^ zU*=y{7t?dxU7;Vdr0!F7i(b0b1hL=qsmNw)i4VuH=Lq2uNhEyO133+qjq~OHM2QSm zEZ}KMOE_r?JBR66mJ-jjFM^LcW5H1(8V=|y`}Z~fAbkd8MY-gXzPB97d*RA^O^&frU% z`pIt)duH89vb$X=;=ag_{-t^e4-3r}S+W0jX!Omh%g!`Lxydi(C3G7%PS2VfJ+R0p zchFPF*C^&OS42h3ctXBu|8tX14J86JMX%Yh%)VThKHWpnx$Le5_hfw2&!Fr-Xdj<- zNyQsDDaD%_Dq7!@L@Ys*J#i;4*MeqZzG+Ugd~MSZxf2*Z*+0y1!?{@n{P94`AelJ+ zh3o^(apAPgmG7~I;XjK&tryXXc+P7ZxuGoSHR*fJ9{uYeO=|IeYYD?Yyt)8MOm?Yh zmk}gb1l^?qdTcz)%ur9sbYk)DL(9P?L*u_O>`}=qzc#)EbfOe2!+DhO=|X?{x^mgu zwc1cXOtQW=?_=WF_Plnn6Yprk92b1jAxZBoU%E6iEiTX|auS}=wz6ICnyvLo-2doG z`^wOahD_lvh~#)W=dqXN>JEHP{u}=%6G<%L)U7c8 zK$5^fX|G-H`?o$ZylO_D2}puSOcw~^*$dNGek?&A|H08R6qOZ|lU`b#zY4tl4(pmC zc$e=>3mq8li9$f0hHPq2$HU)BQt<{%nh5VPzi;gW_F*nTeeb{3X`_#_BS8h5$pyn9 zZb^6%M?z5Zkq2)idS98Fnt(R*6?gAd(xT>$tMH-g(*@S^ z91m7;ytcj=UOpd!IPo{8JM@vCqr|2uNZrsZVg)K>lOC%ngxIGPEMq2Fa@Uva)IVzF zv;6$f&o+Ya<+1~WopQpytt~EvpY7DRXG1agc}~tx`KN#QLo3ad=pFu-+Is#ullIQYa-; z9g{f;VmIFory1!*a^DX>qwIqRi%=fDZ(at$OBE_6srGylTSnUK>joUeSSdMDxWcQV2KEr3{Crssfgn+XerF2%LbJ!v-CT*=3LzBxEKR54lSrF8*d>mz zJ(MOl4ADHc>fFz5fRsn`jUReTYCuD0u*8+lDan52q&gz9MQ(U9Ur3&YuN*O&<=71) zaP*(v1SV}zf~x+)8QmD$7b@v|?k<+K3P$_fWzG5$6l2Bui{z3b2ap(nw3)Fgmnr`}S)GeF}cN@14oR(eB<( zp`9$URaV^rNhpso8=c~JW|OHbj2fmciYWsF;^i?ea9kUzgCIoBzIGH8G+t<{(o?Dt z2i2l2Ge9$7<)N@yyBGH3R*psqhWqI@_DSklM@pu~xDfUwZ0Y`|D$Rr)ofA~L)-b}h z?S3dkY^FXEJz1481!D(eEIJcFumTRU1?jvj4R~EqX4q2;&PG2Ite|(*_;E-JhZKuw zf_N++T{Oros34Jr?#TnRA|Oo_>FTqKWS}|K77HHzLA!%JN?7e%fau5tw4*2W*GsPJ zYcfn2&EM2sm?`ndmHcadqR!e?XBd2M95@W^Tv}%poB)u8=Ku$3+=hU_SiMb>t_c-d z@Qd!#=YMOf@J@=V@QfE;QM+HQiNbK3wRRolA@Oy|yinqo&DR;eG}F;RsHyoM)Y1QV zo%l4rTWB=Zu9;Nz2Zl@wA2otYzD5tkGWju`d`&lG3<6c(X+biCISxmInfgJR)?@2! zN~}@KnU1FD0^NIcT&EhXlm(dc+_6s$bsIewkIa9k?ple8h0;b&xZ@$SQz^U0Tu&wN z)F_7`Cbu#gtb*6&?OVl{DFTb;E?$N%+!;z4U(I9{SBXF2X#I?rHylCOc8Fo;e*ZhNvMo6=uuBTsUKpx zgj~{*xOE)B6m*V=fuLZFO(mz%>UKjf81OyX$Pe+s7NW?mwe-B)*HmTiHmz8PQvNYG+}XGQ1&8_4Fq zkQqFEuaNL6cB-=d%F$jpib2?|nFo-v=CuuJXlJ4nph@My$@eIV>yqWHe`EtTL zH`$8Vs(_9oF$vviR_IAwC}VP3-N|e$kTie5#H4^*hSgO~l~b^TH}g@8g_JUvb4($ZfB72 zQRmoBLwf5IYaO;ac;Zah?tC*9uVFB*aQq8DsYgQ%$Gg1m*Gb`R2Esv^!-pc{s;BN&O6 zWxx9oVHMCIuQ)e?^M@}GKC4{FanGBc*JvB7cL#AEQB$iCe*5*qaV-wb8GDKYM(;)m zS+=ojjxB4pt+&0AjFWDng~pGcb%*E^Y`1kCe5aUcuA(h+`%vEXJ4auQJf}~p3w;HN zxypwUrU!0P;4<}zDmyV#WS*v2bmojZv@$2?B!~)ew!A0Uu4^N%(9~krS!2baw|Hkm zy0{BtX+V3A&e_A!H;~>Kr8hLiIi-vNVq=tm-joYO8Px4`-9RwOXfLg}hEC97ff{qL zFjWE=`2ryJi0lcZnS6{84Ux;0ebj$biy)e@kKOCn^~b0VpP<9&uQ}c& zDhCOzH))l-2}~r>hIP@|mD{dHJ-O%sK}{3@h}d1gFomr>%gQQ?5B--xjfbiYiH<;% zzZVL!ivBtrDH4RrM}i*ysl}1bIfQ&$gn=;w*_8!pFt~)=T-M&H5=P84U36nyO-I(2 z-J8<+f#0GNU7bhfr32|e6wwLZqTUFHnikALW~9OOb3jx<2@1`5Goq1cum`Ga8a6*O z6EG|`We*W{iknvgZK* z?|YoHcgl^6A3d0NQj=UZik^^yIg|-6Rbt9!0tjS56L@79_VD++9o&G{5F`+uy}4!K zHQjH~B_$b0*@Kx&!>(Sq;2lYwr{R)>c7^_*h)ohG0K60tE|l$n3S7ZpGG|6=o&bx& z$u?Va4an+r6;sk*gE6j@pwXR^G3W~#tmVLjq1oFAs|LaZl!$Y{Fb?B4UvzqkrY*z@ zCJ55F2(3zNpN-5Z>yb98`3_6Xr!^~Y`=BSHG3|vN$dt;EwE2!|bVq*4+H8N(MgYXE zZ}DCuXngZ4w&Kr{JY{^Sm+m+YC)U=^sE>72j{sSUTXty1#R@(*)x$_XAMrwxE2&3- zs-lF#U!(e2!wtK5bCLa+T2FDs&Cr*{3@giB zwgbo(3>Af5wW3o?WS^LyVYMi;_^ui>1YY2BX`)_|kwAKFEQb`^={Y-+>_4esg*kZY zFa`CRZKD2;WoaRL73orIqAG*JYl(^yh(ZkpGX*t2uGTa5TYkAqgWqL2;|~|MTuBJ|Sw20>74E?}YVFC2MQ9V)N#i`&)7!MU1}%tuTF3ZyzTnWj zl+& z1fXvr*=izPI8q&^%pV?`GyOHrNHqe^j1=zHz+4R( za}gy~B88JYGclZv1dYb=h%Kq4%tL3?C@wsK8Sg1ZZPMYiBZ{K5QGUL^tJRp|-GD+=O) zV714#4!gjSh$gcFCqdqE)T`)uZRAbOy^^YVb%bIscFG@}a=0+F?7Uzk$JWT@xZHQH z9HLvDj7hmV$Cpqd1j4HH&m*F~=(plgh9S8u7>yj|6%z+{(V;*F0@|*%YmM-;S=8N3 zf*ltS<5^po*)3kD!Sknxp}z@?Q8ZZAUd!kxh$~kZuFxaE1*O>}O6(TYgTl>#fFHQh zCNQRYFGNbCnKLUem*`w8lhJHja|C8g|ER2at=+sKjtOvMNm6LL4r4;T^4=k~$6Lg< zP8h)j&sDaKcc7(rTME@>`POblS`P`fw&rj>Un&j2fq@pOLZ9Mqk?S(==Ie0`V@F7U zMlD`1sGg+E~2$kT9p<& z_A7O92n&6HDDTL{n3Z>@qxXac5aL|niQLWsA#~f0UJK11xNqwn&jozIX>P+sQEGOj zgDbY~D2$63rSqrPRxshk_kLL$YQ@{1(wXJwp+>w8yTFowY!Tew(x<2@9O=ExpK+dg z@LMg*viwq~0LiSC1o`)=o+RVExZqQ_+lQRTLAJ0iyr-4d$tsx0HuV!MkQ7)S4=!V& z+b2#{BzQzz&;a6V;lSJ%8#71KpEam2%*0J-yC(R&A>Bh%>f;ZNMLhxM&v-3QOvM8l zhQq^pH(jJUbZ8a=_Os(QXeJ=C(i2IIN;jAArV_ZLV-Xcqt&t<(PzW3DG>*ldX{c$!@=7%@X2^99Jm^a24o${1R2}79d9~n2S)tz~ z`MT7xf60Yo)8{awE5TP%cWwFJc4vWKkt4cH){7?~%_D~ zxew7`wPO-M-(3*X7U`_hCLrVg6L)or;`78jw3_o-H{i;jXizu_(`Z{w)lfK9xv9Ur zLLvXuMwV-%%owl!Nf0)X1*4Gmgs&f$IP)B6>~AGt@1=tTaUy`1+4}elI-jahR8;9x zc)$*#+Q-mP3$7*1C8HTFLSn-^E!e{b_)B&ry8bW-)%eL1);G3(x0&AdE}`PPMXrLM z60TIV6ZCmtrYoToQ_Nqlu2rdHCYFB@W>{%Ci(Y%ld-j3WgPA!aP$2*E_|T_$g)IEq z%k0_~-s)gltV_8`(Lb{JFE_%&XX!i^=-ACwjn*h39pP@?c`RneDT( zg4O5cyB|iXL#wpn5P`LfSb1H_;FkFDagkiiUWypFymxjNdnK&FK~`R25Z- z8`#UoT^Nsg<4aC+R--BAYvoxRpfAg&HM$bO!WGP7xVI`va!(N9mal~{L>^n93pbR3 zsr8}^Gxsat)`(pTp5=qd@|wG3!G&?zk8wPwwog5+>jHD2ly9|L4E~vP5Q|%hRRTgs zCCBmY&*~>zSPLJr_#B%i%&Xi_6#|K#bnUi6*?~x-b2e^yg1wPkR0qkDf__;WW>f7| z3!bb$)0HyU8_2-tTdEJA$3Cg1ByLlj-5z(l;V_jRhX`(UP236!gIHo=t+$wMIx+!|K8;qf-M z`7qAkha~E8h})W6Sr9GC!_hu8rt6@xu3}DPlV3;C5!?lD$+q|CxSWmntv)?I14=Bc zuSeV^!l!I5w-Fw40>5##HdC7T@imnhVsIF3DIw0ub~Q^jKTDiAOjvmhP^^+{y;ht- zB!e2O!opKp|GIhV?SA>{k*S7Kon3dcKbZ0q_JbR>ni@?g#rWj}Vzz`Tw!XT5tzb=3 zguZwQH)u=k1%w^gjBgMHp7s{UXD^<8!Z#=tZxwxL%-D4cv-e(ET(+gqnb47(V5pp| zw}lOJGr=i@2y{5mysjXvhN^%mjatH@%TN=~eUm*Q>GWBfFRA*-0;;AeVv+0k!k=Vr zT$>hd@5ZptaNw15EOf^KCuNY;v3l!6k~KPDp~IbZW)V>}8zH>2j@lPl1DZ)ztE#CZ ze}pI6W1MIOKz8=1eng;11+QjLhfUzhoV!y$R_|n7b{8NQkz2i5zsFk*={1{oW1J25 zt?nuWU6I`@EyC`gJ)gkoWkdY-R$i$i?6~~WfoUYIP)T|f{_EYg93qtU#j?UUlqmiP za+^;``l`FN>z~J8Iww_j6v(73{rIYZ7z#E)1Zf-jKos&IF^#REP1c)g9 zu`25AZ$x$@&nHpXfU#bO>DfBiE5%=AQvPGJcW-2!6F{6eRa}@0qkV-?k@H!*dVYos zx@=RXft_-3lw{zz8YYQupAFc88?s-S2K6>{H& z!hfiNT_&lOe>j@PbQf zHDvF&D26@s_+XTsz>UAGPMd+os`hOw#7V}CmvS{UYx82%h_3(Y(tv|xpU3QwAXJRB1*xQYs4pp^#59r{@G&DPq+oU3xP>DT^}fc+TZ>KK4q3novC zFyhNIy&PYVIvCMW3wtfvFJ zMj`w|g`oWN^{^k`%EL)d!@e|8oM`mC(rdwQYJx|Ab`j^3oUYa_6f}{nwTW)w1zUbi z8a0USdSMq-AA1)xDj1Fhz!Pd0QL?l+LjJ3v=U5-97k_TjghEX-K)CulPi8<4Tk5S= zvfbXu)2|u0%`O!?%-eVs)7m1m`7pXrrt8{T3WFxpP6n3Y{5nlN#tf@Akp<5N6RMi;V<__1`}Xz zhQ>lG`6RTY<7R^3xnvnV@T*AJ+y$yz&xKKC#7Fr^zlUCy zJ@B4dh0aR+R#OA%r6f2B`nEj;09UMC`#Pmo6ahijYk&)mk_EQJhatTt4p>xo(&g1> zH@ftWHPB^D{^3z|UxQeZW0lD5Pichs8xJgw(E7YbgmP3E2u_E(VA1gMFI5|n@c+r^ zD_0MWyN_1Y<8(z;W~xfvje_J@}% zh95o+7qI_Xl2jUU2tGGvnkz%tL~-01DF5te@31rA#pVdM$a$!(yFusH;)mAH=lsoO zB2gZUn3hx?NMphJhc{spM-}+cFDVhdZGDh*x?xG*&e#FE;_h=Rtn|*8Yua>ogPuX2gs;jtv!E>q@!k#T`1eZpOHQw&K^DEiSX_EIfwqGtG3R^ zvN|zTQTY#J8!RK3^LOTqs)Q`t+Zd~%Od*M4qLtN{j_N~PAQhSqajCRTSAyok36M)J z22(coG*MgiCWo->{$4g0Jun%VR;H4tpdsIFB?%4+zd*^>mgVDZ7ulr)=FRX6U;c98_$Fj~IU zb2Qli9uH+*VMad^f>mjBV2*{@GsV>6gulQVMmwMEK^a*ujs?FIw59+TOKXmW;$#|D zA&6PzE4|F6D?N@Y|H9+wi)d($40?tzD`W|%}#E5=s1CASQy3m=ONh4_!GZT8p{fg1hPgE6*7 zW49GS9L*mq?TZuX>$Idx!zKbQ454gFq1ZRQXS{0K52Sh(w_$OqO2!`=7>t$I^0?q^ ztElwGD<(=@vf?Y~O%ZWftnXrNCSA!+ULF~Y%ml8O9z-gP{en7ScGGw?z}5HiCq=20 zu~OHw-ABJcjY-VyOXa<%9W_T`cwpw>BqTHv!n{r8>Sv*@(7z<|myf%9@dT!{LOj^i zmbkZ3vAFf?R2O|eESZTWWGm`S!cw8vUXJ^6rsY8RSS;kt@&&XE+ox#@r-k+rh}$2k z=a+DqB%q~Ur5}XI%zk38MaTDG-yX9R`gOyC!sF~K)*Kz`m)aQQh~zjSBjrLCe|D7= zoL=`Z=~Rs#qm+qI6FHhzxD8Y14ZUFk&g)u4W^RvCPjGSM_tVmlaSc89<^^%5lawR| zZ512dxyBL^j;?fD-xmdigdot-B_6xrTk41KI@4~%JF`-&hCUGd>d?XW_*Fb~!b+mt zn200Ihi0*p@^2k(?TzkQ5~5h82}-?a?nXYf-SmK1Ly}Z27)qNjfnfOk#Lk5#f;%JI$G@c6RX>j-uN-I14(MqB?GNx<0A+y6}VmbDG(b~mawfj z%7qzMGE~b@-2BnHX>B{1w|56m2Bc@}5H>E?TIi zjX7{2}MUaHU)o{=9=g3bn@z7H3U)317v9D;wNRZcDFbj@?JM@+5i#YTz8u8u6TjND~ z<1Xf4Q?(BNs#c_*p-42GNIL9J+#BRt(T|a=)d|6 zTYU0cj(_!@n+M1k>D}lcuW@IeSA6{SR{bLl98$1_x1VHAPjM{fRFR+5dedaUc27_G zYtzBEz>5M+#$j&_Jdr;0N8bM@jF(z#gzx6IdpKIR8W^G5 zswwN^^^38mj;Ht0J1nTvbD9SHpQOCpCS%zChLYQH=jh777A&Dgyhd~#_0>hs&dNRG z>2&j>(1Z43LFd+y@cTa+%xg}C-WTgfji?@wGGy-H0!HHf3VnwsV3q4_H-8LfmpQ#1 z?>}SkyGf z$?U}~E??ZqC?YlecqNAK)9V-~IX=t2M1*fS#i=wU%sS~JZBNqUbqgyTJ^7gU3p-R0 z&ZmyVv|nkfGp@&fk))vAC+{2*qe53ynW&)CFm5_8e4`B+mi_RYmg5pshU+~ zYB>Y9&y|MUSG4vSr(2&P3dRzNjOe~d$dDp?6*NlcA`01_AqL1-Z|~yyfU^;EHK>$c zB!L^|DM@}?&9+Szo5-`CX~*yC3Jgo~r|8`sZjyQ7Afd_~!FbiY#`(XnV9ZMkz@eOi)w>^Dv?aMcLbqfpoT z&W8s^uMw9$HGhbF!QN7BKq>f^%I5gT8mspTpw;I_t!m!U)(+R9OJABOrbG;O)y6x> zzn;ncxf7#`g_zY0E=lD`25QPU>P`MqJc@IIR<>U9%5OL_)53S|>HGqn7pS3P$GVjy zHf<;vOjH}AKYm+M62uPllo#b!MV$z5$55S=)+%UJN1Aq#Pwag{XHuQ9Fj0{=$whw) zTTaiUz6QKWB32Z5Gsi0ks|^#;=|rZvz({Bo(LQ}-UeMv*}HdWR{OTd_aR%0T;U!}(H9&#+OL?0SKu7E}7@ zN*7HffV}OxA)Z2qV~khI=thbS#+F`a=ettY0cAU+Q;fE{tBb{OcZns<&QZ=#8O>Rd z?uG_^3D=%spehwlxd8y4#^1#nL6c@&#pW-hH8X9u_r~$oq_9KHbVUvZxz0JivEu>@2yoc&~Ex8gxze-NSVS>K>EWI<`+5 z^EY}Qk6-x)bcb5y{53@oX$q2fG%h$aaC7Nn0_u>1eWW#S*5ulvs0-z(^M4h|Q5{;j zr>o96ue;|&iTB{pfBXSQ4E9RBx~d~jE2)&M&O(Z;qQ-du{r;4#woEJIunF=dQ@jkS zT2(gs-<(c3ZN|3&-4`sYplr&0=Im1E81QcH^pkvlhWfCZVBU_?m`7N7e?~;)z;?E2 zjht#tiQ!Xp+=He}21D2^mXJ6N9|dx?R|}F`>W7d`@fj=YzGJ6ii2PyV4^bs_UCakx z_;ojI?_B-}HBTYw+*!wAGvcJ=K6}+w*5BUg&7%tMlGEBh5><`zxrfJ?P7b0)#fQ1O zRQ^*--`>uBMB;^Y#v&b`Ml3Or%-_{GGIoqFCs@5{aYA0MT**QY?zzzr47(!;rGHYg zOg_=62%^#UC1LiKq)Vv!2A_G#$in;nTJf|JziwFH?6J{T(VWvvo%iR6s*%`p`6|2L z3(LqEr$i}bLp^~c12)Yb{V(~*SneI zebCME-qG_}o0JeE#kFQ@Rvovq_Irxz!j1fX3I*fO^qPKB+j;n|-r!Y2S-#RKXTIVk z!_flB6yVcIAdWv^pRzOkMCCTZ#NU4^p)dd2P^&{#Bip(vh?7o& z^ID6Z07yo2uo)^VM6-+_*p6xTY%k@fTFa|%sP}+uvEgpp4L{y+x>KId3`(-F6^p38v0tz8C zEC^a*J;<8wX5LbV1){^R8je{V7`iPVIsjXeg2&N>ff42Xdv{QcDGC?Bu#0ij2S)eQ zjM8w9tbpn6g#{tXv79s-CdsSQBX#`_d#6!?UnN-WhETq^>2AiJG}cy033qDK{vTMzH<$3OziG?bFJb+G-fLleCT+ZJ&1f$K-7+tvoTMj_L8jw{B3*!Ny zB<-kxHnpoE?lrb3mBmuCbj^Ne#pW0~*DcO%WPO3t7ut z%%YssH;)aN3lgZWljMG6u8rRNHv!!gI0T!n+xToS>jL=R2aLG7lu z#-^^6*}f@R#GHK^`>YW}{Ua4AHnA=-5avKmKXCnt(}nr7ib|mt&ExJJ|#E z9zKnEqdXx8HF95z-=NQ|{Sw_%{*DYqrB(=;)Hza66x7KzY6_Y}Y`AVv#_xp7Y}afC ziO%jm%)s3Z51~Y1g&tD-#nY_P>(kRSz3d$>Za=`t&&cE%_W&pnD^Wn1Yar?P;+a3^ zZ97ZM3%$#?V}DfL@6PIvyst0?aZt45&EPUCC{9uq`OktqgUe>S>t8u7 z$JbEiil9%7k%NI0UgxXQ<~t7_+;TlN=}aP$nY=&^G@?vO_xj4W-6z8q5wU*_>*T{z7`dnZV}kP4O|#{ z>8biUp-$OOCvO?G=`AhvXLXQgSf}tz^i>XBHqI}rD_CXj$!cu+;0YlSj>S+Ds&7!q z*@Y5YUx;&}eHG%Ea-i04Tp&Wst%6mq_tvmWor4)0&S9@u@}-olk6qF_-&-jNSSlZNYJ#Ok#DV%{D?NQJAk)tNb@+<@EQE+7ud*pLMp~y}NX!F=TP<7^$|MYM*8snVj?V zrLE_@ayAHD(zrl!JtBZ+H^8E1_+?Oi_>>Bd#7g%RnP!Z-YoFmw#t&J& zJcHE^*XaG++4tJdDF&Av+_JRRK;K^%*)HGX=8uh_dP238m&H9_4U$9G+v{?SPc;pc zapf46Mto3(x%QwqUbMty|MLt?#Ug>b3am@y0}PkX5&yNl$2nQpiXtr~Biw618m&ZA zz5EG=6t~b3Gw4|baZXtnNBpic%f8)Uma#TCuB}kdlK!MUO)hGQ7u3^)8B@Cha;7d~ z@Va%8<*y8Qj=9;Y-%q7i)KTR$7xLjA%cJCe^l$yw(T__7PJ_^O2&!P-V zy5ukL?i922>vM_R{4z3){(gVl99UOpAZ&u1o!UxdW1M488R(b7D7V-BeMSmhHDVe# z09*)X+t&526*tqhG^{qVf2xPIT?cY~pO0TPvP2gWVsz<3G+>5@qk2xH|95rmlovJr zKUn!E#LKYtP+?+yfg1WiTjHfYP59-$UItb!S>k`(A%Ow2U*~tc-Poq`T%6Jk2RU-@ zw@y~la2Yu$Iej*hC@+gKUvk;5%6_uIkjKni^nD4o@&9B#o+4%4_S_s#dXLJdpT>7lr5VVJ>e}DU%UqUy;0}a56I|R6t947NR>@^vIAr7847Cqt%thRJ zQIy(IeBWKK{z9%T)t(nWLao)sZzUkEM({sU5hbnKWs#b|muwm~@o24a*jcf3p1KQ7 zC)gzkDw#&#Cf5HQUfQqT1Ck^6&5h3Qr=yS?X>x5xa_E?X>J?y|wb)!w`8c;N2j3y- zkte|jx#j(~#2~|>9;swtlaQxisT*(pT#sxcvieIW9?sr+AA}@?4X&lfY6Ma|P0b!Vl?LOI7T@>ynUU5DN@3TJBhCpZ&-ig3RmgdObCGU-{!nJk<{QwG;G4MBqu+x_-X4A> zTI7PkY;rtw=O}elIDhbK;fhS@fZ?82!7SYK?uQr6>7xpeY{Q4<82=p;VsKSa_`Q>arK5#ZJVdj2s~4 z<}lXzef@|Y-;yej4AQP5uBCmkG1CI;H86(o7-$Mc@Noh|6{YlHA{i%x`aL-J>93DN3F0vqzZW_?vT^pjdk7g*$xYL#V~^PM-_yz}Eb7Ef*R z#nqgb{i|w>egcE<>G4lPN?__WiQqzJE(~?rn+CMFEPlgkH#{P+t_9|2G1ZYXGjwAP z5_$=TWST{UyTzi`7vKLt#tZ6ZUsy%dun{?vRb$}X?S+zJ6)~VVBvwo#p@kL5ipr_R zu1(Ib<}`D{s>64@Dm&j2nfTU??zw|ET}H7S@sW+`G5hY43Z?9<+XPs<ipP> z-nAwrqOLOdKiI~MT!R0P3J*8%1#MvMsUgv-W0WD~)#*P%5R^@jMQKL#-{HRfD(IZR z5m*5857`8{Q7hFk>qfz+zYn0AtPbNCP`Q=Cxg;Oec>j~WlOcq+lrN5nte8zp{sLwP zv9cZp|D-CBsjHi~#cyJ}N0&>0 zqZznG(E2pc$G^`z3Oe&}i3DaU7>YW;Z{T>%_u97ssEtz}uYPE>isSI`;xE?uPRZ#S7aWwmi;{A^Y^{xqJ4W^PHti&3g7fRfK;0v%Xq$ zNFfP|Wx>_6b{rhSIaF0oIwAl7bAl!Tv}Ct;o~e<}UyI6fo8nuE2>;GBA
mv&Z?} znLKA`j07Lf;tjN(zf?2=@53EqBO)*#9?rqD@k59G3po{Vw`{BxaEW~kd-Yd*n+7BK z#~7m& zMRmfd*dRIIXfF^2=M;+_ zdiNqfmI4rqpXJ#m*qK>!y>p;lUW(lJPg||TyY^QT8V_~#rFBY{tit`fv585{uQo?DmW@pJz7NG#4^d*(d^I8W7CKmDr*$b*?tp-p(F;F(R&cfb$_g6 zUqB0kj(+>XVq*Icwe@LJwqUX<5@{VN2v}ddt*F>-d96KgZVT%@OUI_Qi?r0E1{;la zHFiL3=_vv=333h)$RzUSlj!L}itHpwvU8V%b=|MJkYvT`vvfV5v^HJ&dm2rW0F%yB z4&W8qrTfB>27{*hU1l=Lv%g&GBhWIMle=?bpL6Nl)&`>05H%eDaz(7QWHyy6;Y~^v zs7Z!hAuaE^$AQFXV`Gc*vi$-kw2gX7)E&KZuQGB-vhk7lH={BkL2ho$oc#mJCpl^W z!zmJsp|hDpISINd9l6T@7griTuC*bK9Tv#B_2d zBE$?ip9eFY_9h~cj9erLmvoXEC88z=2K|o(rn{taVQgt7NbBh#Z?mp~>x>8RWsOMLI{&|A8VH>xfTEx32yCs^{Wz$axcj(>eH*M3Betep36!XX_O#~*oFEK*O zDzN&@>B>=7RS5w;k}hQT`$3EP#NYj!7q)ka3N*0B3%njCAH}VYIz{ib$KC30=S)gd zT%bCU+5e4KZGKR(+Dx0A%Z4HV)~3*zCp>JHB9^N8pyLi=wI-)C|56qLfLgEplkvsu!=9}y3<5P9m0dNyMLs$n2%YEkmQ*3| z%CHQ#GPa1%q1+j#-}}bb(?8b(jP>&CLKuabltyO8iTx%Gl$tl%FD?*auJ>F!RjLpJYdjvK4kKyeuxZurCdR(tkae{Ly!Zu4sDLeT6 zL?`FcqbQ8`EUc`lmWvMWnL)mv10PA#QBb}XM5#wN`m!||6igzzreRrW{ff!wP16fd z#UUx8Mn1sVJ^n?-RrbZ7lig|<@D57R%uKp|8$CRx_wFuZ(Yb{%2||iDDp^lC(TN;` z{2K3F5eC(SdAF%)WOf!BY=PMdy1xI;nQb2B1iKg6cdf6zWMizDJk<_@iOTE6J#(il zJc2jRzTziKM4b2B5fd+O=zLZF=sl>@lOQ!wlO(KIU39L}gz%?2B7B*&Te70E8Ll_o z^eaZSd1<|P3yr>mC)l)uGyiLUyLnl%tT!0Vn~Eu0l@eLF*~~MRUEr*E3C_rC0RG%; z2JafoaIn1Z3yRPwNxRteE+yPGLc1V~kCegPC*8({bm*p-xoj%+!U|_{KMc$bGLudj zl~)?ZjIV|6P#im38UTyU#V4AQ6T%p}7TFSftg`l=U#aPLLanITwE8St zKH~z_z%f3_6Su3(xO(Qc+jjK9u2$Er>WvhC{KKGt1|{P=hjU^Z*^%E@kW;oe4%KVS z|5zvhyw|7>$xqvxib?C`7X5#Ve+N6i>oFfO4Ib`~Ai!KNnQcMC!6}52;hB@gv_naL z2F;I;V;_ZJH!{{XnYtx4Qw%g5B}C1n@l7j1;*s0sGSin2PfII-gGn4cv5jPStoSzG zX_5Kwnm60nWz9wu70l$g#7AcKdjUp+&+kOO4vVCYf}SxYNM(I_#UnVS3lZ5vRBpbJ zP`9w`z1oLZVjL$Iy2xI*$9aCsTwwWlbDFSV+%BKZ^anP9llqOr@7JnOebiyQlMr?f zA1Ej$A7X~CQc*Ijsi$5hZ;MPvHJSr%gvx9 zog6sqp`MOkg1!w3}A~({Tf^A;>6&uY@(A8=#|(i!FavsDvoQK zsv1`2znfO~hbeTVQkTmKVuKoi1u>=>$w0t%G)d=OS&+k*xW6}#t2Cv#PZgrw8tv`+ zK@BN0x5YO4^D18RiXKwK#=|;cZNyi6Gp7yJn#96nxkAE2rIL6IRG-#*zVnzvqwU&1 zoGeExZ;vR{d%bJtg*{jhIcGb_6H&2kNJmdLoI!Gw{NXjNc3xZf;7vaDNA610S1G@04jkQ?P{o61Uy=ngT$u<#=Cw-(KtKB0ZEx+m>JQG1w|EK%^07)lq5(-_x~fW)xPf6w}mq9&rCFv{vls zk2D(V4{LB2MsMOnPD|w3^PI< zXiK#dix{)aE?@Sg5xod8#z{`XH?=+Dlc72QW;c`#(3^a^6{Hg3Y%R`cTTVM4D|LS1 zoqu7K;2)n|9YiC>el6Y!ag>Cm<5FwX_XgyG;i>F`8f&!nef>@M$^SU>)-?kSwf=Oa zeWq$*z`vdFq-L))@@-qwDmHK;Ma3xhGDE;Ou2#F$7#(CJNTzl<@XTf3-KsxV!kd>r zgs(-zQ|6~1$XJU8CPJmH3?RdxySM>B){&k0Zf>{Ms*L}d(Y^KZi)Tst1J+*}qKZsG zqJXai=yrG8IpwPtD20e~0p;16p5dA&^S>wS5+|a4KT4PDe0Nl9o3PUnGu+zL3;wCA z;oSjmASax<2s35MHcF|}e~;wHs~O+h2X8ha_Qhp9B?s`h-_yFVT{^E=3^1ge3d&GD zVxp~!F-{S*k`^)%))bz-cZ#&T&^GCGNXzA4^sv-5J8%N?(07)Nu7ju;WvdmGxd_mC zUl8oG)f274SyIV&Ay>g!`4cl2-&10hg@|ha6W8dEC{^$1@`Q`Bs1(lr(9Ae6cj_X` z?&&FJr%~n+Adb}I#hB(xp_Y9wQ}(^$QIC)&keVJkM6ETT0)XnMKglv|xwKh}z3a?G zSjVS}j3Qz+^6qSi$r@|1H7eOR}cS4cFno=vciPr9?YchE{dfk*lR9v~t;R z8p~mJ9vx>^OW7wS2@N7-ay##@S$po+a7V=$Qo1|&l+^J$sZ=jFgncD2`_@9r zUwTo-U=6_xNY$Q`2z~s=3HBqHxAEJ}>Gv5}t5<=au4fY!Df#^qd18myQA1ss6PKtl;`(}Jn-JTZn6eZ_#fuBL&_j=;$iFk@71t+5ZAz8j?>_&KYfZyi z@6$fCwM*7X=afQ~w8&Ah3t09|kD6?8^_r-nvZ|}>CO#9Eg+YMoXuJNLZ4el9Y>;Gw zi#cBaLjl8@Cl^eq$b2=UGdO=Za!u2ef3c-l5tKXK;}7*nYd(Gj$kLL^D18KD4Kp0N zRFw&ejLG`4FBo`I+rbCskfaJ|I!0FVi~rF zf>WgPu?sS}%Ju{DU`7+9J&sXJz7gRMufjo8X48G}=0C|~^gk8tg&wnBEjd56Ra#&{ zp&LQ;td9-z>7#uIJwr{Lg%%l)i~ z)bZ7oY(l<&ivDZjhTgLl1wLaGQ9X!W*B76Yu`bwbjVyr^%onELHTGll?7wCp{{bt) zDyDpVsf7NU>qOpzBrk(6SH}YDf|pg~vbp2vOXE#kMy5?zWvzCwxSKepnBxljiDcwp zljkyYzIGM@%`h?4(?nj?su5Z8xt(nfzWs!KJYvyf*7VsfQ^~a4MVwVWqkUNj0Vb?% z0Xl~r!734+jEgF>B6}G|&M!Drf#2ZQeT{A1q+q`P>gi0o_CU<%CJFr_)6#DLVcdwv z=RQlB$=T1!>UdBi5 z)Rvyir7bo&^gz#0*)7oBbUdnD-Dw*hpEvK6mO`vLD^9^qhbjFcPUO((19?p)!rAZi zQv18BsGkngNG15?%tSj_{?T9l+(nxvs$ZV_2O^S3EuJFgCitvvnyFF4Y+vOx9WCFz zy({!bdxq2g&*Ru`gABi|v*$wpNs?95yhx2^6sKaM=tqQ`h$lsv+hygG{4{1*p!plv zonS#H(fV;41Dk46+&9C;&E-y!K%Q}|3uWSQ!VHnUgQfEN-zM(B1FUU`x{3*dHvYbv z%HJ%Yd7e1ds>SQF#>ZQ;VAf-%qqeDAdtnJKmwe3(lkPsi-01nD&6feHm$2EKQ9RHB zj=GhB7741Q&n_bL@yM2YZ#h;xunpCafkzK0XXJqJC&w8``qBq#q?ypL)m2q5yo1dxqZ9qEWC?h zC4=^_|HSfB-oypZ0h&}6PrgH)CL>;DDUYX|0K^c;A8l%5trVr#KU#20blC9gggd$McZw*^G{sWbZSm*I?grGym{&7~ z>u5%G!HaXZM?b%-jix*8e2_=EjH|%*m34nB*rI&sSpAqR?WR561!W8HWaf5tf~bpP zJ)@m0X=m*vgI8pE&_FeoS}WwIN}opFcD!l(;x;%s*mdG>k^Jsfg{Ru=+_=p8H?^j)kKQ(G2CTulghD zfA6(qx0U+6>Q4Fs(Ek(5ry?A$W7}DOhpnt9a2Rjh=jRyb>m>Vk-CTel&?5!KNv_70 z(cwC~M`~74PTg6kc<@yX>crdEqU937Dm&j*OV1dF7lh!3)pnciy;?3JAML0cMQy?D z{=A(!CWm&S?t38^7W;cLEDVZm%!uoygo)iN^Y0@a1~a4D(z*uB*85Y^di`Ggv8V;L z$5ypI?VdLKZ4^}-ebvLr_^+8}h!Q8j+yB?yI@-i-M6yM6*c0){as!99gj2iV+?I9H z(X@ptOGp~<=pB1ihcrRs8a3tkVX)zU?4>(A(POgX|oR15HlBD=5m@BD- zvxKUu?{P_J0_zbxq`!TRr(qUzG{a1meE%!JPg!GCz^(nNQJV_FCHh-lA-%K^9;bkR%&p@Sc_pCIrc=Ydt zP^xG`#LQI(rQvRROwk@2{UtX~l`~e$o0XEhFm?&_357V5&W8l$K&;V+OtHc0M-ZK#P_Zo9XX_vP4hiTx3De)ySpUNYgqw2k1Ut zg7cn&`I~zGP;VYZ{n6h~sOdbH*_3DPc%2$i{X1UfZSMS9Klj*_(W;_?f;Ymt30)v#L8N6>&|nKIhIwJ{okHcqZN8&uZKc zd;EMnh9*dla!x*V_kOK%Mz76vhz2rl`aJT*Mf+N+PX^DdFeD+wT2P@LaT5%B0w+GjWBHZ!wQj>)~m& zdSED)##3*dFprOdQKh%w6B}ibB`sy3PJx0l5nOqs$P8Vf^_4cAcqBB{uK`3f&vN=c zE9+)P=q?a{&v9R~Ir2_QP}U8nqEfz*ZnfzoXpd=Z{Nf+wsF#=`DkYiCWd!m@9~za6WSSf3 zk1Rf8m_o7v)z(~w%i23Ibmf;tBKU(mCC!&blDR zH@EzyeL#HaS$V4ac-5C|r0FK|7a`_)E2fO4pC|;q?F7{G{azH(X$yfk8Bxv-lNdUb zjA+|@Z|Xa00l;=je0(3J!KMbw!o}sQi21O0ibt6Pk%Oy}hgrL@{oamBUga3yUI5>htaE>arj@P5~7^XX4s;@O-$Dvw#OT7 z9m7^ulUFDi(>(U9*MKHcJrv}qNBf_XLpZk`ZZ=U7I(yuqe^c>fyqcbeqGofySC#-9 zpAJGL{iri_pC9weIrNZILXVm2Wp5?=*yOfJ)lpW4BZdn6pVjSwi?v~ZtvEeyn8M&#_th~ zy0P!F&)O}^UC6c)-j8!$&;u619^{WYO3bPn1wPJ42grSHvB4>mRssFp<;vMhWXHnf zzX>b90rK7IGIBkcmW6jmy_xt-RI8`b8dcVA<{+q<$(_jqtHs#&@%&qs%Pza0CcEe- zZ!E^iP?NW|+J}0{!}VoOj>|#Ho0}-`n#S>yb%It`3ahg$lUHLMe3Wmp%}_XQ-KhI3 z0>XGR@@*!VQ%uxwFDApaAn-x}kbMquV{Vvd(G7V>eD6 zpYGfUuo!$EjGUnsp37u8+95wPojUKU+(x(g9zl`6Mk8fFP{pzNg9Pkbu2N^>V4A#HDr!_Pfo23{Afb8o9%ypI|0S(MDHFyL(xoDi-nRa0lr ze7o4ao;a&9UN&Kw0 zqEZHMMXTbzgH=h)UjrJo8L&N*bOsUg2_OAjm6!UYcgwmQ4*xJY>IE9nX5%-=5KSJJD@S1w~S2gmRfQy3&^0H5m!%u6I4Ms)vOCA7%(RV#0Yy2T9GOs0ReJZd_Sy&di5(%uP#k+L^Ax za#sT-ww>^N$gz z&fM%hQ1EnA`z3`BPkyCOI9)y?l%-U^3z@0D_PSFuTP6as$K|K-Esaa!2ZD=fGVIB0Z{iE20m(8;lO+ z23=7I=`bBdRh|W(r?=$Py$R*jb!psf=3DrB%bS(=UHGPsrZYho?h96M33YP?4VLJA z26xk47C6hjSj%aw2+t^WBdRS(^uyV#7@SnS$#gTn8mu|5oxF;wlhAE`Y$s_Y(TtWeWx6PM9NhI!RLkK;3Fs>1 zHx2~n=vQ{9`pOk%u`^kn8>M@hvYy;I*M0P9k-1L8WTacb-!<;kvdUW^pconzIc1U+ zz5)B)S5kbws|v*cS&VR34aKqD3a@O0_*IPvb+=qQ#Fyan7RpSxr4;p(_!eF}f1;!t zefmL&(fg1N_W|uG7g)S$!ZnH%A`e{epJjPqU5%rmHWnVT)GA0gGm;}9YLR7KD3yEf z-KiG`fSZ^JKUtffHz7iIe9$WRmw-tf*4m|BO#owozj7O(r@IOY{{m0Z5=@4i|de*%+4ER&oiaNEmC6HjfHJ zw2LP~qc;{rXm09!AHw^B4L!4(} zAz_f&IjE4jO4&Po=n`|q)&WvHxO|!beomEVL1CHQ6)%7sX0^M7yQ{7U@$R&3vql~s z_z{eo`Z#uW8{>4Y8x=iX72d%Nw1tmE?xEicDb)E`fDw#p({9p*yZP>>I5wL>!QZL3 z-}Tza^kdczXa=UB@f)T;5;UTPtSgC2FmCNP+J5@Ls>52t#UONCIm3DNuVM!EMdNYur#hC5U$(ffld zZ!0Bj)%Si=kHnb}J+a{83qr_2{}LyIQ|paql+SN^ab32{YxtzpHFnpRi^3rfuqCCk zm;__0498By83E#WSfeJ@Y<86t>9LlkrVnaAwh_C5tNgI;=zE{ z@U21(n0>PS2Ri_=M}|yHcP-OO+32#v%TZc{rDVOVpI;f!~yq0#+s!64BI^Xx1+hY*S~lUZLFqwaFKDKL?zSYg+SM>)1FKWc4=`BjEjty> zbL)~GcRZrd?)hWJqkIxqsCR4HGwO&Y{0+zK1M)z@gEA0vh8z-?4KGAMGPo!GrEklL zqU5v>ecge?@PwB(KfGV~HYMt5uJdxsY9f%HUtiuB%c3OCJeb9l+0owp5$Z+9f(Rz)q9GzK5=Lf|IvGHlgrnB_gB4Ue3 zJEJ;j+)pqZj$eb!)A?3MBq=E97>SaEkmvgyh$Ry~IjW zDHq?H9QQql8BDHIgiZ&J&^DgdvHzs%eVt^NS<6ee0kh-16Do})v);E9pfc36(Os+k z&bPMIe*;NRR*69x&=T(y7S`aq(zU;hqI;$90VMW{IO@DK?pSHEj||Q!M`ml0Wrn$kZc;g78hiqg!moy zP8I9Vpsu}Z{f8=7NSFToAaZs!_?L^>I&)30pZav*-tAs2dJEqs9`IESGsm6X6-kCj z0FwLf-WrOCusO{R65$q8MD_QZF`k`?Ui!qM?AWsn;S#&(6mx5wRe$KB0No9ZsrN6! z_EHw{bYvHlJVx?<_|}&?5=sNm(e}}{$^fwVd$pMk$$3!{3aAOv6&kQ+%71>{Qp{hV zPfZUKUdgL2FhM{gO^}cIb=^RtIO$Otp28!n`XDvfJTgGa&$SMSFpA-U{0a*;pwNW) z%2`5s>4=VkuriVqQKV^BXQvRP+Rftd7&;a{L%=Abl1vGzqvTx2R#RZ%({8$p8^R&M z(5MttlKssQRnJm@m-W<45M|Ag0)OC^Y%n8BcT4SCZCLOw!!gwL0d1UpoRkdEsZ70D zO(%6;A;yr02(_x6hfQH;t%KkT{7zO_=yCZuKdWxZTf0sttCPTH>>?>2S_n?g^FUtT z_|q0&f@hhUacKO^#ouw#MmjYbHxMgq<6d~ej~6%qFxnLo(ta=W4yUp(jP!z-!O{Vq zWm(twasO`0GC^$dh8bWR>yP3m?H86J_aQ(HO-Ed`plB1;V0wk0;g=!w_~|Ca)w#|7 zVM9TUEh~O6@IPzb2Iw$yO}hZ8AQ2nqIbs zKn#gvypZ_L!+rm8GCYW*;9D|`4d3;Hy9%Xsa_fAd?7~%FL67cq-7Pcl?M-+&G5L0%~zTwn_gPb~sS8Q)sYvMmiMKX=|n8|0#llZV3Z zXP_bX4y@(JzlePJbo%y1F9PHeG%Ov>88eB3?eWFV(gH=&v1JgV$yHk7l@ADw6BAKj z?2m3dl8%Z{w54Y+!$_(L?bQ^k*Ta4l!+H0Z{yj{Y$1irzs_m4VlY(Vw^Ju$pa3wG0 zBYg?YuR}5wHq52sS~y<{7MtF=GiNz87q7Gh8dEVB4_D2omrjFGh^;+6_~(}>Y5CZB zT>qWY(<`$8sO=O$O`Kf7EAr)4R++={J~&oCP7XybBFOyhGZ3OViVJ=vX46r8ln|mN(O-BxhB(eTA1x7BDM$v*Ydoy>>G5D=;P6e1{8s$5hZ3F4xK`ds__ zBHMym=#st7Fy(1?tYH2ex?+y!;Qn`hL3usUxTp~%G%AdnacJ^) zcZdzDU+8lz*N}-6@~KJYm`+F96^+0H0DO@PxHC*5&tt>mat_D8TXDHvZ{X+o)}wGq?@i7gQV+gT70N);6Sg?(#T-o%muXUPHHiSI#YweB%Z zkr0fFnh5FrOr5Hnp%}>KRu`R9kV+Ty@M-?$5CrF0E@roDcL@OJ+!UXJ9WT&`AzbZDMJ#d83ZGNJ9*+AY}1{C(U+P(-;~?B;+u(6g8p!;B^2m zdc~@jD`5o2=KvUiy;$DfLd3oPAo)zq;@^BL*Hh-TXG|?%^||*BxtGQCS|v%Bl_W?n zrmxB!4z+WgpL0OiAN3$M%$7QG`8EQ)almT(1-hdFHWPrdS#N7-BIcEoZLDobPXMN{ zWv-gQO2K4o_#TaR$8{j?r@5vPRc~_e)kR^$Li&VoI%JaQF_O{SR9r-}sB;3MEu>R; zq2K65o^>`k>^5xkqZ0u%DE)HB4PPXQr04kiaq^Q9QE=^9rtms*w@J>;OL1#JFxUzu zPpAiCg7s)1u|6*`vgs4TR)op_!7oKG?_!O$_rB|=kO%q)9rL^tN%Wmbk3RI7V1@6K zTttSWzoPsj>*K}k9`l=JX^pe)G3)Ha4=`)7*Pq2Y*U4rUu%gDvrkM16#J5D&|3faK=rVyFkfW0q z1s(shaMa%qUMdWn#rWq3Shl+NpS3j>n=BXA-rmYtugbF7Z^k6+l zvCT=`fz!1hWX*p67ER8!I(Jy4(K*K%rLK%ZPI6H?gYM^azfZM{^k_jC^$Zu0BC+I}FWbGR_w)IU%f(<4+sTnZZfCrPp1X@>TXRP*^c z;MH#i)zWcD2tXV8!<8EA(^z{OP`9P2%j|N)81^HeAUjoM-Rs?YDN0fjoA;{LP9ax) z$&0rt8odie28!iH7$hPk{B3CvGR(fYO#C>LLni9MaSav1VU-@0v?f0Q0sl%}#`*YD z8DWTtvMGRX__qq8Z+FO8IBcnu`G`ti}B%C0HfN6=Uq&KYCf{SZ|M{ zssX+ihKn>HE?owu+`M;<*QWDys-na+?s&zKNDq$WP8#7wl4P~&woH0>QE1J7d3C}y z10$WpMx>-uK<;1Bl>ZLR=!CI-lv{pJWQRo0DWCTflow#JPt(gVIyO`QL1I*Np@P4F zD{>Xx{|R@K3?~j>WFPE0zqvFhVBe_b3s-LK`sq3&2Z`O8#&TmK~MBTMj46D zbk2VQ@Hr`oCjnZLj+1l&CVb0q^%fDh`-YSX#?QS;q@;rfe~DZ?+aY9|xw*p23#p9y zcAZ1XI6VQ16a4bjnQ|_b>Z%584*>YaaLb$(J^FbpZaYZ2rtzsU32dAiKr{MMtML z`Pa!x)n2pTGc5%N201zjlP$GrR4=x2&TM^6mE6C0w(%z4dJXq9>i7F2u52sbN$1@V znwXR0F7PlT$hv#B>h~bXvpcyiuATLambAuBiPomC$@&s1>_>fN>64RZ)-}&>`6P_X zZxp4qx^sx~HRk6$=NLZ{9|;ZqT@p>)6g zTMaV2`Rf@Jd`k`h1-wjR3j^*db}4ViFGe%W@z1smyA`l_wYk>z+!#)2DP&Y^H zao6vftVtYTi!N{J=^s=po&%IlnH(xtDionVTRDp%iGqBmMjmULGdfbk#QlPlhMTy7 z90Kq!!7A4NqEpP424g?tsOVi3#g5KY>-I?Zg`}bYzuHo>pc!Y}I=(4+ZULG4vcJ|W zz&h^3qoLsurc7f+iC3cauGA&Xmv3?z~G;SZy^RFJ_;35cJlPVJdj%lTy!nSB!$ruT!U1`v{+I z(sMbnbWV|i&g7%v4T+HjuW$<+un$WZ{Hnt#OS-!Uo)lptRsHDUQ?^CfNhZXBuq{A* zk_`StIHIpPMk3`Hfs(7y2E~d-VvSgmFwckPno{Bh?d!E#|)i<985bSKe3rhRB z(eA4uYgYT^XmWCT4Bc zV?pqk4-}Dp$ak>J$w3-1)&e_@0MV4rLtkivQfzhSY14 zUpIbwVUt)-gJ2K{;O-P1m;T$Q0*I=RTOc8ietmI3$E6Wxpc*QI{Zs77qCB56kM^p25tJT;##hl|Fp;?? zxw)h`^Mn)mSVn3+-LSj5u!N)iVGDAvO?!XZ?TT+!lo#^U24wh`vHW%F`5EtqX8t{e zmZeI_|BEwcIL^tVtC;9p3ZzIVJftJqy*RRC_<(aze|>trSta9mTU>su9b=o5l|4zK zeS_s5->302`1+@4F079MJT<0+qO%IR&prB_MRo++8@eZagwMxc2J|EvhR#lQycoF2 zNwd-+EdSTibw{)HzyB`Pe5{tLSxRfPirO_oD=kHBYEv~5yEY-x)~ce^UO}im@~M^D zA60uKW@^S3lvoi$_~m=f?~i-Wd7k%k?z#7z`#kU0Gv9F_5#sSyIydRTi2Ukgy)t#1 z*>bOO$$e{wXVtJ4$IxwH7`@pxJLxe*yGG8XtF<{(v$YMO`*tJ}<*O*U_aLDzkYf3Ve^1cK&dr3Yf4{>7)Jh&isX3 z2F^#j=?=r!Q;sgDkR!u8YnkE=MeE`aKbO0%Z|A+Pkz?Jw4{**2Pdle){>~}7|EZw; zSr?Z(HkIws+whvOn|1JSB9>W@!Uc4+bv}piG>|FYLI?6t64JST1^5Tcx%Dxi0$eU; zw`w{02J`RL>OlE`W&MrI@@b1gFk6vi9?(EnR}rGF^Nm0|F)=O{Cb}0 zMIWJ2mC`GN@Qq-$oQ}axJq8uFM;BZ58QXX`PH!AmP@5Dff)*!{+A70lR% z8A-{IZlI=C0>mkLd7ffV)CK*@$#zBfdwFG(lz7RVONOqg#>cg(BBr}$U z>Z%dxJKTh1G0)je`d0@3m$A{hjqJ`_hoNktBdh{%@WEJD+t%4 zCp1l8h1QIltOf13xIVstEBE;*jR)DZnpOvmeZPPuo)!0L3bA@ygbP>a+AroP`hLXH zi>DCtT(b-g;hl%!569a<I0KkwuTz+o9YH<#>raUZ)Z-nwhbgyYYiM3}&UzoaH@KG= zKtLObZr$3(G8hpkFeFC_R>X>MIc*2nyp#1Xt|2;*-KR ze9HVkQvUcAMMy(G$rCf}MVKIQ{R__&nFi8*uc==LCOm7mzf0hclT-wZubckyx=pZ) zPaFLw<%dj?H`F*kkgMNXJ6|=s_MQ6F%vJi;t)sf?d#@%YF4sNjtXq_GteL#rzxQxI zfwfJg>d;HlnGtHLj+Q!{j_`CMFahRfvDs`*Wyp4ILR8eb1agZDs;Q2XI!plA&^q2M z3>iG#$Fc3ghkH@y;>ZNKltTSaS9Mefi$4I=?2W&B0BQxYLcSCihu4B_xJJ1-L=jj$ zULR!%bj`Qa$FgszDBj@M<`bnpuS-2-&#&wHm}G1qRd?r$#4|c~q~2*y#M1UNt8@Wk z?QcCDE&}_riNIa!N)J(ScyDtW@-Ljhgxp=PPYLHQ3HOHAT5As^w+EMn?7XUqZ(|?T zh|Ld6nw(*S=6t^!I>MfgS=E_vRZn1_Y_dzh27dmu(TsgIc*u6;%h6&`to1E$ael<; zm6kLOk)RxuVjEe7UcVMGztd)7~)S zwlDeUzcC*S{>hX2()ptB`IbC380rfC69fSpP@BH~7>CA=*MHF|F#XOe8m@0%;SIw~ zK1bJK?E^$MZ(vR+w%@i`?KI4HF=fCZ>`6>OAZSZWi9=`_7=QFBV#k3HX-aGM3;sBg zn+GAw*Xf1m6_Dn9^EQ!$B}lMf&jD*w``+BQ{9;nn5qMPY@-;gHIK~Kb{n}#FAOxcq z3KJPJX2TD}%kN*O$IJxBgm3+w0nC{Z?75V$E*j)AUE17Vv;OG_7H$iBGoAB*7%%Kq zT!pgt5YuAK9LqA5QMvp0;-?xaom+pMhLkQMq{)~1OVys{I1zl=pw-Azp%e?Yrz&d5 z;q=VJ1uM1I%3<6pP<(aUK0M%!__i= z$uB};kygToP|&*YVRMvc1{TlR^jsb8MM!3aHY4@*3HnTkOl&sOoB%SNrwOV~l=nkK zoOjTy{iZVhfG<#!g|;&nPv2{vf)UT2$ArTldL+V*{JAokkt>Di-Ohxf=MFp9QxpXZ zoO^x+gn1Cw0IeO^Dz^Tw&z?Tfyu+Izd94V>a##|jDuz^h;zy3KbrcoXeg70^V43jD zMf}xv5rCS6L}~vIlwbhV??|s#glI;Xn>tr;qGgHQL}$f| z|125vDvaLCt@Wi!SC@DU+3vZ6%mhpKmXG($4g#xF<%JB$sV?4E=YBn1kx`wU87@=Q z-s0um1Pdr;8T)cc=<){0ajl8e9p3884hdhp_|znsPIZMl2oz>pxGH)fgeTgRbj$hD za&)CZbsx=D<)|IDOqb>iC9tL9`F}aDwmuk9g1%%avaJ-o__;o&`Sdc!p=L}#HIhT5 zjyL(%SdYc=s}a`smJ-Mk;oBF0+n9$CJ9`3{O&i4`ex5R#G&Z$=ZF-1U8nD|1O4f0n z%=TG;qDbO47LVs@@>N5BZNAKEz;qjnBDS>cI`RXL$Mj=pWuga}t9@^x1 zLhWztRzR19a6;|1t8&ypwq*OZTu5uW>U|_B?PxV^nuB#af7`2hw%ZdNx4f9LZmWr| zg}P1+=Xm8PgC5!5nWBWgdD_mjKFLuV`+j$->-pcmHwR|SlESu}45zt(N;1WDRXV;T-I`J{kWTL+XL zZ^rt4y`AV5uRaH9elO-RmQ^WKNt&X{fMc)tM|3+W?H+oonLC=nXg&wD<2@VxKV_9- zZlr_%K&}g0;ydOx%sfiQP@DFT{zz^wZ@wF{$y*hHiX&`vQm#5JI7H2kQvRBSl{h)X z*50evsAU|IiQ0*AYMbHo$aUeIBM!(!skZ%m)VGiutkKF}p1`%w*#rAco3s_?Uuj<` zczW-f`Ub`-E=O~>(=%to=!ebhU z7+nu782>AD`wRd2${k62_og!I!;?w|f~3JDcoUCsXSQv{+7*pEVEr)q- zqy!_9!V4{~AGtjnP_gX0tR`p>5c-TqS+zIPx3_Uu;YDT$BlFddhNf!%Sj^Va)A?xnE8N^qdL?6kju@$ zkn+^Nf5H02r%gc1(XT%OY6@n1LJ}rJl&JD;uoAnfgP?nVrgnT>?4C>Zi}I!z2ImiE zd>YNZrmfH1@A^6)5mr(hcA;l2rY%GKs#^7>TC(_4{ffoyy!FkafwF-8;h!8|+OQTH z3Nm$L-(5vog8WuxqAb7&VN)|z%`7wb(4kfu{~^(Mx4!FSH1K8Kgo}~?s#sTW>RU0l zLYnTSZB}drvxn}xNu&OZlWm6TlQ7DapJ!KTV_3f#VO}!y-pyxXr@Vht=@tU&~=nBhQnyCM1#*%f*rdV#Gt7eB5j3 z&;}@xlV-sS&opT$&@h|aw92cA5+SlaEV%o$8kOtFg`eY4YO3l~tULkU!MUk>3gy&B zuTf5}$BLnCXV`OkYI9f4nyxoXBSq_9&o<4AMADA2me7RZUJQ<5uhgnt`%-kGvGt;z zN9r?1+05^QaBVvAexpjd)X2WAr2j)_y)(qG9UEqGOnL0v}MGmz2LN6Xk&emUK76L{3_x2 z1QvcQG|(9$w_enM+WB}qA8{6yg!%-N@ZNn>IEI=`*c+FWdmB>05iA*s=C|wFD99F&E(LUfkb|# ze_Okrb18^0v7hZN-BxlLs&*5Da3Mkx=z7Tjazx0~mZ91Oz6Wh9H@lcHViz zoi;Yl;(B2Ac92O`5<@2X&Lc-vtLlZ8vNO-<{ank4{dsTY!viZ=+TaNYQ%`j&7QAq|R(>NUnH zW#J{8a1fj;r1e3NV1QXP_S1}?S@d*04UY;uhO3=u>NdU>9<9IrLDjUJk$_>N+y?sa3RcI-A67?HI}!3&o1&0`B7SlpqAuZ4)VUB+j0*}^H?k<_8@NGoxw)5rj#!~(}iFPAnjS@{vg|&Dm<7LkLyeYkWSq0w*Pb-R`AVm;_VI* z@6f;74_$D-;&YC8K&+11XLf+BPerXwEZ8s(i8+RFWt|Mm9a_bph>WTwUvks2u(-~% z2pW(T@q-su`98frLUCV?XBF{L(ScxxOW3yEu3ZL}MH>}p@@y-x_CLN^_ss!9ma98= zD)>{uP}Lq!8v0GXv}~~64Ahb*-DOrw|A#&iTo5W;FX2^*>+CSwJ85v)ZT)o|C5-gf z9oE^0T8sF05Lw8YaV&Tf)>w^9)bttq{fj$exiLrTg>#RP=aI#O z_Q;VnqC9mJJI5Lw%QPp5%#Dp_g;tF0WEos^8&hMAENZgjJE1iAdGc^z3!e#fE}*I{ z@BWUMwhkCXQ5JbYUl0p=hL=$e|txr!QJ4Y&0W^L~}P;sC&uY#@}=N zId3p|dZl&RAT<2hp5`l$o(Nl4NaeLehc!jyoZ`qi)_}6RFwi%K-T8)PU@9_Wnm%AE zLNlkR@-Wv;eH_gVuZb1KqPVnA*+f0q>NFo5-UiuaVlSi)`B^Dkpo@pd3m3u&fEs~B z=@X)vsBi!^AL*q?J)W;g(XT$bOgeh!D5PR)k9IaYIfWMoq@3dRX?SolpHAZxvr7+~(ACkGCtp&_kozBMo6;Zs$ zA*I>Rc5rpp;V4ZckN)Ca(xQ{8<=WlPY%(P(jeI^XdMT+tfh!Y9;4H^Zb1hprADy8J z4NoEekX{eG!%)Ef=GU3ddqTvm%Ts&-wJk8oRBUzBuuf_E+LH!jbm5pNyphfEon>?RLu46ChCPzRR*KTA+c5*5`m6c>U4Bdk)S!N( zYNZ*^j2g79vH~+t7aGa@?NSyt$)w3PRZ4%BBP?CI@4#or3R9-H+s4W7!L zWx+?2J?B%D9IpmdvWqWQh=g=iNt%$a6^6IP(^;**6=z@hAVD`!3SpC2w|taHGndou zEF{CW!l{tCo~MJT;kH2@rP+&`=Ahn{te6d&ctEhjG)P)w8E`(jkD;Wm`kJP1OWH#kK4Jfw@m_b52ku zX}4C^U^{2DgGm)_l#Nu=r@CuAeJ^7TF1Q{4z70>KCS#>LOMX0~)%wvRscj=m`gGAJ zQA@3K{k_-Pj=y|dqWe_yh+XvlL+l^AFOHR0A7{yz94QpS%y&8h{dJZlX#pLqG8^R` z$XDmo4B64VNl~cMk)jkh&X#P?e>T|m^LhbM8*jaZgc4{ZkVX>pT-VI&0t@u*ZNV=a zW!yMKslt%MNNfO2lHoM37*S|w;T63g!U%(@H!BF5fCqoSGenTe_-r#PzlZQ^R;{3& zh2lgDoc+DR%K|bgkjzrrH@5$EzSRE}@vIr$VFC`!4w%%NwjYliZVJ3*%2N3_VmrrS z+Ft$%b`?Wud)(MV+z9xuf^Ywv6|ZPOYuzZth^_9wfRpEUqd>21vs7-o$dC4lSM=hnjub;!}zUPG* zOAHT^&&*tQeyQ0WuS2#GyuJY?0CYte6Z_jO`p>jOll|oY0?eW-J;E0HPb@4%L=I^g zy4K83tT@mCnx85b%LffjB6$c`M6XGfQqUIsmBr1OMO#R2+`$HZJoH(qVBXkEJx98i ziB_v$q`klLm1iC7`&j@@+WohXUJW@xrC}rEMZr~({Z&Ft$X8dt$RZ;1BZt+0*{0`2 zt`Hk399FGNBPhXUx%P?5;HBxr*@8yddF>X3dVzBom}SCi>j%ZIo+p2mo9D`2fE0^mi3Z@!)f|M9Ko(fk)-s3qP{CO~0w-dJP zl7TK}VS}#UoF9L}d*-o7c?CxHvWL=Y13Fs@eT&Ghc|Dm>;j=et|;Nq64!6|XZ_ zmJ6bj6ceCRSaq63vVQ!EH}rRpYCJYPq#`riZU5Jc=IA~H_*U7ust#W)MUN88iU-CM zTOxM62*Ch<<{vY+S}AQlZ;*msr(DrFSqVuF;0L_6Z|l}Ymyc-rh0~j?{O%@VDrSSPf)Xg66Chk*1)mb!h=i@DnYwC(3D9r*`=)() zL-G{QA!)Eh-^q%neRbrRaQ=XJ*ai8a%%fiA$UnAn_WiC}%+lWoif0SOTqz*|A)hDa zlTsAmv$W+TWhkSFc=ju~8?X5XV&4@t>5IwYLNcrf-d$@4`9@ptvP=LS`N$vI3=pk{2I;?+jfB5l#k5A# zypueS9JrIwMvUF?$u4b~M8Ydx{f$hmD(~tulKg$}4 z&dzG6@VDYGwl@EE^ss%485o?&$e}gt@VY4-87w}esX29@JVLc$6?t0ek)k`ox5yaq;cI&;Hwl{X+q|HCgdE3&v8gc=1$)WrEay@ z=}=%lTuRP8<~R@Tqfq7Rr~?Yer`ll^4tv=9T@-&0RE=;h3|iZ=qsAY+Mgo6Bmc^eL zsKIJ%yGfGQdN>#tc3GFLaC)t>>kqb?yDN!x-|bLdcDjU)G|M?7ut*z@+wKS#QFWS| z36nc^+%PaBxechf$Ot99cB}q<_=~NQ z`_9aPSuH1GGl5)|C=UHsp;QLkly*y;nSLFdPp;lw$g}-qVCsB(TaL}{;!#ioD~?hg zbHtZyA0I(6>+ofIe`+H%GVjK_8C;QWMf-vk*l6z9sJyQKa^9ZKf7hKJ+!U|6F`gfn zc*V&}miLWb>4A+LlFYen31}U_iU=PDfD~Sd7MdB&JV6e+d>np7PzMESiJr^W9ZP>> zIO8=K4rNIRbFmIg+x;G{sWVeX zZ_NR|()L2|>H;*w-PP0gk51UXuRK2p8tWP5(1PreZY_kzbZU3oC;r&DuEDc>XY|PX zSL0*(k6!Ta&HDqpaHPMN@)=&9vXO$u-2ftK(4-oP7&cL+T`bEUVC77NO>U)E z2q=>+){@}+uCd$Gp{_~#-du>o5J_Q40gY9u&`{7-SJRXJ>t1&vzSzU<5hnQ|_ZD*_ z%p3#pjVHm5NOy!aPIeC(zi<|YL$`-RVby}pPx5J8w4v%jitz#0NBaQr$3s2`MB8n)_ zYD*RluI1?nt*Dx{CRACONGRl8<@!CmW!FxxHGYR)ez`+ z`pksIVTS&f1k14duaazu^z$oDCG-S5G>o5+6*ZrYm1CqDvk0wG_s4@Xe@L*3twDM; ze@UrcCy~-T(LWj}bDjZVHDuh z)!&v%s&8rm{X6pm1>N>5hGxu6Sr}tNHBU;4=wc_^LS$Z4{jN_YuRNXJcwH~s%Qvh? zt02JBOV2AE0HcD@SlP0P&ZFY+7W`7{#@V>*v1eL~NDqF_BJ9D;5GAehE2JC0{WTrFw=I7moEjZ=DQRAT zR*3hWuiOso>oPC;?!Oby<-x!|f;*?W+>^cB7Hr^)>D=fwKfHSmP_|^|{E>BMqZWGX z$~3)KA+3iD;PD;^gk-38!mTYuU{hw&_jl9Em0D@6M9+OAY9 zl*VH<%lx{s%S7~St>#Y^-@n%ZOp@;zVtt*|Vb=`tinFbB#n9pA0$6$|jNY}<##nvM z>TP+)|Ma8TLVPeJt2ywdsq&oi=kn+sCi98TZ*Q!kf|s2We`L&9{M{_63h+zJ{4j#w zCqQk*+hdbaBlWPx)U8T=7+D34uZP*p;P}wTA&Doge@5_Tl_&*&uzsnNO@`$vuqpv4 zgfy;SA3E?pFZPG|S-~snJ;5Yn$R=<1Y3rYwDSJ^oWkK;aHC_^dLf`8{!peJWn+qc{aLPuI3=lU4&9g8t9J99;`_kqS2ibm_ zWNj57%e?4zZ@obpb_0KQ=Myg0TqI(0#Ov^o0h&*%7|){HtW}+19iKt&tY@(J&IeIF zR)V#zt9`uJ&c9gJ6?CI7boHx^pFn1wx-G{0xa|F|94b`4F)ujR_peB0Pb2vK?iltR z^R;n|Mz7PNSHue20II>Kq8%Xh`s-FvCjRm6!HDlyo2XKYm8F}FqK8l#x6!nQ6`mll z{s$w4%2OCFD>ux_`T5Vl80#jH&OOWMU~C91?1$VSAH;OxdGNcpd-rZdP2zW$+8*rs zob)_0Rb`*T1PrgAQ~Elr27ZAC-`R<4{j#SPbA+@Z^Oj8e!m7*)P)HrA{JAWVtiF{=rFhS1b+n>4O_Sw{7Zi?(UbVoMi9f@?% zRRW7ElJDgNg9?Yl*w54E?uFrZk|g)J#Ca_{a}Mz#{K?fzn|_^Iw9+P_ zn_u@EYkqn>F8goYx^Z;LG16F+QC0qmwO5n>r^V{4k-WT}a>MWK06n#abOzzl*MiT) z2XCayD&GEN`D@+z9&B_2#kbG7NvuEw)@_5PqOY*HdrM%0{sA&F9iLLR*o?mHvDg)1q>P;Pv8ErTx!YY6DO-rDTaMEjt3&@j!it9re`^dDSIIDe_T1dp)Gm^BS&P2#`pj}$T7(Ro~H5fwy&Ahg%ahbXW(Hu|b@%qd!TWI1O zi*R0^CY(s}Cw=>1TIf7v`Sx0U#X7D8a-GT^(dalmx~eWZmTOj9RPcySP$j_QhRfvL zvqmZEJ;k5p$sRs4Z@RFtt8cHv<}ZRK&11K2{`0N3KzCzs^Km6$=YH|>C@ZRmgoOtQ zfUK)_##Uz|b>H1WG`L#FO5TuZc_T|GY#K$TF8a@6ACAhFN~GaPD(8pdn%|lXEB^y{ zIgg}ishTl8#U%KEFpS?NowZnUy=y^2PMQ54J`K9YR=}DSG z+QxaYLn2~_k;|{`vUvwQyrO%Uw^~-Pe_c1^DW~0gG0XCV8%I@Y0R=w{)8djJ(=7rB zIszL?FJ3JQgK9gau+I&~g_b`4_tYAlYrEkbtS|T__q!M`7WTV;#S;>lVB}QvEojOL$Trn3B{e@?iL`p zOCXou_ucQ_|KZ+uoi%gT%$$+6=bXKt=h>U&pMU--!+-R!cYSEm552a9i>r;3BLgpx zi-(JsLE7EM{tE*ikdJ{!keio}n~#SV$e?NA;$dTM!SK=4+>U{lK~srA$HI(3?u(7v z!=0zy&mJE0&p%w%|JD6thyCCEhr0jny&moP;xt>x#rkEk_I=wnV+a#he7g!Vc{OgF z%4qfR_h%c+0nQ>}CXht#Q3g0fuNjH_`hX`HMkHPW?;lFE)ne`)SXc zPte`pu2u)lVBpgdq|jlOe&O%N8`SRZ*PAm{K)z)N27PbTX>)vW<8lzf~C1}q$NfUzm z<^D^{+g2$S;;k6LOy{v$a-WNLFWK_JC1sV0bI+&QmKjjSiA6;#V+1yL_p>6&65P1Y zs~qdSr?3QkslME8UFoUk8KoO&fq$LZ@z69}7wQLNK?74`Mua~>J|(CH>aj=sP>~DH zOi$3iX|L1@YS&fI-p}1kf>YESt{(Pt*oC{+8RO`V7S+Ig3_nkUC%Lul|>mZMBxGcS(w?5f+PQUq`}cPXOn1a&e;BsnxXGC6cD=VIbUwnpck&{ zbKB;l(u`}Y`lV*)LL`w*w~bHDbOWB9EKA(C&2RdHZw{q2V=#TWt!Me+UBq`Q4nj@~ z#VM?-AEeRsn@lPm(lJjc0ZX%BDcbf-=I^ z&G2*#4o;+&NN?Ftolyr#-uRE0f#F8$T^m+I$zYU|kzY@a`<3hQ?-JvXL;V+^hK|!U zt$WX1Q_WU~S>i9{+WByfQF=9+ebYrlB=}{o7%;6r?TWePHLPi z|LvK%Lo`lT!+x2pPQKXKQ&2gxal$sjK)F2tL6SciwVvjRNQIw-ofi7v{5Y>`UhiEH zUINd<9GI&@^tG66r${JXk6RgEgqG1=ES(nqy!Lf^!b1RJU#!OpaavXm4zeS>Tbm#q z7Ps4_^}pWV3a#*{=)#?|(y&RL3*={~84?RQMM(OYubw4RbEMp_en=OGRB%Bm`g45s za&TRai@Q9liCXP<3An{-IoGI#TFggP_uLT10(Uv)3KJdqmIJh&T4L6kNt~foKL~0q zW_iNed6ZUSzwS znA|%(1{T)M?gwZ?=!pEaziYg#ZiCjDh)}AoN=)Y{Wa-(rsJ^mC&Mx1hyUH7=ZD3^0ceV}r^i5dW^rqv zmVc0M6U}W%@qnjDOH@Bm!&$VPgY4QECkxl*+SVV1Wghp{2kRnsX$ z!aUi$fMn}pQTAZHdgyXO&ELkhx|SO%ogtc-t4OVo;^5p7!lC5U>}3Wyxr9AIsLMXp zSG^JZXdmtiKHFdSm#A!zx=$witaosr2B>Gbn9g4SDJI_rWpU`_4lKHCyDn(w{=Qhsp?ST)_@9Z{uvY#T0itZQ-2zlK<-V-1ESIhk37WaRKsqk6G{X6VmgZw)~#5(_7 z3kSsipEw5|G0z5~h%$mvfiN;sfBl8#Lp!G|xXm&`WjRTs5p-OJeW`=Q?2rtxj3eNd zs2#DZskgtD@ckW;PFMD%S9--}Z7 zIlYn+4u?B|MlI8BWk;49?x@c4@hksV>}ax2fN8zF(SNsJ*Epi%zx6W+19FA^(8t1qnJ%_aI!te+T_*f`4a0)W0V9f6N5t zl%rlZbTwX4sx`!Ar@x;R9${v6gi_&eN6jF__dcd2>2-RS8R>P62!YH_`78Tb32zkH zI63@QecZU=;6m=QC9*gMAD6ZftFm z@w~osXXztkR+n5Aw4aA6+}i(8gGahe1|MHhYZuDHN9?{_>YmM`++fD5APKf(OvhP4 zbd{hFCprrJ=GmKR@eY%|C4w zwwm_wiVYomc2>uCHE(RPdj99Ar*I$SJ5q9)c0XF_$pPUTdhimbmyJATr5W($r z2B;Nyo}nBgN>8@66ROYRk=czIkj*~2fI_{M3%|-x)Jy!}5Q2JvlMfF~4eYuDK=)Of zbtudiR2O{G-bk6r@yoAfJIx@+_?HGW~m zf=Sz1ar#mv+g(8MU{%9vBzgXj1iGqNsCjP!&@D>dN+ItOaTwX?jz+MO3H2UoY)ktw zC%S+d6Ua8(F&gF2R{+5DGdZ}y^W>5i&P@nYvK{v3Zajr?|E5!-%eDU3y=Pq0vi>N> zQ2Sbfd*h+pGw+a+NvyL^?pNj^lzW(TZ0jUscQg|FzS-{^CWh95dN_AB3bR*xx}lCA zBk5*)5)`b!DSm?$-$UoJz^K3}Y})4Bqo#V`cb2V?1C z{j7(^6TR`QM}^LRF90i4m9GA1#yrM=2R1!CdxrMZx^IXE2Jm7EBZY%@Ui~n^Ij#Mn z7?jm>wrOq@FJSFs@*@SSaailLj5pyl<85H;l6z_Dc+OBUpDe(cVS>hXCnbCCJE`I; z>3=>1?fNbe18>9zp6?S=?Bv9?Scg7tusSuluf9an5-r{Cc+)aOZ5Npn>VJJRt}HE8 zgZ-X~hJTxgq2+K~0l$OZz0R!Z-rkq2&EnEmfvU~ZM&W+hzR&++OTKlbmx)LO(#Fe5 zCwX0ySr5TerfWLdUUz*e?00fx9-S_}lCmBxvBAUe!QUj}IQ#(bJMJUwwv9gYqJSo` z3GGi0A$P-_*9?0iTNWq(I49Z(+gXQ@XYl2{3jSHjcvg{{;-=Wt_PF}@)4H! z(Q~yCAwR_1cL_P!Ixf2#EC$1iK~^2a6CK{k-A8an>;mVQzTfpLlC>p`ezpmP?Rej} zQb)QlhG~d|-R?`6Ikrx)Ag)2uMR%Q#q2fyS;T2*Mjj2atgwV!F(2O*H$1iinfgYw3 zf-8iP7=80P-20HHPkr-eJd2v}#`VHxQZ!Y@dt(c+nz>mds{g*r0w89uD>xw8y2gQ- zsQO&q@tA7-F_)s&BwLzA8cpa9iHwR06H*mdVJiKvFcc&7vBMNnf46nW4_*i$=Mc~8 zu@UzXJ8LkndwcLzydidEd8N8+h~QfKjY8z*--urfjIwitKZPR?Mcy!sXrHn8F!t9* z94r%(v{;PbQXB(dG{eh=gcQp7Pb3}@{=gzVHhlTK@us0YaEPej-7PR=aI9=^f)N|V z?%LPZqo0s(9=nSBO=q?xPeNPaR^OO3!i#Ov7 zM}vGIv#@ZRrC5(Npu*hs!_}sxaax-{mQoWPAAEYebZ@rX{@@i{qgzuqB*hMDk%Vf? z7B0yx9?MQ8h8J_5t$mqux`%#MJVP870!nstd(Gz`eR=GLc#0m)!gQ&^8WU1Z1E($` z8s3eubF8a{$th8LSm`ZIDRYgyi=9G>HH%gba48$56JKl3%A?ClQ@EU|*grFjm@MJ2 zwl6pl6K#xYPju^HDtkD@Z-UA`tUTl65@nA8Z3Hp(i&MHZavjqamnjF;n4!YLPhU1D zq>7Fe>aod!lKgw59~&{g=b*%rqWo=z6EJM|g;%dr$fV?tk2u-XyMY2SyQ>#=x-a@) zdrHC^$a{1+9xw35lO6x^qvH-99*@AfpqiX0uOHBmtB>0zLuJ!Y$b9$8DCPg0f8whL z@W)QFk_T9^m$(zL%}}+cAJf-G=F)fe=*pXZuY*q2(GqGkWRv1DrAIB$=yr%zxv2vj z3D4(a8hM?zvFQT!KdF0tjtO4#Wef@hh#{6uU7XOASd4TGzl<0-*`-E~c&t}2T920+ zic{6h<~~PqQ=0|7sUZ-Qgo(Tus%t6jdE4md*y?~ICTwXPPoQEQ@2R1K=dFh#(Ve*o z^qd1%TqDH2dY)v}O>a=-e`|Qt>6-BP6>yBVtr>`Mf<8(?dq8&Y zFBh$rx|4)RPT7Jt^|E!qcT1)|cKwCX;$QDnOL_Wv@CrlP2_iKrws zrt#`^RybWpvwooaNspq3JSBn>Zf=?x0gb%2kK=o2h8ee*@rew1VCz871-oTmk1Z>; zP?{dKSJ4k1@(rQLLEdluCoh@olpLdja%k`VO1vqiHXv%3=n>MR5BQK*$w!~?Q##rW z`!Ddt_nxmyBP(N)eEAC_Qy}f-5wm%M#c#PxjqPEvTz0A0Wwk1So3$!T0*sB^lPp6u z0RoSDk9Qwu#3i+Gxy8!AE=tw*fYp|0Nw8UTBdZdr9V1^8BEqH$bv$DZ^O=Au)Ln z#T8ijssG40mzBG6LR@;_zUhUKX(Yb1P9%PN;`%op>thDoRt<(o?sGfl+C3@UkcFYS z*WIaDtrm2|;>v9TO)D$tO>u1z?c8fYVEc)PE&sBip8C(^>I<}US&IpcUC-q>eq!yq z@{VFxm3RHqkXfx76p`ewA3O?gF|G$%_c}UGp4FDVmR1*_mIzS9fQWX@)q9*?8o7v= z%EsAxoLCxrm~yiSMUaF97cP_nCrB#yXN6tcj8XF^yUdBg#EDG0AfvL#)Le$MG?>n{ zo_07@LpoNrrfBuyj?{LP!Ej~3NV@2|EjHZD{@uJg&Zg5J8z$byYpM-7)X!SL2EY1~`5gs6-gP5`Tk~U6pJLL4i7FgM z3cgT>QPXF4%I<7;DyG-`E7@bql0QImh<|9t9jTU>D}?f)j|3MXtn^ z^dmw^lxxXUlsPX?Yyv8^U{XInfw(8njT7~kDL8~ChL9NR4TrH8=1p<N8~ze&$j7qgPtDVmY{ZcHU(?4|7x_0GVIR0xI|cxuY=Ipf{if6Am& z=sH#Kle*7LEYl3A#${6e6X1CKWCTA`OU`uvd7`>U<#SEK3>LRKjm)-B(FS=tUMfYO z?@?mssB#NPzmBLoH-S<~QaVKw=`SS9~O@`eS_iERt)`c#}_C?)()zcAg{GJcN zNlDtJHA(wom$YqVLbXFnhMx7tsiNGW<#ha$P~vC;EcJhbD4j&TRWn_t?zHDXEV?RY zHSIB3ALIUwHY4hO0uu>i`ys^F9n;JU&8Oo3R+a8iDqr_jc?QzWG9*=jCfA5mKdo*s`!gR+wHgKfoRVyOwkX)9ct#Zz4~dR_@=>S%(p;i z-ap9mt0_M9@7cKq>zXmHNw~K7Po)(Sq0#id>_vb6h(vapd{LjLCPGy$75g5C)JJoP zLr$*o)l$U$+Mcl<6^yN`Q?%hk;RUz-a?eYy!Gd@dCQ=L39UQZ0JLs*cejQxJwc0b?^ck-I{5J8id6IEQ?^7o!memUjw!+?XqJk6aHpEH#B_YL!QcL>=C-Udv`6KCXv^I$~Ws2EA?UwYUq5v5D;akii01x!u`l3!lEkr#|_+KNh?UC@zdr<>(ihw;;PLb}FEe-9eI-V1yc{DONYB&O--&mX_} zT2V`3xX8D*7l9FC`INoA-HGO3aE&i;$?sq?o^SSC)*aWLukM%IcFfbhS({*T3aZbI z;U@a=wPi1UFLt|;x;kc`JvT>Np3b}?In#GF}A zEWeMT)AdJk>%`O9Jl7X2=*;NffdD=?Qa*ihMUfe=ctZd#n{R=(=%q z?a0DAw$NJ9Mm!grXB+TV)`yTV0bcgg!oOrRc(c8jA0UKN!%l2Svsnyi^dZ} z-}-i?<Nw6T#^ z;r>Q1_CLzHZ~bAW-khmp9ZrZeId7Tf%+fdSUpNFWrygn)G#kTDtO~?iHwQOV+G>X* zwpsma!N<BxA}4NC%Fcb3j`;UWcG6h$ktf^;Yb6=PI+8f8y>)hoVltuU zXvtnR^_M0ALS=4*K~SnrB24gem#tr1tWr3U13%dJ?`H7a&Weq*!(J z;B}$fpDskREjL=WjGOe9P)l*~4BVm+AEN>NrC?E|(>?nom*?r88CX;RP!!X*I&jev zx1d1Em~wYfoBov>p2?H_cTC2s)EeDL9{59rO-)Q4f4)VzR~Q*Zaq3yl;MDz4n*ZeH z()6&Fa+IVD7}T*L=k_vLRzGEI)2BbIfZwes!d|E$sj0T@PqxFceUUO{B_gdkjO*d^ zxpOolvl1>`HH>)$-k%vTO^ysS>^?yK{DS70D~eIw1uokxBs#ylFngH_1n^yTS7q+< zZBqndPhyo=vi$;0@7U1Tx*GvNh-06>$L|40sp>MyV(%tX*-%l)9BQm*=UZ&u;9J}ZyrMfXCCpFQ$m@LV7dGpf2RYvmb(g~!n2YGL(ITn&Nq16-TqF}6x zyq6)h3D>rq^+(!YF#DEPUu%TM^iYCIxd1)@);6nNZ-+yXctN2oo;U7)PCGDruc=)I z_ge;sRtI-+qRI0*~jIGc3&l-kx+7iIS zCRrTu09hhe4L`SI<_?)NaT!V~W%Sp?IU$y9)dGS1n!3^PpNF)6#(s@jZ__>)N(g3S zP51hFhaDb9CD0~O(*1(NSC(JOUy#I`rDbF=8rUv+SpZTkC}{4Q8O*gH6+(xFZS$0C zmW3`C3TnvKr#Q1HnwKY*Pc-Y~EG7J+JNW=I-sAUmatlVV(2?N zGmzB>wjQFiQdQi!W2p$yw(xng*?47HzlLYa)g|wP1(H_W30xG)=6`BD2_{iZZ4T)d z*bCKDQ+jTj{w^3qT4SNmrceiVN}g6hTE3oK5w7ZQhg(9j+`Z5o{ncBTqzXeLS*SUP z;X(sQ*x`A!t^5=iazX%2J@H*nZGP=w3uDxsI6Q4!*vL9xgFpCYJYb;XHdbUzoqOD>?+46@Qi7hs3C%~d36Y#0VAhkb!p!>Zo7No%RjXfd;9}>nib%3 z`=rT4qtmo%Y4b{|iLEMbx{e+$p)5tWrqOEqbFTcAS%~p@rey2CM+v~a;yucFh}Hx2A!Syy3i zY(uStZ=M&AHJU#|{0{BcQg`sa0fFNS5S`zl8(LSKutx zk?fi6MI$hBLe^Way6!8B?{t*E2hTdRpg9A(FLEZIkYMAt04c3q|I&yl*9p||Bu&wZ zYKWkvQ?8Z!E9@uzi#^7<@nfIGgv?&a`~V*ZAeDjYDvy)+?t|}94hi-Ce7X?^&1#-1 zS5|3)D8y(Zqmj-6o4Dl6fsvUVPl-NFwX2TM#W`0 zeq_FBJcfqQ9o}r>8@6Up5WKNQFfT61t|u&QpXl{$$elews?Q5&#Zb^WCJswy?3OBv z^5^#KAOsL)j($J1bQNI+tzYk5i(_rKGdXeu5P2ZkXa3Se6}QL6#BIp{U4P3?cO}VH z%yrWh0$=o5tkRBeNZfQIBeOWcU1bUF$LcNTZgb4~N8jHimjc;wn$T&4SbFf-GP#nH zRZ|gjrJPsT0nc%053D=4%JMpWbRJ>-VF%4+7O@4Rm60xaI0ztomd#%iD`Sr0V!=AMn$iIaxY04Y3Ih0EQ>bG~AOtLu;y`-WL&~zF+4!1<@&pR)w_TBgbV$hNQf?Iw@9hF5|JEy7NHPL`IXNm~4r=`>1{cw1fC!LIdjjvh<^3zp&)M z4$O7`IyS<*zN)R!0I>S}i>i$^e!;d&{u^(pw$M1i8n_GjM`4%;9<$!*$zYzX_m@ek ziHzG@74C(R>Zaq*2y?4`UTD=5g9@Kc~Z3D`iF5_CE!UC$yg)Es2Cu z;3qy^W{5)`GFUn3P7iicS9!lYtyXs+ntnAf{gVFf-pq^YCbjwq?&uz@`<7qhraAks zM|zX*6coJS#MLBzha-@D=Ul!wpMICRp4>8w5>c*&F*ZdoL-?hZwR!GbTgaJJ=QOfO`=fH^+6g3o z0HvKw{&NCC1+fj~Et)0Wi|-kH@;sK02*R)UtF-qx<`OzSPvd$oa=CsL!9`AjX{bMj zO8#g=rz#(F^|%JDgL4N^*CDJ(j!t`og09m1_=qmcZ=&;o`{Fyv$~iqE$ZOTr7Es7o zjhc^b*0cx+V<(=WqeP2XkJ?Julc-7X;DLB;4t>-(0ZCNX)IOQuGqXx<4(rwxnWe^S zd1~Nbh z3ue7)f3gv8Pvfydm*n618aL6^*D;P=d$t|%`_qt;A)pJ0eX?^!+i&BTE=CuXp zIv;>FD$F$FTZNa8>>oCoNf5Xt*@Mx>Y<&2#uBPObmP?ZUb>Ej|7QA4u08^-B6y^4t zkKil?c<4NjrGMsha7MGm#?6=eIOjGc?qvMkw8rq$Plo+P_u-+i+F&S9gTS!=MH;K5 zUCDVQaQ&|l2WMA_t-Py@m#sDu>)8W6s8&_98ap1g+(S%g(A-5@=D?`h;Js!2M*Amo z_K~9}LK!bfpPL;v<##I_4MK~?eewq+I;)#rTNd9fdhHPn3tjAb{Q})0z&`n>X^QC$ zB6IA|i7Ga!l$0vWeco6M86#nT3W$#Zt04hPq_99D4Zv}B8nXom!L4Y;mlUc0~)KWKfm8rgb znyDj$Y@kA0DOBF3Q9%;Db9jdw{>bkQJBT^)R0c!8HPz+5+ed$F#$xU>x+K;)#4vVo zh?h7=;qof?3He0l&}=pzcnm8~e^4UgTWWX8rC45}O%LIdy3qZyw8N)yDZM)B@2UkFIuPA++-XQX(4$w6Mzq|y-3};$}MVonQ z9WOn}70C}&IRIo@&kZkJ1lA5wr!9oT%{evtu;TOo0)oQt$;L? zp;>`fdl8*>^6UJb%I1gPS=?RVk@8WUWsXUz_JIKP9(^^o*$wdiOy1++>})S1FEiia z^eClvJb`C^OkBo0{ZY!+4)Z%KGZ?BA{EG#9~8YONtZ%RQ` zf`EJWiflGh&FR6D7My21qp$@5Qweg>F2AH|vo#-hEym@ld18ms>eIEm&~LPvBb9?L zUr0l#gQJtjrz3thc=$g)j%tn}oTw{^#6p+W1`fc_DkYv1TQc5 z+0M0!R18JEgz9ee2k(xh-8J!`FDOwYn=lXba5wv6%7#I5lQ?s7+^~miOOp*bfrAtJ z=XO^)>s+r~TqcamZB|osGI5PY=f~_2zLDeC4}Ax#H2y{(=Y2YvP*fWNHPFe;G({X< zD!m^%MFvlQ-su(X>`7`MU8P1J^nbl!qWy&oYy9D<_mq-vAcFP6l`eAgXidVixvT`E zi&H9O2$$!k=y>hrtBBJdGUhgE%YjlF$u5TCs{fHJR?q~Dmi>2E>>sgSB_v4nP`v(* zr({Gwz`uGOAfwf7zA^v_nVz);(Yrtn47~S%fSgO<<2lq7EHL*9G=FH8)tDztEu|s9 zbok^LJmg2GgFJs{t|loRulHdmcOeNCXO^t<0OX9-yVGIK1Lx#kVhwdi*3-s&sGA7d z#oqDD#ilAfhf|ftv6(^j_K#skfbJu!@=R4)1c0k<1}?^op)2ua^$pn5F9DcV)Yi=+ zET}}MCqBsZPZuo1YvBvsPtC6mdqfhO>={u+k@<% zn!0DOm;67F699Xy<<5}QP}ucsQcTWzX}L4bAbBY(k!1V^{p=c?=5kLX|QCLqdfd>aahGhEiMJszaJv%EfLA_PD-?DYXT(vYc|Uo(m{kr;oeFt{;n0Th-Sw z-KLp%a@|^RRj7%0U#)NjB9sj?B|4AACyuXL_{ILv3WP}95L5_EYCt^%F(Zj6MwSY+ zU;b2l+_}~3Lu!u=ks8}K$b>2q!@b127XjkXBpVKctXl4kzyuV(F|svvP2mvd*un53 zHHhIifWWtnLH?{l$$hH%$7-LH5vs4uq3Oq$+Yq%WZ&gb?lQ*Watws zXoI0rr&^rnI^~|GfmsD09b?TfGBLneR+`np$y}ntNE$e*@)obDI#|r}0@vbT>k6}} zx2dLT)a)6&|86)=43qOcoBtq^kG`@;pM7TW?8>J+%&xG`iAs?R1hrqPejBD6)R*NW2g!GMu&eTumlDwVA5w4hwS5 zp z+0hzsSfJ(y^x^SXjdV@=^MQ|N&E$!o*L}52fLnyvV&fG42(N2g-NMLlf#FeKpJSqv zkWqHO%UbFK0ukYEI#D~rywuzR|H?H{FxxMwx-72$_`&D}9&CIO{9$7k6+WyZIde|f zlGW36bCVEZt|lN(FccDs3wiS>Dq)ZEWizQ3#TAgr!(ZY0SA;u``A(j)F5aBokLAnq zjQjyRT9Q>nY0oKIr%?AnQodDb6Uru@)#MAC;-x5GxHl6D4bhW5RN4g`It#D2P%BbG z)f$Z~g~;zlNNs$m=3>G8%=u0tK1MrhA%@B}?=N2Ap4|PWDh&!Q2BB1tlOy3OlP?_$ zf2X;^miE`W+qfJDJ)O;oqN(*1j!6!%v&QckXCiqKR}@j;Yo~%zd?ubIeW0UrMP5-AyT5Eu zsPjkAXJ0@cU-QP@)7VSTIX>CxkjO=&^=lY7JrwmC$+qPE8x^j-Vwlw-%`cute?p&1t(NiW%FvSU9^71`RLM+7YG%76JD|H5CaG z-Q3U#4ZE*De*-jG=J?&3#;boC`;KZ{Uq0p!Mv%B%G!N~F49vRHTm8oa?`L2C6Gv(r z>af~Ve%&;|2cNtcbX-6!%g^>FL|Ee}h;)9u0vXFF_GRUF#174(86R9~?%<>_T9gzj z!j$O3ewB?{uiNV72AuW^`B?r~)Kxv}bF>endQ7C?7l;_4v{3UD7amZa=8Gv>C0N8{ zG#Or=I&G7J@_~)@IOgkmF_Mou%J+}97iZVK*$+994YSLA29HxF=GQ@{RZZODvddpz z-Ue~yON#k4Z~5=KSh*V#+&7eX_LbNy1G;_RtYr9`;w?kyT2C;dJZ^w#!X@7l0P0w0 zn;7)TPfe4Jpk?O$CQ!u|MD8If`{Oc0c!+{TvH!x$=d1C22MS#P*SHCxj=2WY+>GnR z(8mlp(uEp=<6Hns-DMg`xibwRI{l6sPd_g6HDJXw>^KElxwcR!dYNgUC}e`|pr%yl z`SQIbrqW8Gbepo|As3M@{%blRL~y02FD-6Nw`59O7V5lD>s?m+63VfWN4WN=WQ%cb zIPy9?dY$~0E#2Vqce0{)rIa&bp_DiWf!w)}@YpmqSNYKnF}4&1-C;^0)_IsYuif;kD*7M@p?~kU|we5OH z8q`V+FMMuD%4Lfe!>2EJKWizs?R8%^&LoT!sXf|+`1es<3{KL}gP2?n_m3;dBd!`h zgqjsVJ8n{TkPKD7vGHAIFSqS?_K#g>NNFWln^M4O5vi?K2w92uA{EslmP-BS=hV@u zzs_Ki8V^fZ*6X5@py@}_mYtrY~i80x*R4{G@Lj7JlLOfw)3gKQn!j;`hb>QU2a>5gj3GYh<*z> zWmc+NVzt#O#fA9T?7Al>d~`#=ZEUVQ#EPY5$~ud|dyWVbk}KuM;yd?|s&PhLaCcW} zmmON8oqMBxiZ-(@vjKLqPP<=_z25t$^nAt@>Q$&@Mf9dR#?;|Y(#gJ?hn;FuLnXmf zk-JfIb#K&co$I-yx9feT+qC}m5)E_dsh((lHb|wy6It%Nxy(PB-{88hXybLKppTot zd9sI_7(;OE1(+Y`)H8@W6?Qj;QVf5kAIpuw#ESi-?q?YuaIgqe|`}&ZH`c;9AmmZOEZ@foql(b^s4^#UB08+kAy39~66V$ifl{~;djJQQ8H*EfnaO>QMWY&JmoHPY`3YzI762t+1GCtX)^G`4`; zaa+33>cLM;4b!#W_@<4!Hdb3LOgb4Fqw&MdXHFSH5v#*l5gDLX*DIRUqw8m=*aY8u zT1NptR?`*4p1JX6*qcrj%24DR5&*<#N&U?||C^T!y%)_b5iQb;RIC-wUfs2YD%4v|&4Y(6loA`upYzyYq!t5Z82 zE?wPS^{(n?4?!Qny}RJtTHupQN&+GnLJ@aF*%L{!2_BDBWDnh6%UQi6_r8NHikq@s zlpgN7d8oOfAzN@mBM?@YV$&kR^7O%+JeL!8J1 zX6)z{7VV*qdb;&p8-Rsbs;s{L@qy^dg`nIt@8nsM9?|Hb>VdCB962<6ZgWBNe$?6s zhLB3~E5Bc^#yr0Zh>Ow#T+>R0y(n6RC$-K`@`>683ynQQi% zAC~u-wFmlY-(`nR34RJcBZ6x8Xr!`$%LWUjjRji~u9l zvhaNSjt28$#JuTjywH6-z?~4nbvh)$!))e-XjF+AQQZi20OS4E_HO(Wi-R`yNw_EGeV&T8Z`QBwt%*f_f)sU-#dW}fc}r0xCP zQ9rkn{dzQKd1fqtW&5s;^()=7kS*x&;Otu(3Kbk}X5}wi;UT_VoLoSO5VocBhCCTf zr-`XQYKiWdKPBy1KSxKbQi`gs84tyyW+4MQszyE@QKw0-$Q3(tXTpZ{mxSftfCD1l z6jmnx!uAGvnd`hjhx&)+Hxb!h6k*zPWRm$d?-t(^b*jJ?6d8X`fLpq^ZF*7H!Q#@JKrztt0x~4z8P85?#$Z`OG75Tc7Z&N$}j_j8KxM3SovwFDVR`!PR+l1WT}|C zHehindIFBjR531}_RJ?%x>praCm6bGV*O>=FWmBE=^!Y`+HqUW(5NMgz~J!cStz1^ z>WO!kVFohoF6$Ab;JCRp5t1nA!Qnm6x|s`|Pwe4X#P!Q_Sjk zXe8^AZHx^}*2$IRAJnd%n&j)p0^VE2@@l|eIH=HCy4&Yr+e}=KKYMFbwN}Xn-AljN zTea%pdc2bSmDwW8-v!hgW#AFzs-$N5kg~MaaTH)CXuggZ6i|zyDC*5LOpf2`E1b2) zYwdINs=8Zg(~_qv*Hd<8#OAls4L5HeN5vKq8jdXdq!r2gvP{jaH@@j!P}w6{i>#jR zUIEvxe$TJVbCsK&u%@d5&(MATmH@)5O>4!+5}r<&+_Z~rcQsYiMZBtxI|0?&CS(SC zEQa{g_H9~B=XwziY)wiCHqm~&R~+*Da0<3p%bf0x#$hf4dqw=r?j=<@wxSXSv>%8u zOD`MRSxU>R1SFp|5kepMN>Tjo@pVH74uviLwXC*gdB_L8hgA2e z6uup(gc2lkwcvQPN}8Vmn(}7g&b5JU&A6`p9!G z0fJtbetLNMSB!O91Q8 zM!Nd*%!n4o1U%eSaPia+lVF@wel9*43BMY&l{Y%gJ7YR1=MT329`P)>>81)9PYfC_ zGkpK-MngO)uwa;-IfFMJ@YaqDL06lruitHNs3Gs?t0SiN3j2OgyvXxGLS?13z&B;n zkhov5Db6d!acxP9+euBT$kt6xuqGwc7qHklAGUHcNGAz&wB8zTAG!huT=23x0d1Z* z4v2C~#1x(Kj&`&vjh_du1X@a{A0Dv`;4zahh7R z=jCoR?SB2(%&N*-`kT^Pj6sxf-`mkLXhdjfU`K zUs7&^AL>R9pk|89ZiLd%hgpx7;-@(-BH8CD`Mrr+io1fg-bFM2inP(=VultY=Y!hohMo^@(?t^S0mFt~u{Te{_rEb1FKmkc1SU$=p#y zbH6*Zr)=bK?Vt7gXW1_` zBjLGw)_;O|mYvcqW^6pP7h)%e4}Q~DQZDJ44xeu_gRY&Sy%0Zg_&m^*oqxB>S@=4` zlhR?%!uuK?qVG8i{jQHa9X{TFaJs237m@cLzZc>%m%I0$zjcuI{_{bH54x&4_qRBF z>>iJ~+Y9khhtFSK?jF@0UG3bPF_bjQf4*f($|9XqkIxSrK6cfJ=pbjI_n+rE3;nM) zU*KvGdL-piY|qOgHATMRGxNNQ*9IkJ%GX_QwT07sG4r6@kc3o9e=*jFGam;H7g+td^_AnFhsXLrPd4XT`%DMfxx zjl6m^US&pB)cz8#E@?`yF?x7r=g{DV?Fz98g3VgbO!}xO&X4nx_E3C7$~wsF3(hp8_i(KHJ%si|vuZ=dus%MHhyy>_qGG6*S{UM&l>A>=G!v4}`zz-1$uS z?tYKPha4JP8L5;6d67!VzM;=2Wf{aK=ys!LCS7mxYG}Sg;~3XcILw!n@%j7NIrC@d z8xJp7NWX*U7edVVoFQNNGgBVocoa*=vz{VlDIcT!F7C~o)XyAcp38k@?#Vga<^EPj zdA_sRKZ|6?G&ujSqipZ=_@^)vos_hu+yv@&3N@P2=e+DvT8}c1FLNzRUibv1&r2w( zk#;<|)2CjK(3V80?ZH`9NPW2wqiUXY*d|TM&$eo*8=MqyuBCW0i_wggB}v(4et6RI zfSS?ZM^aV2``_`&yY6c}8_L&C57A{t4-xkJNy@pu$Mk4K4-^Le%sjh0J=;1S|CIbz z7gyn#lCtKf$yff&l<&B_p6GZ^cRXJ6qny+a9pxrx;az{f4Nf*gL3;0%Z$in%to<<4 zmQBi^l_y&AC+e$@B%p!Pd#+IK8EttcExj!_w6fc>uH}Z%QZ$9%>P01VDYq~D1rLb1 zzR>Szsr+WErtl20lL9sC!ERN}L-gfNt7!JWBab^)3VmFy=Lbg5kd)QKPn?aOlupiq z?cZ!O_Pil4kaF4WDsj{94AJ%s{T7?=VZUf>9_8~|Gp`MH_JEo#{EE>7OD$rS(hzp{ zY0X3Rj*%63Af3zp0He7XIOpG@O787N-n(mT&cD!#e2{XvaHTDz_8gCzg7*}_hcrqG z_q0?We?ZOU^7St7qlsq!TdPCA$I1^zDpdKmNKEeJ-dP*3-qGdiQV`+HJy?gdq_SrY z;rXz9EmCiGddAuFhSS(2<=p+4|3IhtTo$QIv1P|2boz0$BaF*-GldkM$c^bD4uEb5S`@kxztd2FP5P+^h#3~GYK<#jeOJg zRy75`$puP}&vnM0rrpo4rKp_f3o1};=yGEZ_aH_OpD1oDOp zH|45bQ2TT1I(L3I$LW!?-1$a_kIac6nd|+A4mIJ)b1Qs9$~^q~j>(+~hJy9^EF)59 zbWlrP-V>n3N?~?W>%nuqx}?&-gD=;<$8g`@Ia^M;oYA9-sXFE zl`0fIDU@;6WtX+CoPW-Xrv)Wt3R~{}qa4MrxSr)op+N2Y2R1=FQZ6Y2W+>xGWexdn*5l8oU2Mv(Wh8e^%X&QJOU_$x zYFUlXbGQquDdYG??)P*l#_^4%M-pBcV5PM^7j_inmVM))1|R>P6px>s9@I{)d7-0x z*VXBV9mSWO%`u)ZSHAIaL~Ku95-_AGM?`#Pj*s|bQJ&e#J*d~Z#_GyeI3Q~?Cp4bxwdya ziyX!4@s!=1lt+H2kv#BnVA!<3D5rfsrA0g}&=md^57!qwY9;msb{{p*FSuN=5XD0& z{=WNyH<(!0pKdDn5Ixt;cl?R0Cpez@P|&5^;=a~T+m*R zA32Pp+4Btddcv2><=0^4jl$lZLmguNl*?B-p07E?WZ#ZxPRT|jew)BaVdb`N1&djM zZn;gn;;JdsJ{3YfprWMx6FQ-g8%JSf)W!rRwCzu%{Iji;Fs`fflFmw`axV-XB-q1i zJDrqJh~OHK=%pA`Q+6h_d5M(dq)Vz?tjo*y!oKfDUeJn^eZ%wMiJ_#-gKygAhA!=F zmQtkjYc@#p@UJ!TvQe$)$3_pok=jwfml2ybdYYB02PdfLQVgAGd8R2vL$7dBuQNR3 z=vk3Gat7D(;yhRQJeyrw;|#kj#qJUu;_*^*MH%OY6@Pj|U{J)Sb{^71^MVoRE%pDroCZ|>~DnQ59Q|JEwBBjq^e z-|RS-@6}TB?m#bf6u&!wZ%A1Wo)<{UlsCv%{>+qDI?C!;#_Ce$d6j(S&rFf0+pq}~ z-rs9@Qo^~HLd;S)BT-VOoWfu0a(&TJ9^mZpqtnleTK>$MUomFxBABKAS-uuMuU(@j zWgZ|_+x(S|rAOnx4Npp+;)Rl0N}fcB6wzP$th!QoPdLwL=C9fDTG?#jk*@6C6aK~J zC3T7$S)Vz4sw+J9L295?BjSm z#n1YEyYOouzFdA4!c%r}_6Se!KX!#7rHkEob-vT%{bvWq<9FCf?qJQH>DPar?DYH( z`?L_V)N|!)(X;&+6rY#J+2{U9r#aS|bo{aFQCwGUc8v32CFL4?l3U;SrmJNc|3DH_ zDLsd;bUbY6Go4iL&)~#2k(x=^@gfO#8^Rtu&69tHq2^glzVc_b8LJ{XcYf2BHP@8y zyK>px5kwn0spod~BFmiDMK1UCOey%ZUS87^wk)+?x2D(Y`LQeMv-zgBIq%kh1gIGw zoE?F0qGu-ImoX(}9+?3NPf9kjHRPYaU(;!py!?(JqHj11uVIR|nP_W=;U9R~uPx-? zI#drQGCn^L2L8;HC-ZWK5Hn?WC-qQf&}gJ|r71;2kLZ+0x%wTpxB<<4I#b6Zl!hM0 zm$hcQJG3FcW`&jZ8jbHc%GC}-|E{Y&>w{b>lI-bqEP)hs|6&rmsxtl81mJ zr(CYX-8;@#vCTEly&M|fb?fuDa_+jMGwE@i_liCIOP!{jrnJCNa=scLeuKufrgx}i z*<6aFOztWD4=-^-Tb;YsoDxnYtxI|)2Ug8Pv^SRm6!0N0-W|#>A!wfEsli(F2K)dX z`GAVNCg?W?pP?GOwi{84R6~cD8ca#*OG-iX1Q%QU7!ur)GS2c1pP4ewiN5#cLnJj~ zM6cmcTT@V{HRVVbGf!sk1&`#O(hgVGdh$uLVr8~)xK3-H#cq})C#gzaM6+9af2gTJ zqWekK{FyD}3N(Irky`N0Ja`IRmwgMv)6ixe5BMO}&?Sb#=ixhhFjJ_J|20dAkvaGE zTuZ?-*4iGsdcY@YIz4`DgF9ACtmyC9LoA+^bA#X|aJlnEn*n*Tx>d($P z*V*%E=NmgY3x8oKDfzeW?U**7CYr4gdwy!7P5*1}VslC_5s|JGyiVfE<$VM59gQ>5 z$BfMl{m}Ww8#>KBhF6-rQo;-x_j?{+JD+g1b519L!erlA&1mM||8hKdUs&hW&{~E< z^zV*>Q-dVsdbjmObh?X>A8_NJ^;ijVhpue%yF_hA@xK_`&_;Yu+su8Xvj=K4Pu|H4 zDpIx?yTS8!pgu;T$AD2@AVord-VJ161sZ`!7G zI?LjI&x4+^ro5`vlKi4ihWCqXTC~N)+6sX^_qSE?)y{pjH0TyS(lV(W5)wM zH4oZgt%s)t)wr&4Z+E72fg7v)U4mD;yf*77$PYHBG)~-DaeBUBN;=Mo*$pjlQm2`c zj-zKq^2~0RpvQTx@QL^w@j1sRwEQ~$$WwAq!Q*CpL_bv})eA?9}pE^^3Y94;w)i>LgHFz{#Qxk?QtGUZi{ z=j4teH8`|O@Tbn6*E&6RrogA5Gf!Rii=91Rb3EU1n%_T0?yq-J9*x~y?u%XSs2!vp zjc<0}gu=hc<@SqW7*m(@FHq8@jQsy`?llkgAJ&vViwJ*aspq<~`8VSWmak(#IhT7)gn4tCWTcSMO(iEZ_rbc5QwDw;*GXZA0BR67pgE<7^OdgCrv2Sg+y@mr4ZVZ^YN?rIPrb|M z7HXc9@a7BjAZ0zPLM zRQW3Z>I#%}DeL(j^Jq$cKELF}&zGG?;l!<4%AbyMnv>eWJ?nqDL&NSR=HKOXQpes) z@)lJ64go2Wk=9e=A@{)2jv|p#EryxjZduEbsju4)Sav%92uas0k>dKCta=4F_?a9~q?~*7w z_g;tSQQ*vhX5=O18l`zI?72Jph9KXcm@Sl4d_yRW^Npcj*qmZ^jePzsb?89KzOixs zq9~7b6#GPj%30iC&7S$Q&JU%QC+NvWPygOTFSVB8X^5L(>nWm#-{Wu|ex9Q!HC?%U z%k@07qj)rY{PFL;ZKX2H$ZyS*x_0)s>9NrABpjzKR8gS`RP; zMJ(jgdb@8us*h7fXXNdhW%-PHVaurc2ms&EJVaMIiXJ;;3_s*}L#?1Sy|`Zs6KIPWR!sTyI{j1$*uc$%DyUn z9EjP&FLF{SsjiFs%TS<*ulnEN&1Z)~tMKH$02CzTl}FTCPd-hnrufyT)ma`qy`HtZ zZ$fF>U(Kj_UaL-bvj z`|ljjW^VQJ>u$X9$gZSfVM^b|WtVbY*oefxCd~IW8Y%r!MEEm%-8P1YXa~oG-$WK- zmV$xM3@Rx8bis!B6^)Xz6joPisVi7gQ>c~FHaGP1P6|}$;opPq^a_Q@&X-gAM5npu zk#`<@${&h-g2uG75NAgSTh86Tm9SYSC7SuyTbyrfWO#@!6}9}C@p+=-NzOO!`tQE2 z+m!;vL%H~EZvKyNNEt({ib%?3e-wWOexPQlGx(dP@TnagPmlB49Yx0c!gF_c_YF3~ z-ri>Xrhuf3k3`X)$G`g~68OwgxPpXG`g#zG3Q$a1l9aYEOKA%9@=MD<)4NLWiNnSl=v{cSZ{??@sDY=_JNAdhdv1OjO zvAwm;_MPj7HdN)$QoA^*FY|aqqtVbma^%;P{A+qJpfCG|T>P5nS0?(Ugc(Q8!y8^& zjG-&rgXeMhhLkD7)901%_PGY|L&w{NA3+FA|ds;^H!0{ z!uW0mAyYQN2tR;R(^k$crM}BKnJvn!N71&t#K;=@S5ZlU)K$K92sxSM8q~QFS zHLL3CC|__qPjHkQ-N@6g*J;c1wfA{7pzd-%#^vQb94jZnmNoNnUxxCy6^8R_k~M$k z+_@@D?jLkK9_Q~mo~Jv?cOA~&d;L>RHpkeb!02o~%H@Uevk-H+zUC<2pRd3Gs7qPu zneOa08=v{C2IZ_-N_5~eQ#PNKhksQm%6dHV?{_?RM-RW;)y}G}T)xHmRclsb>fJth z9C~}&&$d*Rwl&z0_ZHYw$5q%23QR|RrDXlEA*;DR)nBCeeKcHlDc5`cn@RcFw^QGi zHxMQKnWdh>SG9$h4@v@0)BZ}SrVyQtWza5VJx^meYRaU1<E7GtQfLKklR;nad@!Y?Kz1{01MsA%W5mFsyTbD${Gq?A}lt*B+hM%KhDe zVSL81CnG36hEcbqjQs39SSEbN*{PxwFBkH@cNo^JeRb&Fc1YDc7~9ko zyYf$Th?DYqKJD~)9#6q8f;xB4<0+`qrAeVqJr8stWluPr_2lnDk#9ZE>?m08l{Cuh zgOiUZN7^IWIpG zztGLv{JPEST)TLus4b;f=y=}kkoRY&|BxpbqAFYHNBCDb&D)De$=&ZdJIqPRNskcD zd12K^Ql|K_ALI0#B_jNpr4DjDzi?&u`!4)GhYOq@ztV+g9FlVG{?v|p@j_C~Bj50u zrJkpjaxz5`Iv&|u*h~4f3xB5ff2z!~<{vo9dak5i56%Xcl=WbJzNX;RaY>nHb)Jsa z6#0hF%;QhP#u?g@GS9AR*qtel;ccch&rS~KVcpfvPFS368F9&UGE6aCD2i+>8^y-sysogcp2 z<+Yz{7qF);h0Uv>->I;b{dptT;{1~uCDhrozsub}N3(k-8Dpg==YF%R3m?NzaCzA$ z`~CAlsX-#_SrTM(US4WrVc^fqgSSX(4BzBD;S%QwyE#3dce$_Y){S52T9Z=K*`va0 zNBMWB`7I6~*=-1YC|5p1TeO41zwhZHz#1+d`jr#GMl=(h;d00POXo%OQHR*QUG6_{ z_?++bT<-FEtK;#{n_lC5Oswlm@d@Xd-{zZA+Kl0ON-SgO+xx)C%19Bt*xBfNu~%u`IThv?;wvLjRKQvBE;#wRDc-2doe!c&}PHF)nVe2e2bz)>D-awqz!X;j@FAmZqN^5!`szIi%2&vkSJWpf*K zW>jWGMFm`Nlug;fpf;cQH2zm8ARrRk^|L$D=|GVYhP_@)Xq`By`xI6RBxUb((6!^7)5*33^5x|& zCl7cwv2tw*D&A!YxtP1W`)im%*AIR+`UQO+ZkG$qol>$SaTx31T&O)JN` z-hYS>a=TYAG20*Iu1IUhXb7Im$&`li7k&;DWZAN3SflCDmBh18MgY&`h>@ znQrOZrE_C}99_gJ`E)%HbmH}N<>-M-+|Hu2TGQ5APs=l_->C|1X|?|xX*r9sm@Qm6 zb{4&($a^`{{;q=yLK|yI$Jarv1?8;%=yt*NpA}p?hkV8E zf_s%`T<+F_6l2)q3S?0cYjHudSK)uk^TU5qtl~Yo;JkH#w9Ln3F30b43whpCAnO#I z%X0sRBG#Ejtm(J|@R*jOwcPJ3a(YUE>{sOdYXwcYf2=&O`--C6>*r&8aw=h2Uga*G zU5nia&V#%1Ik{yn%i~<`uHh7G|I=9a6nTGaVe{oV+utwpzJ;@uyK=sz;9SmGm3t-+ zDc12@jE(cEyz{fv#$+NtXeFkld_Ymkt&N0MUS*9tzle2U!MWUP)W1)r6-9okJZ)@n zWW4g#syZgm_AP-_p3>&a5n@>@TWpMT%K;c7O6}_d$cC3bq?GUn1Tmva9; z#u@FwgvoPr!I^nc|5MJgCAm*R59h8l7Zx<74moSc{|4t=@+o(W4^kGTr!93@woPT} zNJjg#QI^;0o=v$gFQ;X!ueZ!aWBHdFUaQ=__sQI0yGvm|-w=eEz_5ft_F9*IzUY{d}abx^EP7DYqA? zx7e7TuPpeyxj-H+Y6>epUS^cnvSqx#?0+CLXB=9j{)M7eUM7_7SW!zr)e_DrQvX;H zs~k7IuSor_!VJ$i)u}4|#_KW*x5Cm(!Uu${qfq z!ajKxv=UQ|ty{=5$gcZV)iKS_EjTYJ5P+PE{;}}P8P6*6{+*(A;QJ2#r@7e7ALXvd zH3d@Uy_`MRp-BC|3p*_L?%r6WRnoMI%Ou>c;M`(kG%NP~i>nK5loH-p*jPD={D)RF zEuFmt$(GPRuAC0(~MrulFxnjLkMC8_DI>pL*NAy$(WC#%d#NE*fije4JA4 z$Dg>51$jv;Ipy=3!k)`_eC8E2t%_pu{H&mPdrN3diy4@{AbrN$)EGH0dwDSOnVUu`wrrg<6e z^zr#tfp|LHH7#jm`eKzduWdmZs|6UJvcEd$?rZ0zoS*KGa#v&!&aW%_bz2sE#&gHG zGarl0eehWQBbPRpVfeJ;Eid&!cFGOHr=%I(4*$~z(DT& zo>AoM%3`E&oiyhzqe|#c+!Yz5MQat;a%;gLe7crV^{<-WTpybMij1x`?^?#TRwqsy z-z{3kt-F@7WxXwPUaptuTE@1mmKq!6Er3CK_+>5FyOo%FoAUgPK{)?=F?QIX;9Rx^ zgK(ZWnLEyHtD87&bYq9Mo?F#ox&E-E={)4fI$W#hy_Y&XyXZfcG%smE>JM9hmDlY9 z@L7ETno9?u`ApG!FXbtbwOi2ga>192S%gAyzmbc9%#k9|qndp{bYVU%7wcvf-7npkaPcX&OF8qkKTPq)}^P>Y9;D_dN-Tn9ng9=1AoP zFbP8gx5(uhRVhGO>shu8oTvBOy{)ZBH5}mb!A7$)W*|}IWorpy-^N`cZ|Lja1XUXN z?kX`oZO!kXX?qS2mlPlF>2EiIJtXvZyriVsA?&{-Mo+9!ns)Ohwt+I8vJ$>8d71#HY^nc4pG~9 z!r(n5qz4@-6=FWeXu^AvHX-msPJQw`6QWY{i;F*Ka}L?lNZPt`<+QYmpo7 zP~0zUB;pqryR8)GN&h^p10?&Pv~%G#XGw7Qk8LCznzI^OPwN4JsQb^60@iS7u9klh z^E^SIIjrX)p^>DPfi99OV&bJD=K3v~kSl1=H2nZ{I&Ap z%GA7chO0ZIeX?mj=XB!JMhl;kd~??wSgwuvLuiBggf_yzkSEqbK?liG$pMLWm4DpN z?v?bgx0 zDpRy7^)VJF_f8hgNaHz|`KX@Ec!G19wp06D(ISkM#(j*JoUVAcQGP#C|A{hUXAWFO z&w&;U4x{TwA;Z`>kW=r&4&QE^SZy~ZqJ_jh_LYnKb-g;p)3yVmWjh95sW0AJ5PdInp>Wv&?=d_CJi{(U(zHbB?tZOvjv*S^DnxBIc7(R28s=|Nj`c)^!F z0ca;sJnLl^`oASq?HBZPLtoaQ=z!}qxwIzobQ1p>oET?I`w>s86V#+i*g3+5`*m^= z2}vH+3#A4T>Z>*1l;27R?c0QJtZnHU6*yP!0p<0puSgou+|k_80T+8GKxvf};b{*; zcZQ^)1O2g$Vs>v(5H1~g`BM|r#NgLgEJi)esGZRA1Q*(MO%s$JE~vhN<*9sIkkGrn zOAIyF?iNG&Im#Rmu^;H=6AfP>7nblyW$k69Y4SAo@^s0Llk!9|bfk7pNWEOPkRW}3aD%#zjuSL=L@qT3(r+VadcI>Nv9$4t$~Q8;RW9zxq50-X1Z%W`4m2F} zV!49mqe6ogKHOLrl+c`JS~xoCrj9N#+O2xDNa3x9fTmqgbbyzL-WI5jnD0%rK38|A zP^h+{rPW5t=-csx1;IC3Pf%oVJtzlI`kPH#kp&c@*+>mc$|l=pfc@TnEpESyLmSoo&BAB56gwh|Lw=2TAdNpmz%2 z;J}KCrxs|Jn(AWwG&#KOQqWv@?$OxM$IXt^M`Hn4%mZ?fE8XAXC|;f4tU-ny@f!*7 zp@Eh@l$btld?Z?A5OzHa51XScLb9mO&{B!uPpUyn2x#~eo@g^&VmjMh*O|gdpFMf{r8!9Pn;%3-9LA!U2kqPuMluK=MGz*;w;Jn!6dFM{2i`$w%SQ zS7@U#Vuvq($Oqq$y6g`?3m0f9%b=YY?@M~5b*kk%kK6IWgnqS=gkM~I-!N*J=FY=Z zW`EK!{&VdsfrNaiNy||md7jzJEi})PVD9?WKWKS6LjG~zH_^UWAX?%%y>=9uyCo9n zx(y1##ZH@$T~EMt?*A-YiJ`M;j-G9N4oTdFw4vQb=rwn6zuwSSG^nR}iabTXfp(Zw zh#9NCj}#M|*jQpxAEus%qCbmdxhC+|52(KD2svsqtiZWKw7l(JWGvPH7yZP z-ho91-4*C1f^P~d&|b8MY2VzRG|c5;wv&)0&E3%jf`ERjmoiUV7z%Az$k=Gf(T2j0 z9M$`LxxaIs)HtMsK6LU_`~8ESWYF*j9eV(k7L*bN&HY1ALSHFY(E4wB#)_hcjpQHq z#R>guK|nvqxp;OKnuBkOGRe5r}}TY5*~jRqaR zA=&TDbB&Gz>F+2P_mO5SX&<1uO!|{6ik5V_#yV^2e2$b%MSqGMY6|O+A9lSWWk3#elKb~a1d4>A9cIx6p@l}OKvM_Mb65=gu!!-U zXCyuHF>=2wx64zv|6Ct6FEY*LQT)M%p4-zh+I`vt(4ylfPjZ=(m;bOdV?+a5_D?}~ zmy3JWQJ(1_AJEJnkQVyGOor$UgXVIAC)b)16#kM~e6@bUww8-KGaoTOF#4Gfq`>Ys zlZ)T`$Q8AfeZrv6=%G9@2E^xFE%&IGy9xptnuB%+xww-?(7MMUBv3ghvZ?P=RY$(c z!hC+@iW9cUH!A{KHk8lx@-NCT&m_G~Vlcn7?+($Q;HMW?GB|7;$qUk?*?!O>EKo1o(a(||w&<0x4Vy#~GqwD>_ zVQl?JIItRkrR8?EoJWI}c6LzOzZIQ9JJQ@3nuKqCA6lMAbK1{~(ZN^LYx;!)SBbiQ zV~!s?@Y$j9oF#+r7IJZ)E`0H#$h)|wNuJ7?1<*wU(ozm1&!|nU-VXATKWt~Z&2C1U zNDf|PIXN$t=5~e-Lpz@K(6*gnWEhFo>-*y+r(Wkthcn>yJ$WeJZhnHgPw4yj*Z2uS z-_Y_R9ydaVi~Fv``5k@fo}5D8)R*ocaozL1UgGkbbyof5ngGrI5U=jE(W`)d@@N^W zO~-n*SmchDJNKs19tFC{?!(Y#o1VN867o*O$ZHtBSl;YuY7e>G#x`n051Wb}u%i_< zDnf>DP5~KMeaAnHH1a`D$b092wDkDCZE=o~I9gjlZ;(q{0-oR-VWiOi1P0|-gbR1y zvHB}{jvcFqTIdps&)7#LCeDU(aohI^XyC!HKZ?y0YbY#AHml`7lWXOD>0gagj7q-z^ zSHBgYE8mf;U$;WHpxeq1%KDdSOg!7r`xDChbkMFY_OB(0*3Xb@dTSj$JY;p6Gn}+e zgPtfCceQQT8T~m>^-Ye_`k(D^b=LupBg&9M~gJROEvE+ z^ZgFF4tdS0dk|`T)PJ9YS6cGU1&65roG+y@2;&rD?r)SU<}FV$&Ha<0L3^^HZ%*jD z4Sj15C5P`4zLZAf5cFAcfyNu82;=*3nX%KQc|1DwiGX`HI9@yk1S;WsMUv;G;$%K>z3a!y9P?@4H|VD6rTl3 z%O8BH+n~8M2BAdsk0&m)I#qPiNGLi%p7HEopeb|#fBI>fbDsF~)B+t_Zw9_zCnm;g zlLAsdWcTs()A0RQvxy}=s{&=shU?uButuk&lTxNd8D-{r~SO8oL5o4WGH8EMUL<^ z@MXLcC}SZ|&Rz)g$A%u5P-aJ=eN93?Gy#0cANGTGrb|nS7r^tnU-?_fM7&+WK#0(f z;WQc_Mc#aG^?3I9;p-=~jVE9H>psGqT>Mh<5cd0NLBi50y9guJ@}AUhA}`k?$AbdO zS&};UYn!{HJ6PyX8rd9me|BX;4=~zu6Y4AZ@Qu1KHTj~~O+`*hBlomlE2;ry4n%VS zExjRhqUGVgwl_3#O!!WQ{-~!1(A^BZs)xemD7i@O13i?I*+l+v&pYh*5(M;;L|dOD zKH6wc>(L^^_VSc-j0`LH=xJrjA*KI8DMRkp^h%Z|tc!b$4|(!>8mHrddcWhdJr3B$ zmW6GAPTsf^$u^UJ+_T;9BM9h4J$ZTJBya9#B=l%QPwSy|yPy2LJw=2?-a*Q3Ps_!n zy<8CUNJFPqxuMCaJL6DFhCdk1EP~Dq5u3|-2A3t&dsF8p^f06Sd_sNCLoP)hO^t4L2zlpPYU9b5QanPWhd;9h z>UluR`T#n}tP{Mr){+P@UVo~g%t6JP$<&*JBA56td@{eEDOdRK?^RJod(iHZXqgED zjjyZl#C$y7GWvp`_zuwU9iYrCfrf>GKHbnC3a2P9ACE#GsJP}v2I2)u>44C}1nMgV zga%682I;KBwT*QwwNiW{s%mrz`chF8`u}xPGH8wKgL0`3c^ZB;b3-Y_s4;g46J`>b z7r; zOtg+>4xb%ozSIgX?1Gx%W2cd3cs&lIqe0`qpLo9eUuRhzoo%THN|`Sf{@h~*kEf|Q zXNhFfX^wR|%IhVcXQ1{H&6Ar;#9E@J4Lb8m%sq_5c=E;8ceMI3wdSyqteklPoeCqu z`a3xFk(>AV^BB!%P`{k)VGAqc+&3RP-))RPW|+b zPx*UiU;d6u`SZ6>#*)8b(tEQ=gKjsJOMjtHAIUt@o+oITuXPUU-=`x7Y!R!QT5SQQ zG0b{qIp+X}qvhg$n&dHB;9*+TVfYT?!B*C}J+wWY<{~FbUOd72Q?_Q+`!b`MXZ%vC zSzB3s%YB)#@kNtcNh)~SRe44YJ@`9NtGXWiJ*<)D(7fN|Z{LqZix*&a4gG4*j3+o~ z`@s|X*Z(}xhv$BsT*SUjp5q-W_C>Zs?~rHZ2fCMq{#1U)cb|7P^ykKr-52o^p$$u6HY87URQo7N1mWlt~{;kR)db_iAu17t3~mu zAJ82QU6RnP481*}n;QDtgl=f)|0MJYhCYzc)eU`=*mcP8un2-=k84n*qRf`c)s-jO zxXrx4OdcA`=Euo$aTk@^e||kE`Ua(bY8a^R5{&cLL8-eX2SPyWK8KN6@bx#ZM8FnG z>A~Udgsy97aA?w&f85_BSLE$PiCLolErxjK3YvEr?f*+0{9UfM^-%J4ifOW*w?Oe1 zctbR#c?02cy@8VY@dCum!il1N;bi zZyUM)y}gMGdpK`lahcIj-wNu#V}%ELe}ibcv=^5*IiV!Dv^Dalo%zw={AiTj{3rMK zikd-mmd7>a?;u4zf!5oT{0?QLZ) z7xKB9)zFbzeCW8)1tkluBA~f<;I!`RI=`siCHx{9e7|Ur`_i5!dD=`a?t{Elu)t{R z^W604wS?fer_o-T(ESa~W7!uPdO?qt5`CdO!6`U^?q#%>B-*_Vy*Qyh^Yg`omivL= z`(oq3*L%XwdF;mxepBed-_&|uLeI6-_D|?n4LvBK=Z%7Pzr^8d z#vx1g0uh?pwWWB;koRU$2IxKsz0^>B0}OqU<7*7vBGKMv=r##;zOxeg2cz9Ep?4a( zc7u`8qT5o1}uC(XENuN`Y#&#qLe>J z`L=9mo|Xs6Vq67Zd>QCmxq|iD0L(_P*dRhl7zBXAN21M`X7eA zKB4b1bUMgO*-^96NAv(eeViRAG}C1qMGlbne)&haO^0zG@?IhTv^01k7g2k2UDlo# zRLi4u9js^Ndt-BV)aOa_j?Qh3AN45e#C$`qN+|mUN&BY>WhWo#wF!NWq0tUObFiU# zjheY4LXkIQ=;T$cj7`7T(7K{mtl)3uQvHJdr=iCr^!tWh-zy7fu@X3h?SSrR=oN{U zxjksvPa3HmBLxf^-}j@$*O7nRzt^MX$!%wle$+lfPn?Sqhx+-_wA)As zq2Erl2N-&O75%-0YRx0&#wNq(6Rn?Ro5v#Ve{9+^_B2p^qa?ebx-Rhl-okyHZAqwW${S*rM57~1&2Y_HJDLZk+gVw`i02N z98&o8)dd0lOF~!l91`*}+LU|iWafz86{DOP%Pxope zXxWDW{VTb+=h?4$hB9)F_QKx{%k7Zw?9Tml-t&QPZZ8%ZntS~2CofE?`RL&6gl=gZ zI(f%mztpHFiMA=X&hPa59kk+e#o5-qZlWd)Y z`bdHq=b)wifdeT9ioBrcFHq?WHt6FLDqw@Meh{>OlqWn*_$c`8B^T%=iFR*8W7ZN{ z$_v`)bAcXaXpHqhyVWz*m9KKGY^!J30oOYkyYVMVUU3k$RSz3!4eq^S-mF%zaun}O zQxibv%B6M;FZvpw-AdQWxiUu?Pp6ec zenbuM(@yJa$b-o6nQ12PY*8|Lu8%(QOy{;j2Q7XgbT;46Xz!uvR`OI=o0xVw{i;6l zJWqW-+*jwKkAy|}+)bY6>GZ35ov8Y@so8IybMq1!WUTJvdPX7PH6~*H6f5yHn&}`f zy|`^fEA;KA)~qv~cNOutiiU3R+3JKgMII>?LXdWzIk=6LRHYAguX+H!$1-$PwpDp$N|(*Hh4 ze$(V%B@%I2gC?$?Vd0!PbAiwYEtKz{YMM~=f5Y^>Db&A1s_#wAm~lH%8+nPlg>Zzk zhiY8BGNJ!u>5|VlJEO}dx*4uKPfBI-*1qz5X9_*8FEqz}n}vFbja;7k)mbl3KPhG6 z$}`KlqVnlyl&ml16mze?Eq!-TQ(O18UMpQeM2et*6sgi%024t4>C)R(K$;L~fdm2~ zO_U~8I-!FUsgeFFDjh z31+WEDB*r?LR|mMhVe5UtlN;>%mZqvtGIN!&sIKr%NxCp9zxT|0!ahKO@=(G7Vf-x zli7gd<+=JnL#uX=us0e$5!82!g`bPt_@MDWC%ci5yPK7-hJ+ux7)A2P9CjBi)ff44 z`5H79Spi3HPx%s!9*wwfY=TRIg~j+JPlG&LmwWGyZ~tM~0vj_%Kp_#V7!kT4A_Zjt z4wL60EE7baE9f0RdUWHI-Wcwa&d2R_1R5-A z?snaz&t4mbJnLSaj_E9ty#Eg*n{$wET;j#~0boCj3 za(c|{nkDfcYSZ6577xmKKdf@UsQ~Im*4OAjfoui*rL6c<$Z~Y5= zjZmDFJ;j>Yq?{fAvnuIR&+N$5hpTxe$2KD`aSo$N-k|$wwV%#;JxlTK_Z@s%e=c*y zYE9%$gondl+~d(36ilo?n83lZghAzVcg7)*cY=dJQAMa^4n_!2U5OIP!sG|08&FaD}VL>Up>IGB!1#82vB@%c;HVW|Ex9y;Ijyc-W)5`^|QZ{+XGrcceXqkjrb z-obp_PzAl~f%@{vOhE&$$R7(!k?tQDVOY&00!mh|J!P+tD`hi3>sURFEi{K|qvkvY zk7S#sEiXD;Fehn;$-m%#hv$N#l`|RO=nd?b{l`(;E5VA)@ZH!Ox~r}bGI6t}Z4#-E z@wz%=HU)D8rslN9D0D9Gc0|-Tm=FTKInan#=@1J=KR$uCxsgEqNnIguj?D5XZ>G5e z<}FBOQz~E=>L_Wig;kR0Wz9-$Ehs|{l=C4NzPti==e;OR(S!Oh%7fCVDe8l#+6~}g z+pCo9aM4?t-)anZxF@|(ogBdcK$|jCzd^Y=(s{tnXGtu?B|xcLbWNW>;#c?2WPszP zrD0&gd||bi4xSip5Se$N^zBWQsh=%~PZ9&*sBa`V<$2N6Fzrq22j|c z7OI#TM>MKn1_gz5zXH1QKk0>q2XuSy1qmov4XW%1 z=kUGsIDN2St}gG-U&X@##%mWJok9gZS`7E_;yJ0Zli#mW5ECYZ3;!3VoBZDHj@5E~ z0ek~yP@1(|b7-TPNHK9~%Mqxy-7QS}&GOuLGY!1VgFFZIp1m*!<;XrJS;&|{jd7Dm z@%~vTK@R7SD6l|h05Hm%uo-*u6D4_v!mP}@i_lXF_6LHMgYmI0-UJ?QSSo@jNs$MM z;FIx)!CAvIi^ zp$5A_*3?ETtiU3Cw|K#BguL^r42{U+A-cBuTQioKqv5bceWVKKVGE|zdDiv6QMwW#OoqqIOH)Fu zGPQ*u7AN_;)d@K9NQxn84l})f#0t_YGIxM`{WX)8(_Atv((gLFIm-#(L9MQi!mK!* z$nEVFGVggEug@fKIra>;xay)I#?9PdYTyEitm$$3yNbs-OJl|{n5?(D_h#Ga(T$?_ zlDNHa?`5JKeK}TMiSzLLZCn)GrL;c0tUn1fdhd43M6CyyqErR|?9}^KZ2_E{k0aNB zEBWvZmw1o+RC?I+vh7ktS27 z6X3(i4LJ$@L3cHJ3zoK!n65xW%IC*DGb*yx65&1Hy!48^Mo#!!x6nk@{m$>vP~GKK z$lyY@j>O*1x+2M3cn{{2+u!meev@VKbPjNTpU9Tf|3ex)ejBNQjd3aPqA7FE$B6h4 zE-<(2t5CZ2!hZ+*N6!8CQ4w$Kg3vDx6J2Cppt+eo;o#%#aED^XFuC=mPe8UfScO^8 z;YI*7jfRGojVW`8(T;YL5UC0cCQ4xwI%QbS*#UNF(hB^$PRt+1hF!m*=hoM~FF){- z6OYr!nuNHPKOt2yj@*~f;JI>S+^5}>OT*8RrhO)Wt^FJVVerUe>4cj~o-pYx@8v3A za4qe0u&3oHsx3LmVxr5}BJ>5dbqC{u20OOZALNF!bB><<8VwOMKP#9SUNWKnj=*yz1NrG! z)>Scmb4#Y~qZ1SCoqTDD(MI(R^7x@USV;SuHSrPq?F%hcF4E)+n@rQD@>>xgcZ<01 zl_=sya_8tsr3u%EhS2@!`-PEh>X^%9T&2f9op;Pn-B77jC9GLcXrE}wKfb%0H4?^(5L(Jd%aVff&Sp5`r2YaI+?9tl ze3{N~TvzfV@zJAiYeXN(M+F)NLldh}ia-9y$}GQ5k1b*)bVMb%cim(iNNXDV@S3Zf zS4q}YCV zkov$6OUw=u>L@yX|LAJu?}J`gx<`bkD`Bvm6aRTV)ZVF)W!_lBlWUB+7joU!AAsX6 zV9MpJ9(P{sQbI_zJz4BDP5bs6>5x;_>npnMz5TyUuIT3my^~s#WJC5Tyt9;+env+Q zS*$}R=icNlRw_jLh3RqOw=HK*ImD00+DG;s@Oy}*E}>eP;)!u(p`|XR+QKbo@!1$M zSj0UAgJbz}dltFntT0K?#!o!mB@3Gr= znp{Svf9GE*2roGRZ&{Lz4iB-+srxYhPD(#WkRim~W%VC6& z-TVOMfE*F}`)i%40sCM2OcCMxaVKhV@|$c7XI8kWE5TVhI698WbZZ9IWM!1$?WL6S zwQwArl}?K}g9Zg6Y}N$A<@YNH81NmDw%uzEkD37i0I5fU2u_ZzX8}?Ee>aYk1_0#> zD!#?^xX}d`UZrA5+gDhpNF)eqCI^I)zucrVwaK{}Zo({B3zzs{k6D+h6@mj;mp&^{ z1Wl^(?r^px=fHAmeA;|=-@v}t{nM9HUDj>E_eB(gsj*6+`1nC9lvW;2p>x6u?d#Pe z9wrL0O+dX_2)0eYw7J*L-IhJoKYVD?0hE|(yZBnyq?Vmv%>u7Qpv5z-77&cbK%_E- z9_#N$Fyqm}p#*t4D-i0E!9@t9L~sg1&zSHcUfzTt5q~0zFuO;wWq~&%`W_Q9m^$?c z!5c77peH~5D7L|qAi~|5f}n|0cH*%)s5W+n8xXBRnP745M_uBf-vEgi5q#q1jR{Xc zp2NdHJC=rwbXPe97eD=DtgaV9fQ8Y5@RgvX#y4c4j@aQ5F`cK3tgyB5Q==V~dUJeK zQ{3N~gn2BCmo@@`-AZctzUX-@ji5Z#pJ(B2^%cz1)EPIYD`C3IexlfX8W$D(sxSY^ z^F&~6MOCKkU4f4au{M;i5>?1Jc+liRC_k`yDC_>BnHXq}7M63P<4%?Dh~5^|5Gu&l zW9@DM&{syIe0erjkUDN;W(OLhR!4yZU+#@Pv{q!mPyu*d5vP$vb9hs%l}Y{I{Q1>m z!N=GO@w=REUikU=_1WJ5_AwdvqZ@gL@+y>1iH&4--M)7&A5n}XCJKT1;O|gwmDL9w zJTIlr|IEK*x*FDtDk)68gRy1#hyFp>C{ftA)zwt0MIU9Df>^?#=sAhc8|7P1)aGot zaWToDLb9p&{7k5Z(;Z&UTN4ispZGXq?HUte8khI{rhkXG?Qoa?iFrEeLqrdY9NG1- zKifJTkGv8xF>Ton&Rp6O7~iD!BBU1X)O455Vz40Dt9P%L%8ikqbn$ErXub<&p71ti ze#Gy8Y*wxWExpnh)+qR}N~P(q-{C~wNYGH`;e05NFc#i={9DF?Vz6hMl>O*DieUxb z_l@^2Mit-aGysB?f^o5Q+njb20@X0T@A)e3IYxWZ<$0^4Dzd{T{no)Igrin+i-1?$ZANoC#X8q;{0$wZ&U&D|%3HJ%a}vS0!SH(eW4oQ`tk z?{oo@g)QQbsWmtOl2&5B2s_*X$k4V584@n`Vi2v&ObD|-Lv4VJ{HM9+7%H;XrN5%; z9PaAJD6#QJGE(DpbrG~1S~5%`kiHtLSh8W=nbo%^isP-YtJ#PjFs~ z;vAMQ)$jXP>O|5!f~Qr%nD95gml?l%5KfHI8OJ>Xx#pv2g7j1tcq0OA zL|}>URYgR2iJZxzOIWr6r;AeVb0rN3;D;i(|e!PQ6%~o(GpD_;Wgw zo^_5Qx)}1R+L5w97b#~CcG8l2cb(EE`e!^1cf%KYWior8Z&MkYdPda~t2(il0sJ<6 z0`5aCk9)y6MJ}i*wpcae^>EU~+`<5iF%PaM89Zv8_HKgiJ~MCvl^B@(=>59?>Q7pk zw5nc5i42?E*neL2Q(({k%>4X{exJDboh^K8A9|p)RheQM;Ztr|yyEF@Lg4KY+M}p| za>Rps5u2v0sb5+U(D8^%pcbZr7m6&wnH2LVs|mL4A;guZInx7Zxrox0wS8r0a=;{` zUw23T>2lkvVUM%{`SW*&)CSoV1pWyshpyumUT>n87VtK!`a@?s-fCJFeH8gE+=A3O z)H$fiV2^UH@W4iE+zT4cAl}Yde_)@u0CJIoRz6ls%38G+L(X*jtlC&26E=^8{n|5Z zLl=cFN<&?_2KPS??(Ww=1+uXN)DbRu{l83?O2>^NmLMC9gvoi%lb0#wZ#Ya+^xRZq zX2u^uSA@(_6XKM$_{h5mEZcCqZseyTWckc`F8jRYQn81^z^FvW;6Bl&(7Ui-k%4>J zSLCq2-;l7G)pMy(^NR!#zUGu*=yH2(pXmFVdSc%ocPV##*Qhe+E$=e zQ|P?@DC9*%*$@6)wW@Wc)uKcH?DyjmZ5M;pYG8zymee$Oad^YH*<9Us{52ajJ5X(JWQ?5n!OBedg%*k)02;l~A*$o0NLaZk~fd z7tWzq%kP(_Ns9EX6&+eg^h@&K=m%p*fk+8V$IsiewZ$rInisKsB|Fa(4BsrSpE!I$ z+|%`wcbhP%q|P+E`xA3x&|{0KyI7*4P5MA(_{VX1Q%pekU<99lUwZ;w3OBhJzBHjB z+fbQ30H&Ta1`W1+Q&8$v9|61!>@9!O1DCDXzstOcl#WW=s+u$IZE4BNarC;=+C0xs z+H87}agY8FJo1B^xd<^t+ptZzrT>wyI%bE8ppTE=5CIY0ru_%1=a9@{D{Jsbsq1E$ z&rKO(%H-^Ko|mG0!iW>q!Czl5${k4}JmSsVqNivVZ^rH~7#c_2)sR)o#5$HFJ^5|y zde0mdT~cRsVfYcN3M5*TpLk}ZYOIFw!%HMPd>j6zVrPJv{vi~y0A^5|-lyU!l!nfI z6QX5OuM|Id8UlV$4e3-DZN0gHeQOQ~W}3oGGgwkJNEV|tS*h6A62F++#+C`;QNaMc zy3|Ey`cJyN@pez=7H%K>pX1m{zC6pX++_FE6JDEODFGldz|MT z8YR5*=5}pDci;C=&KcWlN*jB_P}Si{g?Bx1g&|UQEMcyD-}4BPA!=u5SWNO!pL zK&z-#T3Cax<=8HDi_RL1Rb7)=@87&Z8V0uE+W2Y{#vg|0vMQ+T>ZZ|!zX+z|1;)lp zyFU%UUEzA@ReCQo!h8P!Ow-!b0IFT#0! z41%jY1;vw52UfKQs6^eL?Hi;2tGWcuZeS9z6ID@GduI{vA{yiaMP;Pk$Y#k5f7gUn z`;3oFdr-|8bM*BI$8Fqznu4F0|E2$zq04$g0FM$YeBE4_u)5OUxtX3 zx*9;3g_ZVu-w)F^C!GXn++Yl{Y9nGjT`(3-4&?(ovgDt-ZCTVq_c~`+4f2Hf@ zC-2=|NJ+q8&7qVg#PHjn|7f;lMI}GeF%JT^%f@ly`kHD<%i~#7&x~58H(oT- z(z9<#A2+MD>1=nTqS}^f6B(Y<1Vmj<39n?8H3SyEGQ};SnO92u4z(Pgxw^fIQ$Rzux00zcJ7ADtJVPQ?(n{ zS=taXH*25cI6OEKXncK^?Y+DC9gWK=MPF}?WM$my2`zTh z?in~c@v5%EM$c@u-~n;vD;xJll|!T)Lif!ezRk(|PM>lddK3B}UWPsSo-|=^?aj*@ z{JVUi4C7v^)$a|>6l)*%ZQkV@%F*>K*u460H?)NPI4 zf6pZF3F0~-8xS zh$y?J;&lSq;fUXoSm5jzJ3*o7wpn;dhg15_G!I>DC9UsaL<@pS29WbKocvo4lXOny zg8<+zaZWuo&LD2sCj_;dRN&R9h#BL7^>qxPLK%ntXkP^5S3 zVP=)9U}yO9(Nb;q35mqPQy*1K=VyM5yQfK~@SIue$=W7Nu7KIEHu(GKAJYu=D32pr z{2cKI50C5Vw~%&jg%wG0%qjo9wwHJO`wmCunJ)=pKDry$&W)yH68Bv)o-uQbwqJud zUL85hOkzESHlUw!91B5ntBmD|oz~<}{&de{?$7u6Sz@brqXjarT9#}k$M>&rZ$zL? znYTc?T!2wv!bO&ze$+fOWn<(cD4D4#htg!}nMDD(jiLk`=t>{h=$a)3hs?x>qEt_| z{@=;h|AF^xp-i5IbBtERLrEqe#igOF*x^AyoF8F<8&-gD?l5T#)HY*fmw9F?QMVdZ zOSlPqc&J-o6sal=Md@HEO_U05fe85dgMXJlR|ed0f2p5x>^Mo-+=H8t$i`KTNI*5RW#A z!V-pu+j|}FZj{BqNaGRk2GJLaB_UiH@XQIFwV4RRe?L02$uut>ccGzkfe(jNH76?m z;+BU_Hivkefb$Dzz?U4y(WF~F6R%94cFg+DDTW(t1fgszv{qY?{iFBs+`Bef=x?+2 zi-GVU72|d7V^kgg|{o=2gkL0HZAwmmXg7zP+>%I#;Dz+oC%Q`VXy)(`tlm3~_jJ zF6VUk7~`ocV~kNq8jZoL&4r`%jO1dFan#;G7d%y%vS!T*W&Bz|K6Aj*F@F9n-F%q~ zbuccB<6x(Ih?yg}tA$GPrq|QHZ;%4&xF&(Z-a>)cZqK5FNLgBU5GhS_rp@XwO&l{Rsl%p~cY#ruu_zoIsQX+F!j)NWxG-$Wh3ccw;Uz=?m@5!{kHQ7W{1}y8)sVwa6m5E*0*Me8T5&UX1^q_GqA)w|hj|C}!{j(x>=^uJl> zx%%&Vl;8z0q%B)gU14ibqqk>IEQIQJK!>z@rL}+R6_IFUIr0f{VNlyTyFs59ni^rG z;7XX?uX%f`iHL`X1K(rfkYp^og-ifx6`+~I+#_-v%?T~jxf{c@=p^KLMCvoFoQjnX z^>h8-TV!OIZ>I*712>jVTRrH~{*>bWzRqt5vjfjvsZS^nP(I^Cd_1J%u*EfUlMO{4 zyGJx3EO_|5Hm4u%EZqU>=c?ZtPux}LU0TPL@1kh>Q%4d-Q5@qSD)FWK7}0=T1Lsz7 z$m#eUFjW35x$c8%zSanx{y7f{>LfLOQ!U63)|M+_{Aqplrdr|U*S;BiMLq82 z?$o~04(fR9t2(;}+hM-l05uD85?K-jo%FjMrMErHq*!|dnl`+mrz$TNxOaG_zJjj* zGT|2J`JbHJxGD?v>H|3b747=R4Nda9edk^3&FUtI2}=k#y5^!b(F!2j?C_DM5=y>R z3+W8KV$HQA__;QrtgfAX@8{Spw|mHzU$d!=kl?i3*B@}Z1P2$nzQ(FvL;O*54zbSc zXbbA!w+AmI`=&Y`0|t*>HV4TvbLjle7Ri}r`Nu9$u&7x4 zU$AFf%hO8OiBy0H${^^1i%{NK&y>A7lB~2NOrt|&jZsL~fP7S!Ug1!;+!4_30LzEo zDQ^o8s2yMuDZ<>7>ah%gkWErEssKFEVf& z(!7182HBz*yVrIkhal;EtjqmOU7;m}Su5R+=4sSmZCR2Kj@_QdewQ1!H>EWfeI)e?4 z45`<9i1pPFn>8#ecG~m&uExkiWJs}qoPtp2y~cLX(nnh=Dj90cg*_$x>=uJ!bS(Ei zgsZPx7lydGkVfhUn<$EIl*uIOb52-mnZerj>4h_A&YYfxoqhQf;Kh6YN|CC>I(bcR zJ@@px*Y~?DTx=JH*8E+Y*SGd{$oy{xS+768otXSwK<>P@*maA~R|U0QZ^iw~du7Jq z_FHf95;ayORJ(5d zJE+0QJ7DUY^tFp3f@l0yu)5=~&?&%+DSrf77Hz-nywv&USAx=I=&{@rwj9$ysq<~I z6Jz@H;L1L&!Lm<%jiK1-c0La-R-Mm3I`mhDs8?oI$oZjWa$4_Ru4^h~4`;AF_#tPs zx^`7RsvoodqoT60m1Ky};LTTD67Rk)aV4-XjHv`bGV7nd{q$_xnKmiAe3Oe)cZ(1i z0htfd>arT@l<-DdI*5?Ted1@w!$e%B=S-TcvGu=pueI3)+Sir@1%jV7X~ zZ!^!=-BtCSWA6{^41DI74nx`A|Mzgn7U7CdR*J7d-S4Q$D zfq4m}pEY~E9cSg_dOr=%@sxc3n&=0E{HfeY3p+6#{U=9j1}+K2uczC<_%8y^RWcp# z1;2}S`dRl~*HakXwzv2#rZxR_4WYV-lABVIQpiRh>Ksz!9u{?)*Zq&h^~GeiVTr7m z;`X)QJ6G9FpiYPL7HXfZV zOA%B2QzsYa-LP$#RJq9SD`~;wy%Xm}`cjlHHu2NOf*(J+lwNi{qJJ+eB$GUy(%_#x TB6$zm`6IJyf0ygO{qg?*OTnsy literal 0 HcmV?d00001 diff --git a/YL_pulsar/db/YL_pulsar.cmp2.ddb b/YL_pulsar/db/YL_pulsar.cmp2.ddb new file mode 100644 index 0000000000000000000000000000000000000000..de31cd5cd1ea191225341ed4f2f363f8496d85e8 GIT binary patch literal 40309 zcmXVW1yEbv^EM8J;suIZDGn`CJh+7xFIJ=!cXw-XDDF@oSa2!sRwzyafdIul1b3H@ z_xJxMbI+YKcd~m=vhwV+ON@eoQiX^5yt+MqWS;Lj7OrkKPL2%k`FY;)yl0T{u(3C1 z;N$0Gc=v(#Js&UMyZ8JIS{AOJHf9zK>ZWFP4DT7VfDF18-x%c0ZQP%~>F$W}ECvOI z`Pct$D8c`?Me)e_zr8o=e!z=GNBH@ZXbq#R5{E2o;iJu|%Fwg}&nJ#o8qGJhbVZ>= zEOH#DoZ51fR1;jW(O<%EA4;{aNl$;qq~0t&T?EV+L;v{&K+l#DT~`4|o$z)^5JCh| zS-;(R>3#W+6`Ydw{^9PxOm520ICdrZ{N-=cKsBvJtfHHsA}=h<5xpnH==M)X9rqMI zKtlQqmPLsCW1+!LRUq2c;8o3TvPP$W-J|o<3UKyf>Wc*J%RW_Kpt7I8Wr0jM>MeclEHB-@a_QPJ@LmbVL-S%Mw zt@luVVFazP?~b(JVe8-yV?BYWb+?3K^P-;q#ni_6ehmlC$URv7Tk)T@Vh&Ww@b_WRx4*>YEe0(-;52Wwoh0$HF`^p0_z%gp^F@5J+*_!j*|I#ebE#rDOi&pj zhRB4@c2CB_&Ywf81i?QTch_^oUNeaQV!3dtprk&mgYPvh_To)GVjtEb0&$O6Uu(Le z2$qLn9iWyr`z(F^)^El>nIXSack=-~b)vm4|1pbVcSXlw=W5ZZI}>}~XLW&q zcFA!AZURoh9v59zuRwj?@Ab$kcnKdTpj#fI#*c!u&1fbK^OHAU-ve{~j?y|5-qo88_}|9hccqAQHfbQ`R8hMgFQ$N&WS=50hr~VUG^p zn}>I_-aWa$oB(Z;I=^D*sxNjb(FygO-2Wb2iufR`WZso0*tXL_u`L7GlNpx^&}YjB_w8s-iHqrL?@ESO`R&KCPwxTAIu`C?MUyf?X^hk8xlq z7^jHdZc|N_VJU5NVi7Cp*7;#4Y8byrOuJsuox#T0h0JBRXsdE|56=W*46uh3{1nlJ z|MA9%pD15VQO3(qV`J^#{6q1sgn;^8-v_)Y2i#flyH5rT2i+4`upd)DiZI2S77B3- z5B25#cV-$T>gT5p9nVjTdy3vK|B#R6yc4VOj)pu~}>ofEjI|`Rz zZOHGJk_?{Znzfi^KD;Jlc?8L6Gh(7*wZm^Wpr3>T;{Toc!a?t8yBg=4(mh2CvJyLO~Ztx7V4GgnzV?Od#ZsEx_pXY@2rA{rxAF5B1&ex$E&CA|3gf zSNgsgArBSUOM2aVSaczZHGjOVZ7)+$_>zy$o5Wyjj zy`|A%FvG%nn;X9)FHIH+@ycE9%V@Xq?m#w&+sMr1?3onF*;)!5)K4Z!Y7LQ!bZrjNV% zpRZZKrN9|cK)Lf!?%j`X+l-1j<5Y(4-<3QcO84AXa)NO93=5It2->9Xe2u17lppG) z*^-DJf_g}~^TR{?gRuH7Z`iq0%6w-_helG>f(QDKxOp<*%a{Kmr^_7Vb{mA4@Ha!} z1L8zem9)lQ#8sXaxcj71^qdP^`DkPbOoXt&?wb}q^|>VNE4=P2QuTYVEXh$XC}&1N z;Vdy|(U(~W9Np<0T@&pyI_Pyi(Z_Nm18v1Z+R%0(6DIZ9kF9O8u>h%m6?KSp7bfds z2I}4>3chDQr+!~z?1s#uI{_+GniV;iH23j*aU}I!zTY_&pmqPan3c~q7<&Qvb&PrO zVFK4(e)gq&INd|2B|614dEqjhu9^BL*IEys9C&|=Q2y8}v3#vE5mD1`+L2=%zZeE- zk0(zYJ;Nil2x`Wj#q7Z2Kg&o`&%BVNA9#^N9XtUH{<;3>q%~Rf2#^Ry=Haiz--kH=4H!%Ck_w8grn7jF6*-KE2mDp zPlld8jF5;NzpDt1gW5{MIP(e1a%4Zt&_f6^i-0>A7Kp~bi`X?4!iLec9*5N$S7l zV}9Bh#5cc|RAqbyhWqJi1j(&5-Dt2!7-Lb%(C36HzbyOWPI{H{yXKmb3L7lfO~ybo zQ2`O!kix`08-}r!{y9u#OKkMDPF|~V4zJ{&r1bKPS+6J!y^HRhT z8@Y2J;Y=Aac`Y|GN;38+mG|N!2>$(|jOa^Rrm>UV-(bhNh$)MKLdpy?cNCkrNz@_@ zxaFcSI;>|W5(4semm2HY*}1{bvYqkZ3g1#ZjW+14KIMq*R0ZLawboGbx+1!;4~S8l z`}QHXJx-p!ueR|kH?Ws8^0o1CrGf+x)Wh~&fW&8%KLy0#I)W`&!Q=|zA(PMLMlb4;s8&-1b} zVg{99_dG!GSjy779E*;i-(|JOEU)D4i2|AI#I~M;p-ZJXN}hb7SBPOKwHr-vNFR1_ zT%)9423plg5ym`JY_I$?`e?H%?Vi5Ypf9M*tn+HRc7Q2?ePke~IPM}&Yw#9dYmlnb z`Z^1(>rCOFE50J?@>w#xXU6%k#76z!)zp36{f0=zaQl346`{k# z64d|X-HxVR*hM*UggsXA4<71u@?PtGB!^I+)we+7HN}7HO~kUZ`4W<%uK-FNDPI?$ z|CC0=y8O)Y+Gm$Eyhd{==#uFI0C{b;WV0~~;2LhQa!oJ8W-rTETy);DC8x!9EuM=6 zCO)KJT01*xr3%dRbfyGpEK+p&+|Ak~Skqs|aCX0^Jz%?gD09n(KKwTGZYJb{xUJ-> zDc&7Bg5oZ1v)cA8xUwe!lI7qVMzfuNiED4!Mq++h((WGkcn!VWD(%EmN#5I2e7$$0 z;aO~Pj#eAf2Z>UX+piLx*z=!`|8@JN`^-neaaVWst_Vwz(-n7q9p!RHN7YKgUs4@aZj=?k=4U+$Wqk zUpi9uqzFux?MX zv#sbOa#U2zM26}{c>ARr8hD8Rm@FOlRKI(=aBY94PvEz-v$5-p;6j^HbEv?nNi$+y*$p_=@p#+jYcRYZ?n?$SkEsyQFSU`9COudu1RJD3E0?PD)T zz>*_`qs{(s2@*u4bWyw0B}!tK*Sc44t0F-P(_y_ZT3YG&V}E9onILQf1=r(?@EoemFo_j+3NIVqDevmY<;>MRj`RDZXmgj6~t>+QCDqqlPHmO zS4d1nzs*i2l0K0>Y)%O&6AMo&Pvnf;?JR=w;7Yq9V`*MH55S6T+f7?R(4b(G_Mq&D zGh%&yEs>(1XYy3kkYQIV75^Fm)-!P)V7t3mI1TlNOKt|lWb8I(@Ftb?SOK_z#Orf> zvA;WVWx=-6tXV$q3F&2Vd-GjPxS7sFiMf5(X1Ij70rYv4EitByWx$`c~s8e+(Y&<%0t>_y@JU+0YnoVI>z!{$q`4KfvGn6kg1sRGpq zT!fiGZ}fSv6_Xo7x7%e=YbD+Q@u_bTW)fKB%-^$=F{+h#BKm`7eX?K`GBJXkHM1%H zn)BadQdCO(J7!-Ct=Ksap8_HEeD<2r=k1xV4Z~>K2o8Vs6H88Z%k&V#sMl zd~d32O1U%JM}(l0eH%{lYvlB0NolDKC{FFa6sFC~%IG%6lELKJ%0`aIGB+ zTR{BfR0$=REU4#c6Ia3lgK=(Az~M}b?JZhm;`)KKq_+mK*|=nGeA#9Xn(^Nw4Jmhz z6&&A|=a99}Ahz;#=!<#ZGwFs=NqME>`cvsM4z17RCw3D<#Qt250s{5+I$Vb=68n_s z4~Y+GcT0)?32Z|2eC>`q$|(f2b3)D)aw&J0Qy%HJoIw7K@hL<=QNh!vHyN<|77x z+adnQ_dsn*4R&N2ty?9(*Jlf0`I_DQvq#`Z#$&0ZQkWr+LP-a&WA77pjahbCD@bqC zePJ?rgoh}9_|an*(~iXAhDu&(YIS~bN+Xgkq+pn}{M6f@zs`a)Die8LLaCWPEs9LX2G z#1{j4zLKhLX#Lw?RU$9DUKz^d^zBQZb_^} zw-;Nz?kYEk@J=?3xH}@zn|WEx1Ib=ha$#zjdqLU66*6Mc$TG{w^u?OTZ^S3jLPV9B{srudkpT^2 zjVKXBg3xOGi=DgiG39I;xnD0Z0qb}#%IIWI{%qSk)Jy}ppVZu{ZR+jOZVq)K{j;w9 zB@``xx|zlSp|nPwGzU|McvW2_caI`uzIx7N)1CBsL=+tdQ`hWZZ zc2BH82PqhnlVBUcWXuI1mr5>&FP%D|vk)%7N6hNDDWw?tIE-be-wq2+a2LN9hMp{ znx^qb(<;XeM>zJLRa^y#bQ2xc(+YSm&P*zO_jw~*vGa=Cb-Ho+8s$P&m$@82a@QQp zN9av01O&via=DuRb~m<-()0!DChLL}>b|W&ZzaKlnzzasR(9qBSBc*vB4MXsVq=xr zD)|sZvzAjzwmd+ExRH9TLu6IJRgDdk{Vyd%!Se;oW13u8=rsDB@eC!0kaWD|?Cj2q z>F#IG6T^U*m04H1M)?J9@6*|Bl1g+_zOK`3)Xl~+ls8vGVy~Yty`U^ z#(28_OfpE~)(fyZs5Q>G@#%B!?J_J8jTq9^NN)Sf0TTWAs?~sf=Zt1*ykJ4rGD08M z$XW$QTtNq54Zep8^Jucr%*a+jqxT6D*| zIrva$z;0wCK+ZvmZi38t`2e_u$S;-j~jj-Uiq>)?pA8Zjlq_befg z_piJvXa0y&R~z2ub(Fg35J;S*9MTlT-8vlRH`aOLOJuGMVE)8HGO>nVs%&zLZ09$_ z6Xx{~Swrk6yFIfDcE`0#NI)i`d~=Sc*q#IW6`(-ANKYOa+Q%3`xYh~Hip9ph2WWn!6exw z!mhu%Yi%rM{yg)p3#U|;h%+B&(4R$I;!*XSu}i2`v0d0~n)rmyt>3epW62-tjPRxZ zS~g%-Z_qKU5@xY#=P1L9b+j$F+AHlY_WhAoOadE{&W|rrn+ZOzKAB}lEvb+kP^;F( zuT$7e9VwZ%;*xmnt8;tLsg6XT2b0+fuGy1*`?%>B>V|m{^czO>aeSUzKQzm>~fEFLukwpHF|2So=SFc;alX3(I6eCYf>K+?%XG7oyCgJ zn#1~Xu{a4L@d_Tr65d^jCd8lvnV>$vrVdaI`LX~eg>$>8Dq4TgMVHq|%WcoDGHXT( zGIj9s*+vK@hqsuFM(^R;HynDCN6_O7Mg{78y7LeO1$gwjyqJWaitg6jWD6+*jMS26 zIkIf)_e4*j^8&l{mes2-1hlBhRdcE2s(VX3U1CDl5YUyK6t@0bc}6CYA5SrkWz8YysHe zP3&Om;K~#NaMLPT(c18j^27i##UGvKfR6X-QQ`n4r#IC+bSihkq!PhuQ+Ghj@4x#Q znlbd-449?dJ55##&qy+#At$BfW6A3j^MIL=>>RZzKfb;7JX4@hGGNk-sRYBR;bhr0 z(82oG`BJqMd}u9Su=zc}TbMooFUmg1r)y=sUyzj)j)=*tujVJL(-h_0nHo9?truIX zR1-cyN=?1TZg-!-771OXR2js8Fh2ul=ojudNjI0Ln4voyD?Pw!wE1Ma!fzRK=8-A+ zV8TJzM>CD%o7U4_uw7|I1|Np{Pgx#{C&+*K``yEGG8#N`hjpXk)|qQbE?{FSgshE@ zL2n)&1Yka=k*j_IlRqa*D-WMmNfP`>e}|fM0o>XcKD8U4V0}JjHrmsSzs^Yd;Z3#g zMzIul!x4-ouX3@z+Sf$5CCu!qd_17{2Y8eP#WHAwC;XJn-ECW(INRU73d}D3YCWuP zu1Gcd(UM+Ftcl``i9#c2>}VrWym8M!cEHC8m5-y6@X9;^F8hVu)nEYC>nHsfRchS; z?3?Ku_|Px(IHi53qI0(cCvQFoy>3k!(HCVsS^q9fb#-t<5wp1xvk7j(B|h`wyK)b= zR_AtwO~dF~wekZnm}z$EH9d_=_89rsXCH5r%cZtw3_4h9lp zv~MMa&&SLx43EsF-LBM}|8&-{X}0pZk}*D8sr2mIs5)!pBAKf`Gym$&ZD~QWd7yEs z<@YB+Wee7>p!_{~K}#TK+X!SSzoy#FSKBjpOTSX_=ljZ~3-bg?JCo65X#g_aS77L` z2%k$ILk&wdT7t{vETwn^6+B30slx6TGV}Vv{LToKkEvbci$t&+&}kNg{%OYQyzbl- zxT3A1qQs2QY}J#Hq`{=S7I5WFEne9hH%K#Cvxd>fC3MyuRFSEukC}adJMgOngzjh? zHJ-St7AO|p;+-I?;iLCd#4%dH$ZfIItvS!Vg<|Qn>dj&8Du~NpBWCD}?5ai%u$`JE z$wF|}*?hShzBFij$r@moJYVcrdJy<&hZ(sqeQe4M^=k6Pu!_BXr5NS^1!`lFu!(1qvO6mF2)v zCqY5LpF>I4$!GFwS!=`g%V!|VZHStr>5o?zp{apvTa*GrzXyl2FHt+6BZGP-n^Dk; zh&vRIhEE?9s2(KNmM;8j&b?lufHeZd6?6PN*myTQe2RonBtVca0u5?DSb- zLYS=7{i5}_B|s!IO6ds-X>R}^W`LEt&2152f?L88I&V8P-9!McRDhhTNHFnEQ~T-| zl&AN4Caw@|4(3-+HAB(lW`BmCsOr|7hD(9ZDt4{Z$hmoo0SoER#jzzON^f)wI-4`q zjrLAHxFLRi%f=hvBJl;tYI9Nz9&F{M#fz9%+iUyU?%-l_Hp!Cw<1De?N)QtOmlAEJ7c1dl6_j5S87XKxy4WgsCs}*Biq=!YodoqU~8x#WqN*^?DMd6ZK zLOgS+mEJJ}@mAmB$Z1;{T6L*gf9=9qxlQgAS7h2cAwO#y%y#OLBNA20G0o{-CR>=+ zUtH~Iy0iSU`LN}4DeNi!N%n^iQE?O%N7vW2<(k}?RZnT}-80i-rQ8;|hZ4{Mm6#>L zqH8oGQ)L%t(>`XZ*(TX$WZr2M>B7qNr$XE&1OhZMXsfbPsLEx2ft5u-8-%I^tKQvuC$^=wtg!iFp^3R&1;Mtsz7||nzoVT$+vd4XIk#;^FrhEXGyMZDdh}bv z=BADQ_)!wJOHc3OtMZaHjDS>aU2*(y=5$R&3Ph`xr6}OT?sLhbD(}o~DzCc`Ap9@v zF`uk*tyG1 zF^T+1g}^s`^{$rK{m%YRq1QM6bE9xZ_c)zbs5Q+*XKnOmzqL2de(<+UFK6u5`W)Qw zYS2_upHCVv?JTB*EpU(X!+sCg4^k!}{wfX945hml6!jzL$p(+a=#5TDfYH@-FLYwS zEm^8RtBU6@agZa@bf37cY4$SFFyl(oNo>c6|_RvECps47y2m9Km;iP(q zdzgXt=C6LMZ}kd(q#&;Zz*Q0e$`Vk`1jq>BsG6YFcE2nO)pMLlAR~L`oTBP&**oKb zEW)YUOiTVB3kKZ`HEN7KFeD7*#)~q7#MZc^i98g3y9?$lRSCvagr6UDyelRb$-Vd2 z+9AWKvZHczL_8F&UCHwNyw5tn!B;kkD&4-K(KvwDvwv6zzDo=!Yo^g{u{lb)Ju{RX zq${kBBrt|QNC|wf#V35Lv?wGy_=j_`hL$MM6g@B0%WQ!q^w*)e!q*OPuza_xq=yC`~ z^f%xjjD?Fb$~AvcDJl^jsdIL+lgXEr9pz%^*yZ7urV|$pKgy8->dlw_hMmO~BdPTy zmr1EPob^E{XrW&5wO0QWHdr7FKhtpQDOpsRgHBW=vMTA3lmh*+WoaJr6D4*rPvMn> z?!f>vb_iI2L=pA&EyXl+c>gwgghPUtul9XlWvvGVbE=lxwh)8&0GXhtvLI6s!Y7cU z1QC`cx6)Pe{xLOwJZC4nFR33B4blL3#gFp z(Qw|~m$I~GkJS-_w##xH@nJd{6g_z67tzHaqt6 z!p@0T2Ozl41*~6D!v`x{A2H`kG zpeix5?gqUe7Mb9^)8^dMr=*VU;L<|1&E|b!rk4orYmvHmAv_-H?A{O-oJCq>2QnGW zW1T_>_@1^DHSy)XL>PPMNr z1CgQ^B}$O354$29q^*`x10s{^j52}_IoQRE`IjSlQo>L z)CrC>q)AHOQqX~?g0S55bpi02&bK$M1llx~;Nco)fuYje)W^i-yX}^EbK%(qdDOMW zusH-iPF1*_B}ask6K}Dm4$!Ia{tqDg+2U9c9o9GgKkn-Z;ASg$!m%GQ6hUp85}tTl z>Et=9h~%;v-^6V{Po>Mj(kggbT6x&}=k)2J&= zBIbhe*RUkkdKI#l0P)oO4iX=GOsfLLjlQZehiQ^3ssXXvtw{A*usao;8dU7Nk-Lge zSf`0R%*rsMi0Nt8V3296y)99*XZWA>r?J@9-5na=<(E`x85}ATbPmx zdkL=$bsvA)`CeFHE9$uv8pZ;uedQ1>kjPRW;j_^#v_zM!y z^bU_6Dk~VenS^I-Sw?`u31L26D48Z&qL;!>YdlZ&nAF7gsYsi8c9W;6%g)fEhD4si zO2&K7cgwtNE()7ZiGb%~WHbSQodoa-eN+HKXDQUz^xvFeL4e4%5*D8rT{#mKZPLA~ zF3us?O)1J`>nn#2JN#Dq!1u`d&#TPAaEKtt42Q(6q=LTd!$sektlIYHeLMr%-@K(I zGiQS4tl)CNd(;aKEA^kA#2ONx1~COe`UV&2L^;j*^V?lS5lkvJU-UJRS7>#AzW<3< zP-QOfj68FaRa0AOVhHeLC=VHi#fP;56aDHzUjo*b_1mD|cMZR|5FqbYrp0okwVw*C zeQ35$?YGb=8gKW1jApOC4;V~v4^(>&oKGGk5(W)lXXbxk^|tlSSA*d^MLM^9KY*Yp zYT(hzwK%_0`f~M!Afhh{*J%tcEXB@fWTM>*xMYbN`r9D7_v=UfWhEM-sT(zO8y=6D zT}C&UshW$`=EvvYJ^3Z+rzHm<+PZ1$jw8UE?h( z*p0Qw=W10OSo5ih1Qo){Vz|Xrm=iO*_{ve2+b$}vJ~2gDl!UNxMK8LYH*N@hniRnA zOs8_qCo}G|($kt)Ro3k0^9(GoWgf)SbY6PYbTtAm&Jbvz+R)Z10}yM3_Y6E-dv;ut z0&OV0ylRTS^Gb(-8UsHbgEkDil)ceUMBb zT<&XDx81d`?_l#cIQOUDx8rb3g)+ttng{=&W3}f{aPm6z#@hssN{{>28uYW`Y#o{< z%_6tw>=sCf8y`Dyh~Y5$&-XLu5XT=agK$@o?XS#w-C2LPJ{&3;iR=%bs%W6at#~2j zw+fppE$-1=cxq$n`3SO~a`oc}Z$O!DKhH2u*CcH|yo`}v(|%hTzLc4H(iG;>J}^p;jKEWJ!* z>)ScC#3i}K$zY8u0t@0}`&ViuYJ4C_Q7p@X{r96S{*3@UVQ0#>&f7Bkj7B;>e1oXwpF7;H zXVN2PzQ!$21E1OMbvB#IEzB-p#6SQH_t*@cUccwqj63h@)qU@M_vUhDUi4wJS4X^^cY z3nb}SB_kh+pw#Rc+GF6>+oChMT#CrAZ~@HU7s(b}^ z=Z5s>ve>xI*TZghD3oLy8giX!@JFTo3a91gq42nG2mJa%gLt`E3bm6FFA3Gd4AY7o z;z3Py+HlGuAE-gyTTL$*ridhn)V_b*XaA^6xVddF*7B=z4x8woxL@I4X~OqGunk%eh0#yELRPhdXCg&YkfyqUV{lE)y|%^~|Dcb1$>R1vauC+ic3` zLnYG!(`N76$(UUyR_p*wed6FY-k2n+8%AWGn(gJxAH!YowByfvBz#Qq_=VJWkA6{w zCMOI}9TE*kw>gOnqn7n5fVOVY8>`#0%&mmf;KCtElYHNcO2f7_eRU6szdx%=0Cu717&XUmq+>|N;gj(5I?&CW6! zr7e>SZ$tqd*zM+qnq|g$U1#1%P`1Vir*n767njq_AJS(f1uUpgIsMWZ(mupr`WM0_ zAuQe+SS0TBCsMGzbXHThM%oI;kL)OosgbVU?oQFT$lY&yalMj!L>?G~XAEERkTELh zq}{@#gA58(GJ=NMgjIpFrRO9OD={+?2K6x2zjxJnYOl!o7XQTg&lQ0uK7xKx5nj<7$3rxWU;`4Hk z7aO_SlTouQsC99_jJ;!J@h2=z={o*9kgCmd?Jz}%(H`mvC%<{O)_MZCMMr#g3jGJlCB<~ew^ zdfZ`23DKKXeUVPCbc=`vi)lt9!Cz-R#LvyfEh52T`*LMnB*LnaQRep>Sbl52rK{)Y^JS#v;Dkm{H}%^!bF(VXkWb=|^#cY-pR`t?VBpt3%r zN3Lq6N6*XO6&L}U2ATIEjkYYRx}5xt{$I=P24^7f+k3HiX%xYlE}?X-h`H#xq;g_M z3Eun}Emka!g$O$dgb-+6axqI%{y|F-ZyrtUSdZBcLq*;)EUBX1LKEMaRpZ7@&AB~KZ)tyN*kR^=15Ll)$Y3{C}sUH znAuf!BJ&D&`}5Xqiukk1udL;=$_i}C^6X)JwsxC54YFXY#_M2>MQVg~0#Zb%bFQ3t}YdwKt^&d>X6JEdvW;1XeT3djYh# z4{!nilD~-HYEk8EDf;B6)5*xnpYFloCoh7}FKalNfh%m<E*seJX+Yo3mXyPYo%61O|bxoT{}y5@L@jZC2dIkl!z(4IP*1pIZlU+ydS96X4pXX|1V7e?ET=eW^?t|2) zP_-e+QA`yNgz_o$_W6H~U{OcTG0uVSzG32P-CC`hQO$@t5no4k@EsHTBy~L*Yn`;X zO2$4jMfDgyZ>7EWqg;UF2>;-ZGjnH_>-S{A*>nuC$A(iE=Ug@!wKv%4R*49b&<8h; zHUC%!6P6)CjMiKRU6Rdo3`$S?>d?;6(_9$l{C+A?tZ`MM?U2%>us-(*8CdQO3iP@I zE9X}>ip^&GNNXJVe(86N(zQxjUl*o!3rL(<;ZTwq&`OK_T4{S%o7uH{*HAMS?AKtX z`#gG7dU|5+dGN`s;_rWG;OtvLR*nZ2hqHTt_D=7IQ@kxhAcYURj~UtN0AptT0{94v zr07Ljw0)s(N}Ymv>UaLMIH(yBViCvl?Ui|2pxi|nql+QCt63A8w?boZ?vD!D^M{%q zeUJq=}V~%@)@=uedZQzH(MD|Me^kKx_UlIV)G@*2+^N zU7-=A@eIBR0{@j51T);n{)xb01r$>Ji*{~$gTw^RWa@GsDr<9+nOl|}&HteOzLU$3 zs;IcvkD#S8%Z(v~axKYg<9UYfh7>_19E0h`qoc;v4^o(M_s1fhIpf5hE{E?bonvW|67bgj`;!N3cTP|zIM94;ztjjduNulzpfosUO}`cxM6^FWVJ zZBs6boEMPLPCVLHhf+^2r;2LE_@U6mk|uyN+rVwvE6grRemGh%@{AD2l|y5kDSMEF zKsdc=573-q0zkYFpIcTM^I9sD#qSG<6nMH!{f+pXhlvvR> z!6?8Q)WT_X&JwJVNCQoM9{&E8RwD{^l~)LP4=>>hjO*{PjvrmMtY>SNH-NnFJBPim zpf0Lv=5^bzofwf`Y())5<{2UbSEQi!rLiufKFE8~e4h}nQ~h-FgPk`4`itw`jcgqQ zH%@XFE*vEtO~-9qw17IA{#409Ou)uXP)}#_LZ4b)8KN379!w@AO3DoO9{Tqx#7< zV!6njHGQ>qDi(4%o=KBYOnk*n09(Ne@3c|+67tQzZ}9x)X0p4f7-H>Z9&Z%WHtP1j z%(2k#LDA82#v})^+o?nthDds;O$9-b$uRC-o`+q-Nb*EGbaOdJ{KnG51)B53IG=r% z5P;0e%9@jO9E*5HRh9P#=~qPS2t+S+>==$V69o~(@oP$QYA?U*5t>wp{~R%&c>&a` z1zMa_tPWM%zabc0b7|%NPH&1S$-3*?&XB# zxRC{oXJ^EaTL>>>`M-Xjx?IA?zZ?g-X+u}lqW&6CcL@V~=bA6d6}O5Ao;orHtk1Kv z6Admqe_#8X!{|vFF5jSuC&a`<^F>k*8NW8)3ByhvTAm6&us}Ta^z;(kfdMrEJTWh<_YhVHwvITZsOp88GgE2~Pu@XqDG0N*=9yD~ z4}rKgEe5Y|b|0ZX;%)TitMBHL!#m2?`pzq#^pYT)7-@N(cHZ3mpA0N!Z~L!ksYHbR z$h^1Vl)cr}jYMX>)d~gS$<5^7tWKe`!;&53>$APMiJfeLkFt-HmfZcYA8x>Qjr&Sj zs;=+ri20sD%lW~|3!Lg~e><3-A$lJ6`1bhwn8suiIzJ$iyS7*%vNOzJGA8&T?|YHE&g%^! z*S8l!1cY>U=9pO;i$ZRmHN~&4ER~T}j0Fu=bnDJ?bRa9doOl3g$puP#g3)Z!fmXBV zy4pW*wJCE+s87@I7g`tljI!4%UnV**YU1V;+!D?@!|l}o=Qkf$_3iByYik{M6p>ze zdfq_m5BwXlPZAwF7etWq{Kq`uvPewZ-VgwLelV8>ayAV;Zs?yhqB?+sp>ca7 zU}z>{5Cf1GrA=J0w-*dBx(T!&Ko#srggw*K&t1E{n2p<_&=27LH6b4P4_X}0-2!Kx z#p>VEB0~T)6r5K`JwOQLGBT4mAyRPk$vPIO*1Mhz%k5uAOf{S(Cfr2xZDL&|hJA`uZmBF3v3X`HgBu#c4_sq1gIn$h**brPakDm0?N3 zgdiS#?Pm(5I`E}1yOI23uh)q!XYOlvI%4rr1=sfTDvT@-|M$b|jcx!0(!Y4tc_z18 z{My-6uTS4L1IEuD_&d72f3h+Y`{54kylFU9;W#{G7qYwDd?)1 zAei<|ttN2^jULbP_=l>_Q?^3(dn#@V@$QVUI>S~q;S4P`@C)nn zD8c}dnUTrW0;_tI_tBC>+HacnXwiW7>{6XKLr(mu%R`U7)I^O&Eq?;uoDmNAdLEpF z+<>xxsHmr21@nV{3R!C|{D%kk%Pma*YU8ivillM3FRx~6-oH(Ucvt~?_>pr9MA@|8 z>UY3g0l5`(&$GtyUKkR=@k;&5$SuZn`E^4_W+>0wix?=2K0VI6AvL8L#x^~2so6{w zEnsrv_Q5&!~nyjv82b3h8e`&T+5kK>f|89MC!pDU<#~u?*Ul^D2i~$ z4pNVEY6QFC#2Ch;*mpHA7wy&LHQ`p(uO~QFi??{>&(6U!#nKm_GlsPQSjI|U*S#sO zb>*YkztO+5(%ALknVa*j+5KX-QNrE!e#hW0Am36XE zqcfV}3vs9l>F9iHsou=(e{nN||7yL7nPZH^kFWjm@|hnt_X_?U&L7d^gSYlIZ6JC0 z8^FmGLxPIn%(Q*^qjn9HD24vm=Klf4KsmnzR+ObFrC)`5UgTtI3;qyrCa*2f0d%N; zP74}}d^AH~46I0L!%!bK68F~VY70;sm$vIJg#_W~Jx}F9GLq$mo!h@bpp!!lf*w%~ zfpkxX!!3y4$I)=!Ky5)2Y}eJQMKf$vC#hSVmqB>1hU2uUu5scVzK!f^oIA)azmKEI z8V1tc5;>*u;r9akK90l7T9sNo-($1o!_PhaZSEH5RPrkCa`|cU>KT+@7#AQ8opVaD z)@tNR^78{k^&VwV#&aX@%{YLXe|+TPkoF0kLqy_OrfUvX8TRybD&G6izGelRS{z&< zImAk(!7K;c7zEUGj&lWF`=ZCP)9T~08p+jIPaHVgSf-TD`k1Ua;b8pbsf|NOZl%YX zO}{y%aaiIg2MvXq&(>N^)HsL@K!9gfyio^WC61EJM?Rm-Rzd^QXPE%e=W$tQ$7$rb z0Y}@NfYY@%X5=%KIA6$~pI1LmmVe$$kpRuge4prUnapQmkl7(mb&o{SkU4*ws2GPK zD-h>4SF)jO@66IgS@(^SPgO|;ar#@ZQXKBz|ps- zb(+S3#;04KI^SPF{@H}|;Wy^iByY?nZ+zR8(WB|Z-k41|A1$V_jOs?ksdavOmfm_J zzU!fLak`|VrouZ%HRSzd#qIPK2}iY z1w}%)KD9UE`<@~knH&jgkT-6bBySu~k#K`rTI;-6mfm^;k>CmOlhQ%DP^N^4Z0(nN zv>4+t{%Z+`cMamvF|PpR(fVb*I>*?X^gT%ykNS5Zob?I9R4hIAxv>8Vg_b7{~BDJ&B&x zUfZJNe7{S1Ax?3_IODlYSs&^%`b^cv^U;B7EUgoyH*$Qy(Gf?eMH~4$S)p49RMJI8 zm2;_&$n`XP@OqsqsBz+VLUmOY%_rbZWKUKK-u76(b|1c#TD{w74#dBa0b29ni}bA2 zr;#71UAW)+@cQ7Nv9ufc5OZjt0Htx>52rZ+(#SjWeE`i0ljo)OP zHkX$U9!u#b#d3M0+Ux#0PeqUyHRs2WH@=h0dn@Z7xfFmBoX65jbm9+Jjx!<;gnCTh zHVqKq*ZvW?TX9N{iJU^EVE-UJrJM46R4u*aqtEE67Ck4-Yw3G-Y6YmE7wk&ukNUj3 z=KvQm0+u&e3q{pdi;LA2(z6;D!x5+ZVN#%Zm1tkyQA6^-1LHFO-23ze#r zrMpE@z46!V!fM@aZmW<;$*vJ{7uhq!jnZj{I?#dA7qdl3-xy8Xg|F~))w@~gp zC*N=R96__h#-O||{<)7-v*si;`d5!r`T9c znLu(Y{R+y9JqTws^!-F;x-xn+ulkO)xM7fqJzwUh+Ze}rGR}KxOr}eyODL5habF0L)yO}yi?GW&57{@ab&g*~80qbhUs|Cn;j@N-nk;Bv z@)=7TWdAshexoPYmC`eEBsh+aYQy*t*0_t&)p^Y=m|OeN05 zR-v$;C(A$6t5C{zd4&)OT`O?#OsXt{*7vI+7ZT1RXB7%+2qf!6-cP;dhxI}@G?GgwyVoO z)AjRo{cQCiBC@PWr1w4X5@OY33F%rT$h&I$&bJ=(deqffB_H0ywriE(4S$Yx_$6SO zlUd=b`v5cuuK?Va)`l^T^MRJ&)5tYhj&n{4JBmBdWL@f)dNc^unAMgpm1_X!tnVh1 zK2IW?=bH0-{EhQjLwMtBqz_yALs}cg^Q&07hvYizjC9a2rJ1x!kiVtQ>qRe9>9v2J z9yp7fK(_yuY`@+V?ERa6&LhZ81X+zd|6Rg4H$z(6zaMZ?!rti)H0j;?)RsPa){A2I z*V^{CQJy|Xkuc19QC=Z=v1f!H+cM}tgYa6=-6;|nXPEV(&!I@*I*RLrCCEQVlRjK0 zJcoSvB`Oyex#4|p3{xk}raA%fT<0Ly2`dCEQaY0Agd+lu2(KJIpCWEvlCOXL~!oG9*ICGrV+`u4q`v&cT=!{3q*uXWMcE_U?_Q zv)mJ1wpk%Ey@IqHMNY3EExj>yD@d=RQn^$pO}ZODJt_ViJ-L3KhBL|yqT0&x-Jvwu zdRpA~^3^^WajLO|SsG7PPMI@yNv(07<(GN{mB`!m402qS$hWE2G@HuuDO#`ARQnLs zpR_@BaVE>JaGW~`WI0vK)ggr|CV_KlSIgP`V~|oG+j$$hI8G55Ss&I}rzKkE*&FME zNlxaU149lH1ABJ%cQ_tC4N>3in$G8Z=x1 z3aYW>iz6IIzu%qjJ5K8Du!k!ovlP1-+qFads>SJsl+s%sPE|NrK_;u4k3(A=<_w72 zHHb4)m7E7rl{gRY*nlPG!x$T>9~+Kid!f{}<5{*Raj(cN7V(z5$Hlo72 zC!QeP_Ui@@=Oa|Nh+M4qODYkLsACAnDR7(@Ki`wJYc-r$tX@cb^mFUMt2+FeW2~M| zR4W&znpe?Y)n1M3VD-6hmNlM#ZXN=s5I&cFt~H!ktiCaOqs<-PnZfPS@5pBr9j7Z+ zUqN1dZef^tRR`jse3fx!=eLl@iUDHw&n&_zM9rlSozkS=UNlAqIouKSI0&*h=@TQ$ zzKZ3njL)B_C;Xe-hXfvQQra}&H1gFjW^Cj{#Y4_`?&#|MqPu0XhIvonN}9X4B6Dr^ zd1mlWBY5sv+lBp3p-W5k9z87~(tEq1)LFm%q1jwRUYU6SYF7L_Lt4(4t3D-__7fDR zhTNwsraT!Reox`%(4TMQ4U`wl2hNS`8}dTrjDVxxIikF{m2mL2%38xQztp4YJX!Cs zTo!Urzt9>yuRHNlo_DsIOOCfr7td}(erD^z`3~}ixosc=VICwu7S1shsqTw+< zN9Fr1pYub>ZDec0xjb;zm5o$y@EbIFD{_d+envmM)^Ht~8$6xj8QF_r+2c8s9l=L#L#!4-*Q1(#zp1VPChPsC|Ac;~bbJYJ z@6dB-`f~+dXEw6BW^k#YW@w<&_cTLGB=Q^!i{8K0w9AIF)4rhwB;ceJM`VrQJu*+m z$KS;R)ToYA%CbHb@mb>53VdC?w4&+1o#!~Lb4vSX&MnTNDz)UJYiSgx*6>{!2T;@S z?10mVR`Zq4x|2_)a#@W$oxF;jVQT68tp90*a~sXrD@s3^S8)l>IGWBl^8`qd=?Wf? zs?^Y^N{I6`c`awgDIum=32FJ@tkuWiH3XEcEZ{iA@$CqlHa`Jt`LJ|A9EYh|kGZTC zGMEZ?+SE#S*zk~FIf)*P3eQh#o%spNvW5LdwFyzhU2*h14(k6Xpy!*`_GQ(4TscJd zHVhG-(#EQ5jf1^#-4N49H#rCp$8pZBpB*AnJYwy6LsuI*+rEDmBk7O`9zex;O8O|f zlHSPkaZ{7aveIoZr^0*vp3Dl28EZ)K;fS1H_Y+Pr2*^0Q6b-6zE*|1#9G8Qm;b7jz zp$5^c2oSfsrFvfL*v#j0s?~K^8Tb?mW-7)(V+DEcLy@b9Gdk}z&S@lzb$&)6-Yi|t z4cDVV3P6T1ANJ2rN%}(tBjfyd!eQIz=lc$r4|gR^!@I~o`l@TdIfMEV&I3o|gB|G| zYLJ2`0Fxd9HhqaD;$lga7KpgTOb*Z*K94- zw}`XPTDLe)qOs@v^z+eV1^b!Tc|IKd{Kg{VEx*pA$VBhU9b!1A7r|-}zu)HIpNS9G z#vI|FDi|4O_WW^#gLO@wjA|*W4+}$ctS;CH{{?xqaB9|&Yf;QC*OoF4`m~c&FHtQLW;adNjz7$%=0mN*m7n=3M9CUaD*DpNYK@ z2Cp(7cL*$P1eVs)*Hw58nb;e|=$Y4iy7eh>l!WqhVdPW#P$WJ97nyjBmEO?jAe}i_ z;>?T3N-Hc900DQ4jaZuWtrjQIqm`5bFc5;Lw~jYFl~dsONTQlOgg3S=j33^1JTGv< z;i;Sg$Kk0~D9Fa6Dr2?l-FC34aeQXU9M5H~*@^`Jr1WO;&$R(ZcSR=1c?9A2 z{@%4{i)4B6?m_{BT-c&yk6lBML+G8O8;WTfe#wKOsKY@4|tm&z%JMK0$aidNJh% zzp=6xY4}d!ypb!@x3mcG?0NnI;6ds#Cp0Vk3dangQ3>8z^JF+n`=uTYavEufKBOnZ zIe={E6+x$v?JGMIJsKY#tz-V#li{rBmwGhFO*9Kppky504I6uCdon(o7Qzg&4wcG{ zsO;eRmnWmzQir}ZWJ&T5+HOxqwM;QJU=aWEHSi3wCi(Dm(#N^BRC`hx{21}!eYSbu z=BspY?%YkEWBgK&2HBg+^3?fxIr13q*~p$>oxCwIudYk+!+fUB&&N;>9;|gQdODu( zDJ>bwyS}wbk@+C`@G*q*SXwjr8_M?rkRt*8UQZSqw^D4JTud7o&Jk)sZAF~v(zj89 zUAk8%MdpjB*5Vn?Es4(-Hm=Q#VP7GCY( zAD*MNP3^<8LoPS+G~&D}wcU%6KD+!ST6*XcTJa{my>@-L1>yIb&ZkH?Ri)Qf%qmzz zRwE}+89bC`dpQd z7;RyuGxuaJrJlnn)c^S-mG>`DYP5|z(E4k{2cpZ`n8mYqU}XsW*@2xLqttKZ!*tX{1nw#_832J;#DZqelC4DS(vE$6yU_=5TY5V*tqGt6^6 z-ia4pWj^4FWPYBy=X%5HQ`)XoF}1J@BOmjiw_h9^6OXad8zS>N5?~iJu-J%prSu** zT#vvhF2{jPKi4xqna5@qejDUNo<}M@J&0=hxn9|eyHNdHmnQgdPLS#6dS$E*6LpMp z>ktvnR1RtDABW(GnAh*w5$9(XNLDVm&&DIf4V4OVTF%oei^{TtUQ_L#VR|6LuE6mu zLwpa~9 zDeJ?#)MIsxG*ewxL*T<2av@PM4nwAUAn?iDj4uq|{;dp7T@U2VRCcZ{#GCYs3Gy|9 ze2XBb6bk~3&%{<1y@j4`|A73;K@QRZyC>86GqDP~8Ea9xA&wxA`4zGfH2R%(*g7_Rl5PlMY z{cUPlUnb7{7SeB=M31H+&qGdZ1>k1k`Le#_L*Dp3Y1qAAw<~EHPSy&*TgYSlwBicF zd5PuDW}P=Jlr}3ap}cqv;c)xK>(C0KdGgO>*;RDsvp&ZZ zDw^&45oC9Qa2r=F@yMLnW8WmN{)!+)(aC&vB%I4hA6+;TV*b|zVcXXyKGzVH@9Y6o z^N*qgSsx+@`*0d8Qd%fHblkweQ2Mu>CXDW4ywoFe~T#$py$F0sGQ8&>$m)>kWb@ zBefN=575Z{#UdD^x|h}fA5OBg0}`aO4=1+5_I=d1xtnCYoh;pra4vctSWBJAX`Fpepsq^$TMMuG? z{63C@V)bm&c_}I>psnkKl0VKHxx9EdYIomAD^a=i{9lrOG)X^;aGpXQyN;w^O7G(F zsO@5swGPSRHsvu?+pwRXLi#*fU15 zN-mz-dosxMs(bT?d1GR$?w>%tCd?Ao_3AyL=5OQ&6szy0@$xaAS$Z@Le@u1nUL?IB z%2b~tSsyEqj5EFt>B%7YW=CzswSi9~8&gYtHm!}{)!FLNI6svjCk4(ThZD|yg!AuW zuxFeXr+(Bf#OEbssdmRgn;XAZBJy+ce8D)|wcsIjenou>!}XSV3^+_xLP{=1HHeR6 zwwxyevQr_>IP)C!t6Y#C4QB<))1p(5ad`INXyS8;@+rNM(tQC()gxJ`NouMmDOCv( z(GGPLb&Y6)RzXDe2(m<=j|0t8eJm3orT^{xAScnIL3p&j7~vGfD^oGfoh1F)#HXkv zGM}Owl_4J^J_VbM!{xLHjf`_kL00;~zy{%`9>c8gkMAIKefMM-&g)Yh#q}Zka2U?r z>$Th=W;>5+r#UY?vC&YE8fzv!4T@*KkE7w}P$tv~jKdI~Ct;kK1!#DEE}W1)g{W-T zFc6*<8HQ?NIJ2kBu5Am2hWGOsL+JC3VgqR7%yszZhv2g+^;Zj(bAH`T&qntz8UvF) z%zhX97LB)2t+QM!%^-ieBgQ=MZgD#49OrFB4kp`oq8j@g>UG~j^JBL?81-p2_HsH* zi&mIEuoMi#XX;wU_#Tk03U+HPcZO+Y8uIg6&P0#Khh+`JnOjEY!=vMA&gTB%b8zbX zJl!&uc~KOLfpr4oOt*~V`*733Wft=VAp3b@b;9)6q4fM@d4p@4M>FRuX@=_L>9IpE z>;{!(F5S~LWLZwxKj+fef!DXJT#%XPd98@ggaLI>?(nXF1>;P$8TIEG=S|d~XPk3s z+|4))DHc`_v;zH141zH1`9J-kH~&V}Xhcvr@jF|8c+zS(7J;Au76;!bGMpZtjAMKN!B3svqqKQ2*Y3M|r=@&V#(A)J1Qk5+GyS3=Ko@dRn)|Th%Ej)1iL+^>SYxD_)8V>laqm(Y&>>XCN^LxG8 zGS>Isx(fC?t^eMylFU1}XHPgCO97hv>LS(0{0YcK`UeYk?RngBey@<$%0FhDm3lbv z2JCr;{%~$Z-JzIe#(c1iG#!c@1Ju^Nl~A193^&g310H@hU+qxrYzOW11r62S_1sk< zt)5@WI7jx5j&rx#+j>TCX#!W+aDLVndNqA0v;{`37rX?${-C(62EZM}-L0ACu1@MD z_xs#lSr2@>!m#vp)V+6IzP|FlpDq8AmwHi1Dnt~D z%TVa^JjH=NbqnC%mEaEQ=QehR?QcK_;7*JKViv#G zYGG3OdXi2UzNT*tu^vKG@lAOhpTc_}D~$INsJTQ@C1nNGL3Qx>AB>?kokx zXEP=GI&Dk-+AO zBHnHFN4ddW^vo?UL5Fvr9opi4O*0C4W_@#<0T=l`Z&262t(Ul|48LiIWB4~tiZhO zFt!0_{fyi8&H>%76N)Z7 zAt49ru?<>m$F;NhkNf1T*<{8R{LQ0dKsmlk=)`&i;4p0Op&J)@zL@6LWS22W8@4P! zhgEaLOsp>e4%i6*F*I=w=Vd=w>knSwi#LVgofe9^`Nn*AZ@p{r3+U%F^vyjee1P8> z`m>UVtK;$4gM;FHZEM=A$KzH{D(-zlx!`!4{y+pxM*4SAf^gTLgI%ZRh6mhtDc|-v zm_5$|9KX7^R6pzby2=0!-nh5G#flkVic0^`I`(MrnT4 zyVaw>+1E2gx6HP50vA`{f<8c7Z=g2}=u>)7m-21BGB_Z%>K;7sTQ5@>KZjzz2)O_3 z+X)ZG#O5IKZ z3TbY!aR3xOQ$Vdv#TkBx-Zn2^r&n$6e@V}Q^N!vTJaBcKYJ%fY`ZGum3itNlxEikR zfL&iwDp&v?n8yKiA8Zb0y|M@BbMpD8R90&jsPSv-8EA@{4$%9RZ_5GCSzKBRot9?4 zm^@F;ee5Em@k1RwkvRHnjw7p$5dnypf9elbJ-&(p)Zf6slgT!Ya1ISnTeeWHEkWs{ z$vNRd2W8if@HXbq>Oix$JOdYn2>cS6d)+-OOiBEi`oUv3c7o zD532*9(<9YANaOfbIT80Z~-pzvc;X6+86R5>-21QJwitmFiJK%t;H|QVQT-y=I zp?}g7Ko{$^qrk%t>u*}!->s0A`@2;GP}m%s^Ep5Bd2Nr6Llw1$`+|zY?HRgT59%^M ztXFLh0qroPfU@l&%!v{)@m!@ud06;rDD+&RSzQwFE)byh29SGh>Fm$(`iYJ^G9)g% zvsIRcxi-sFK(UV}bg+GLJV#JBwNYUGWxr>=aKEy9t`Fu(ap%t7(V?$a2%y*ZCF5$v z3IR8fS%ol!ZwV@2irFHeFEr+-~8;)LLO{+zxw`>U<-1| zTZbF_xdo^{#X)^;eumvEjGKpbd_J7|b&TMAWzF9ksR5u;Vvq_WN9OO^gHNuc1F2$D5l(`v9C9wLiI_a3L4Jd7U41 zK5tZ`+Wr(Qv>d?MF!QVn8z1P6h|ngy*M?)H*T&TR8`(k!jQbGR3sMK@0iV?UduRkG zG;FW3@IypQ?mQ#p!H)6!Wp%r}@b;g(N6j;`=ju`X)){(H-^=js z+EaiFA3s~AfdBl;Q^-0s%WOw9fO7v7(Dn3(W9_4QrUP~WXO$swf&aR!L)#k$w9Y5+ z#P5lEtF13Fi*M17d(YP&j+psq=LaS}^8qao_si-J=Ns#p9@Au0I?hqs8?a19w`eZZ^@2jfK@mn1_Ugn9pym!kd$T;)#Ie4f5x9 z{cH2Eo=JL_Qeci}$tn;3pcH^EuF#gxpXQMdJPdtM-h5ukbO-eI4Bbu7TbYwFBL(gg zo6DLv%Bz(ecJA2gKe_c^)e}H>P-tuYxfu%F7RtDYR?qv1_5Q$N-ujQ=fTsb7w7Q+; zc{JdnogEf;FZCI4$D132C&9OSby_`-=D1(cpaWlZZ}u-Z;Kxw+Z#&077`K}PLlH?n zzciWiY~Z`1{&0M_@I^fgeXs841+I8*0?-*l;=<$O&&e%Z{UzuN`w)E(xB1bwRfPrH zXG<=wa&xr|og3WAjE6FI=0=C{aFJ*DJw+|`S_5*9)jxax9(RwpDxwRYy70JN@A(JnY{+b;qB{KLg) z@8MXku2#L4|TrH+qe&Yc%J$J zakQYuRnXzOg+K>9zeoKr-q;C#g1+|;iHkntw=>NiN@JxJ&^z>JEdj2;x4j1m@d_|t zeqFiX=&M`r)$4Y3>kj$uotYk^C({@-OX&~C)f9@-a)tidyUXc0?rxp$o`9P@&7j@K zw6+J$eR|j81yFDV6eEWgcZm$GpSk*b|5%^X;yxwgf^Q2QpKra+4Py=HQ1b;2pzYiQ z^hFy0XuYb{NA(zgwL1JWbkdmJ>2oV5b7t{ z&>2#cQT7ya>n8mnHm0L1Mge@~t9Ng!#?Py&labfIsi4ov(1$80-qQo_<2C**C*k#T z^!M=gI=u6rT&A%%l$B{fKd3((Ew&MlJ=`3>RyR2mtq;6&a^hw@eLO~zz-bS@{fJ1i~{$* zo&9-)p0_if$7jzS4%A*<0Nf8{=sPOtu^IZ#J{11`fbvCdv=##Ta{U3?zL^STd?l~# zmgYXfoX{hY1MKtlsdLkUOjXM?9$(}cerTtV7n-TPw&X>~q%Y{@=Mg?9|f>8p2Nea9+>Pq4fr|E!)6Dodqab{+2JwHlTHD360Y!r zR~Hta^fXkTK%dF%U%*rF1^A<%W|rd$$)&Z>dF#!9ua}9bskI>iTK^{>C$pXg-;d{* zxU(Nsfa0kWj`h2W>u2a?749|}`i(vmI`5eIURXi-jaT5lK6ChTg}Y0Jp4Ep!BfM*d z<7+DDB^h^%3VP|d(9J5`?UX4>*lJoxEIJ_NE|mu8^D5|l8M;gb{auEx)q{c>znk>W zq8wLs4Kb<^!@|82dsoV&N4L3Z2g&0-&2rqYWXbh&m3{*uXQ*@> zf7ClVboG4q$35K~>h;+z6?BV?dr<}TwE*DTmW73rFZ}vE)f2f<_p}dI*8qw+5VHcf z=ncUqmLGoh!VGOK#{IQ2^m~0j0J?65-r9$P%dYx^v=jSK~lbDtVFQ^==>XZLbGM{563$0H&zIs-|Xv)tDQ3RoC-QKL(k|#yW@WT+qSeS4ZVlS zw+*rtSM{v`XRm%}t-{k;WJC zaJv4`&S1>mwwt&EFHQZJ9g@z`--6ZwqZW^g)(?o88j33&Z1n1N>(v2*-(<~Uf)^rl zDn`Tb_Ho)i zbmn6c{?UmO<1&eY%4T$CoWy7nR9r|5CPo%nnkEwy%m}i|4vjP_2#CnOX6pOa`Q3AW z_ZHXtcHi#4m#+SNo__E1t9njVojP^u)TxbJ%ZqcR)xo||2mfZMtf})1Ju2dU(@?E> z@LJSpYT3L)?E!Q$UMFbrtXDqB@%qaH#RrvhCezOc zMJdr==w$wWb$L>Mf4_+`+9U4X78g$tXx`ew8~%8-W!i$E=nl}-9iVuYKvP0NUlr&N z3Z<+sjYo-}Xi?3BJn&tj)D8$eOrn}8AT&|hHb|EaaU1Da8nyVFuxh|d(03L_!T-Al zH3Qdp{#SV_4|yBjo4G})#B4G579w~O@p0Cap?ZIyn+{MAp8LvwD>r4Nij-+Ro> zOv&&6o?-c&UlaiPy|dhmFgo<2)*Hj{j;GcmuadG|maSU68<78Pm9mNr?> zD_`yx6sD3cvc5;OkLl&ZCTHV4FQC(5MA+Vg(;m6W=Jzq0JgDC`dDtQ9*lqLWr#mm` zy6h~USM<4Ma{1{FGcsP){6!`i!P3WIuhbp{6oiKp>)_VX^5n{$+3^j&EJ9D_zd`TA zxBsJ9V0`;zgq}7CRZsN62qnFGdg$~|?<6bV$H$cKL@S?mp)61NhDq;3B{%SPi}KXJ z;HO41zi!PFaq-uRLe=jxkpi-a)J?Cx0MQs`K6AX!0Sd>Lhu4-L_RdxP1?UdQCzeD-Zl7JEi>MrQ2P(w;D7y}H*9!*uP+Z@-%;Lkj}_}8M~B{9 z-YY%O10(dN@^{`R{mMY^3W}`0$h)~`7nE;z9ia6ljLgk;V?r+}?-{yngdP#!o;C=j zbWq$Ye%Y^9TNxqmFV+#|N~-{r(HZD3O3YdwP&flgSQRF)F@NCHlIa_ytk}K+LX?c2m!i_sZ3%rn*fn@W?spa9fur&VXucr#X zL1~{g3{zSH$^5#Qh_qpuJol9YHDAX~D^M*%C!xpbgjd% z)U5->m9Fc0v1*spi*WEWg@gPq9pNNz+m(mk$?PhaA934$ZY=s*L(n@Q;$C9tA%Xf> z_RWEwKN6Q3eRFw(Qc?gtFybzlTTtNspOiDrAf0QdPE-2Q z2ueP+e~bN1$p`J!I^586BDF&d{cfO#8G7zA#9e3NEXx#w@zbNswf$m^&?+A3Bp`yE~p$|sfEeyRs(Der>De?Hph9yeigrR9`EZ(Y> zpzmsbL41%870yt;;n!=3!uY#oq5F4{XP>D*JJ7dS`Gj(3ZE~KThn(fO3cBbr(Ank5 zxVHzoyP?+xnm!9CTox#8Bq`8Rp!;@1S*s8Fdxri+pnquS>4DCK{8D$cZ1|BDAgIRK zi4td~jH4(4`F*tfN4?F2aUc1;viw(TgEvZ%t=HRfwY-S+TrtIiO`?8p8T<;BpVU`$ z?h^E9N7*Lk270xjtQREhn+#9uZU3cn|bK@tz20?{vtyg z7rr6||Ft}ozo35==z9$P_dstLsSDyFB~VD&0o^0eD=jX*J>s&SG*f#|sbJ8&A&?s1 zsQkz8_ebLLCfS*c9d&St&o>tth4u;6<98+T<*`BGOr!AbK>vCKCHM2n8{c%hy7D3I zYPj>UXFGS0j#ENPk~^TE4K&*jsMeYPzY#eD)vA8iwDU>`q2IH(ZwU0fCi*}Ltu2o) zw~0J_)8gtZTOW%^|9ab;U#LLI_h}vwXpT^b^ZGz@Gy|%U#95Yy{PnS3 zThpqByf0+8>3BYd%xxc%E&qU z3+)Z_en>03-Ck#(4|Ki1SP-aN{L+&bT51{{e9O=sgF?^m)bp1X^^(GE>aFXYv3dut z$XD#LF5AdQ&1x5np|TCNZMj`Ts(p-eXrSjBg?5{NXT<%Q#nl>aZ!OzJT(@_$M(Qe~ zFhAn{i=i54d43OwxNi4N*0&9Rw+RX}A-}Yi?u)NlXm$6+BMaCiv@HJKr#$s9P)2j0 z`vDHZL1|H-uLB%G@m!3LOD_sad(ODDR8UXt2Z7Q9XI#b%#C^1c*1vcwj`qQveU4^8 z@$6wQr_Tly{Q$~-;iT}IKywsIT*glPV#Q|M2P0=28LE*49_Nfp{{sb5OqBeB!oNg| zF*rciHMD>Ol=*{<`&fBH)1;1q-ht%-U1V_&3N*cz#HGH7n>H8dQGw=I546voRbFY9 z>-jc&h8*zx=|FDuM$K0oq{^zoCYpo$bP;c6E0{UTJ=3%V(AnjwattkO4bVQ*_57Tf zqmF0NOd|RH?IQTdr0?L?zHXn@)x5BuV5&Rg+Ox%PD(xd%fKEGY&mm7H4_}*M`Q5rO z89uj1AMWYgrNkpHdLnr?H_+_wiPLBDDzCN;?sWcEez>2f?GM-NT-r!Tlzcbt=jr^d zd|grP?PVdq?sHQOO=hgFaXq7u)EX;d{uC+E9L-F~FILvUUsJCcc#h1 zOvo>q>!qco;^TRE&|*P&)-Mkz{vO)u((>d^ld=0je5c9(EFbYLbI^QS&#(|>&Rih$ zsR-r%)0!qR#@=E2X$#doq;=oK3tn(1pAPcNw>uPyP*!OD7OhO^e~)x2XP%wW%L#9W ztIt!cPChcGK0j`uCyojAZ$BELsQcvl7y|2hH zoB*ws2lY4%iXRpV)614Zcx17754EDK=(m&V$>SU$}=xsIRS^4y!4YvtO5AYJS_Od7sbjv**>q zTGg}X)DS*IthQc5wN9qb`UYy}Mdjh-e|{OQNLS?ADIiReg<@ez7ZEa74 zJX=Pilwhs$ROtfM*mNC389fo#*UYez1~f-yP@tZMrOP=2nTL8FLAig=&|d^yN|JHq zS7E(TqK`*hYAaF3&g6{Kn-YB>P<$zg%A2#cp{(tJLZvHt+M*!js<#2SFe|vB7BXGi z0QLCt@*g#PmCf|;S;QFhIzx90^hQH>4)j(-cL?+jL$?p~E!jHw*Na zhHe}v=d4r-9>{2*j~n{pK%X{r%|JQ&Bq=b(10U8j^y%Q)iw%7|(DetXTE^X_z4VqL zZpkEHg5Due|*X5VElftJT)$F+Id;m z+>zR7^ut6Qa1m4|X?@htlSAT=Sdin_gh5Jyv zvpo6D?1BnJWXKF#c=+Q03Q4p`3lo z=<2MrT`a%1MSeYQdk$;&k|ZB(C6=;8@Uy6w};+H{rQv#e~#l%z<_%XUP8=-YNL-BhM_UEvPsLPIYK zbdI672kIxC-4y6{7Wd9TcQW*rKo=PLe*@jd(4PjnwW0R}`VvF$4fMARy*$v_hTakA zUWQ&3=!S+q6zFD#{vyzI4b{qbU*j(H7>lbj)h4r=U2EsxY!q};+GN(*xATDShMLb_ z8kwP&22I}$C_W)jykjXlKM8aPLvIaqS3_A52nBp(NdbQ$DEd9o%LDx#L;p3<0}Q<+ zP&7?aI6qLdN1|v8QhTSNUkmg-hW=Hce_-hU3H1Gj{#l?OHk6SEsdfHVK1?-#&kudp zeM(h>PnA!pD7QiH@o?wwfDcpcvlD+A*P~nADxMeUIs^^uIR1D%?428nPDxa@G8#A0 z9fN|O@gZy5Qxl4IP73X_kc4__%EJ{#VSeOcv7zH_M9L;>FzsJZ*>Y}IYsZ44b0Re_ zTjykzug=NJhm|Ljc!;(xl21#2YNQ2#?p+?xY%QRy7z6!*#T9zZ2uizHyZpzmpFY9~ zxS*F=+y#MNYUube8DDCgg^iS&fYWjb{f42~PoP*%8TWSs{ToB+^@)o;RpV09dF2hX z`*$jLu*H*;E63|iJ@9-o`j%c7tF|s^51)&6;odL#+HN-~QLpEHxAr-?e$eSeR~v