You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 

95 lines
4.7 KiB

# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2013 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 64-Bit
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
# Date created = 12:07:53 May 04, 2020
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# YL_adder_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C20F484C7
set_global_assignment -name TOP_LEVEL_ENTITY YL_adder
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:07:53 MAY 04, 2020"
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name BDF_FILE YL_adder.bdf
set_global_assignment -name AHDL_FILE YL_7segment.tdf
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name AHDL_FILE ../Exp28_Decoder/YL_7segment_sign.tdf
set_global_assignment -name AHDL_FILE YL_7segment_sign.tdf
set_global_assignment -name AHDL_FILE YL_sign_to_unsign.tdf
set_global_assignment -name AHDL_FILE operator.tdf
set_global_assignment -name AHDL_FILE overflow.tdf
set_global_assignment -name VECTOR_WAVEFORM_FILE YL_adde.vwf
set_global_assignment -name SIMULATION_MODE FUNCTIONAL
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name VECTOR_OUTPUT_FORMAT VWF
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_location_assignment PIN_L1 -to clk
set_location_assignment PIN_L2 -to reset
set_location_assignment PIN_M1 -to isAdd
set_location_assignment PIN_L22 -to INPUT_A4
set_location_assignment PIN_L21 -to INPUT_A3
set_location_assignment PIN_M22 -to INPUT_A2
set_location_assignment PIN_V12 -to INPUT_A1
set_location_assignment PIN_W12 -to INPUT_B4
set_location_assignment PIN_U12 -to INPUT_B3
set_location_assignment PIN_U11 -to INPUT_B2
set_location_assignment PIN_M2 -to INPUT_B1
set_location_assignment PIN_D1 -to OUTPUT_G2
set_location_assignment PIN_E2 -to OUTPUT_G
set_location_assignment PIN_D2 -to OUTPUT_F2
set_location_assignment PIN_F1 -to OUTPUT_F
set_location_assignment PIN_G3 -to OUTPUT_E2
set_location_assignment PIN_F2 -to OUTPUT_E
set_location_assignment PIN_H4 -to OUTPUT_D2
set_location_assignment PIN_H1 -to OUTPUT_D
set_location_assignment PIN_H5 -to OUTPUT_C2
set_location_assignment PIN_H2 -to OUTPUT_C
set_location_assignment PIN_H6 -to OUTPUT_B2
set_location_assignment PIN_J1 -to OUTPUT_B
set_location_assignment PIN_E1 -to OUTPUT_A2
set_location_assignment PIN_J2 -to OUTPUT_A
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "C:/Users/ushio/OneDrive/study/uol/ELEC211/YL_adder/YL_adde.vwf"