You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 

828 lines
18 KiB

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
(input)
(rect 72 104 240 120)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "clk" (rect 5 0 19 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
(annotation_block (location)(rect 16 120 72 136))
)
(pin
(input)
(rect 72 120 240 136)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "reset" (rect 5 0 29 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
(annotation_block (location)(rect 16 136 72 152))
)
(pin
(input)
(rect 16 168 184 184)
(text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
(text "key" (rect 5 0 23 12)(font "Arial" ))
(pt 168 8)
(drawing
(line (pt 84 12)(pt 109 12))
(line (pt 84 4)(pt 109 4))
(line (pt 113 8)(pt 168 8))
(line (pt 84 12)(pt 84 4))
(line (pt 109 4)(pt 113 8))
(line (pt 109 12)(pt 113 8))
)
(text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
(annotation_block (location)(rect -40 184 16 200))
)
(pin
(output)
(rect 704 288 880 304)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "rco" (rect 90 0 105 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 784 -32 960 -16)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "value[3]" (rect 90 0 130 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 784 -8 960 8)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "value[2]" (rect 90 0 130 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 784 16 960 32)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "value[1]" (rect 90 0 130 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 784 40 960 56)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "value[0]" (rect 90 0 130 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 1040 64 1216 80)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_A" (rect 90 0 147 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 72 1264 88))
)
(pin
(output)
(rect 1040 96 1216 112)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_B" (rect 90 0 146 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 104 1264 120))
)
(pin
(output)
(rect 1040 128 1216 144)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_C" (rect 90 0 147 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 136 1272 152))
)
(pin
(output)
(rect 1040 160 1216 176)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_D" (rect 90 0 147 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 168 1272 184))
)
(pin
(output)
(rect 1040 192 1216 208)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_E" (rect 90 0 146 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 200 1264 216))
)
(pin
(output)
(rect 1040 224 1216 240)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_F" (rect 90 0 146 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 232 1264 248))
)
(pin
(output)
(rect 1040 256 1216 272)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "OUTPUT_G" (rect 90 0 147 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
(annotation_block (location)(rect 1216 264 1264 280))
)
(symbol
(rect 272 80 400 192)
(text "pulsar" (rect 5 0 34 12)(font "Arial" ))
(text "inst" (rect 8 96 25 108)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "clk" (rect 0 0 14 12)(font "Arial" ))
(text "clk" (rect 21 27 35 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "reset" (rect 0 0 24 12)(font "Arial" ))
(text "reset" (rect 21 43 45 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "key" (rect 0 0 18 12)(font "Arial" ))
(text "key" (rect 21 59 39 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 128 32)
(output)
(text "o" (rect 0 0 5 12)(font "Arial" ))
(text "o" (rect 103 27 108 39)(font "Arial" ))
(line (pt 128 32)(pt 112 32))
)
(drawing
(rectangle (rect 16 16 112 96))
)
)
(symbol
(rect 192 160 240 192)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst99" (rect 3 21 32 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 520 80 680 192)
(text "dec_count" (rect 5 0 55 12)(font "Arial" ))
(text "inst1" (rect 8 96 31 108)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "enc" (rect 0 0 17 12)(font "Arial" ))
(text "enc" (rect 21 27 38 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "ent" (rect 0 0 15 12)(font "Arial" ))
(text "ent" (rect 21 43 36 55)(font "Arial" ))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "clk" (rect 0 0 14 12)(font "Arial" ))
(text "clk" (rect 21 59 35 71)(font "Arial" ))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 0 80)
(input)
(text "clear" (rect 0 0 23 12)(font "Arial" ))
(text "clear" (rect 21 75 44 87)(font "Arial" ))
(line (pt 0 80)(pt 16 80))
)
(port
(pt 160 32)
(output)
(text "value[3..0]" (rect 0 0 53 12)(font "Arial" ))
(text "value[3..0]" (rect 95 27 148 39)(font "Arial" ))
(line (pt 160 32)(pt 144 32)(line_width 3))
)
(port
(pt 160 48)
(output)
(text "rco" (rect 0 0 15 12)(font "Arial" ))
(text "rco" (rect 127 43 142 55)(font "Arial" ))
(line (pt 160 48)(pt 144 48))
)
(drawing
(rectangle (rect 16 16 144 96))
)
)
(symbol
(rect 440 40 472 56)
(text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6)))
(text "inst2" (rect 3 5 26 17)(font "Arial" )(invisible))
(port
(pt 16 16)
(output)
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
(line (pt 16 16)(pt 16 8))
)
(drawing
(line (pt 8 8)(pt 24 8))
)
)
(symbol
(rect 992 56 1040 88)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst3" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 88 1040 120)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst4" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 120 1040 152)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst5" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 152 1040 184)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst6" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 184 1040 216)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst7" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 216 1040 248)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst8" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 992 248 1040 280)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst9" (rect 3 21 26 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 776 80 904 256)
(text "7segment" (rect 5 0 53 12)(font "Arial" ))
(text "inst_" (rect 8 160 31 172)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "i[3..0]" (rect 0 0 28 12)(font "Arial" ))
(text "i[3..0]" (rect 21 27 49 39)(font "Arial" ))
(line (pt 0 32)(pt 16 32)(line_width 3))
)
(port
(pt 128 32)
(output)
(text "a" (rect 0 0 5 12)(font "Arial" ))
(text "a" (rect 103 27 108 39)(font "Arial" ))
(line (pt 128 32)(pt 112 32))
)
(port
(pt 128 48)
(output)
(text "b" (rect 0 0 5 12)(font "Arial" ))
(text "b" (rect 103 43 108 55)(font "Arial" ))
(line (pt 128 48)(pt 112 48))
)
(port
(pt 128 64)
(output)
(text "c" (rect 0 0 5 12)(font "Arial" ))
(text "c" (rect 103 59 108 71)(font "Arial" ))
(line (pt 128 64)(pt 112 64))
)
(port
(pt 128 80)
(output)
(text "d" (rect 0 0 5 12)(font "Arial" ))
(text "d" (rect 103 75 108 87)(font "Arial" ))
(line (pt 128 80)(pt 112 80))
)
(port
(pt 128 96)
(output)
(text "e" (rect 0 0 5 12)(font "Arial" ))
(text "e" (rect 103 91 108 103)(font "Arial" ))
(line (pt 128 96)(pt 112 96))
)
(port
(pt 128 112)
(output)
(text "f" (rect 0 0 4 12)(font "Arial" ))
(text "f" (rect 104 107 108 119)(font "Arial" ))
(line (pt 128 112)(pt 112 112))
)
(port
(pt 128 128)
(output)
(text "g" (rect 0 0 5 12)(font "Arial" ))
(text "g" (rect 103 123 108 135)(font "Arial" ))
(line (pt 128 128)(pt 112 128))
)
(drawing
(rectangle (rect 16 16 112 160))
)
)
(connector
(pt 264 144)
(pt 264 176)
)
(connector
(pt 272 144)
(pt 264 144)
)
(connector
(pt 184 176)
(pt 192 176)
)
(connector
(pt 240 176)
(pt 264 176)
)
(connector
(pt 704 128)
(pt 680 128)
)
(connector
(pt 456 128)
(pt 520 128)
)
(connector
(pt 456 160)
(pt 520 160)
)
(connector
(pt 520 112)
(pt 400 112)
)
(connector
(pt 456 128)
(pt 456 56)
)
(connector
(pt 520 144)
(pt 416 144)
)
(connector
(pt 416 64)
(pt 416 144)
)
(connector
(pt 416 64)
(pt 256 64)
)
(connector
(pt 256 64)
(pt 256 112)
)
(connector
(pt 272 112)
(pt 256 112)
)
(connector
(pt 256 112)
(pt 240 112)
)
(connector
(pt 456 208)
(pt 456 160)
)
(connector
(pt 248 208)
(pt 456 208)
)
(connector
(pt 248 208)
(pt 248 128)
)
(connector
(pt 272 128)
(pt 248 128)
)
(connector
(pt 248 128)
(pt 240 128)
)
(connector
(text "value[3]" (rect 746 -40 786 -28)(font "Arial" ))
(pt 784 -24)
(pt 736 -24)
)
(connector
(text "value[2]" (rect 746 -16 786 -4)(font "Arial" ))
(pt 784 0)
(pt 736 0)
)
(connector
(text "value[1]" (rect 746 8 786 20)(font "Arial" ))
(pt 784 24)
(pt 736 24)
)
(connector
(text "value[0]" (rect 746 32 786 44)(font "Arial" ))
(pt 784 48)
(pt 736 48)
)
(connector
(pt 704 128)
(pt 704 296)
)
(connector
(pt 992 72)
(pt 928 72)
)
(connector
(pt 992 104)
(pt 960 104)
)
(connector
(pt 992 232)
(pt 936 232)
)
(connector
(pt 928 72)
(pt 928 112)
)
(connector
(pt 960 104)
(pt 960 128)
)
(connector
(pt 960 136)
(pt 960 144)
)
(connector
(pt 952 168)
(pt 952 160)
)
(connector
(pt 944 200)
(pt 944 176)
)
(connector
(pt 936 232)
(pt 936 192)
)
(connector
(pt 992 264)
(pt 904 264)
)
(connector
(pt 904 264)
(pt 904 208)
)
(connector
(pt 904 112)
(pt 928 112)
)
(connector
(pt 904 128)
(pt 960 128)
)
(connector
(pt 904 144)
(pt 960 144)
)
(connector
(pt 904 160)
(pt 952 160)
)
(connector
(pt 904 176)
(pt 944 176)
)
(connector
(pt 904 192)
(pt 936 192)
)
(connector
(pt 960 136)
(pt 992 136)
)
(connector
(pt 952 168)
(pt 992 168)
)
(connector
(pt 944 200)
(pt 992 200)
)
(connector
(text "value[3..0]" (rect 698 88 751 100)(font "Arial" ))
(pt 680 112)
(pt 776 112)
(bus)
)
(junction (pt 256 112))
(junction (pt 248 128))