You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 

11 lines
5.0 KiB

{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1588496414068 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1588496414069 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun May 03 17:00:13 2020 " "Processing started: Sun May 03 17:00:13 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1588496414069 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1588496414069 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off YL_dec7748 -c YL_dec7748 " "Command: quartus_map --read_settings_files=on --write_settings_files=off YL_dec7748 -c YL_dec7748" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1588496414069 ""}
{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1588496414685 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "yl_dec7748.bdf 1 1 " "Found 1 design units, including 1 entities, in source file yl_dec7748.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 YL_dec7748 " "Found entity 1: YL_dec7748" { } { { "YL_dec7748.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_dec7748.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1588496414771 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1588496414771 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "YL_dec7748 " "Elaborating entity \"YL_dec7748\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1588496414828 ""}
{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "7448 7448:inst " "Elaborating entity \"7448\" for hierarchy \"7448:inst\"" { } { { "YL_dec7748.bdf" "inst" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_dec7748.bdf" { { 224 584 704 384 "inst" "" } } } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1588496414857 ""}
{ "Info" "ISGN_ELABORATION_HEADER" "7448:inst " "Elaborated megafunction instantiation \"7448:inst\"" { } { { "YL_dec7748.bdf" "" { Schematic "C:/Users/ushio/OneDrive/study/uol/ELEC211/Exp28/YL_dec7748.bdf" { { 224 584 704 384 "inst" "" } } } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588496414860 ""}
{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Quartus II" 0 -1 1588496415604 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Quartus II" 0 -1 1588496415604 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "18 " "Implemented 18 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1588496415686 ""} { "Info" "ICUT_CUT_TM_OPINS" "7 " "Implemented 7 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1588496415686 ""} { "Info" "ICUT_CUT_TM_LCELLS" "7 " "Implemented 7 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1588496415686 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1588496415686 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4604 " "Peak virtual memory: 4604 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1588496415738 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 03 17:00:15 2020 " "Processing ended: Sun May 03 17:00:15 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1588496415738 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1588496415738 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1588496415738 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1588496415738 ""}